default search action
Hoi-Jun Yoo
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j167]Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, Hoi-Jun Yoo:
MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing. IEEE J. Solid State Circuits 59(1): 65-78 (2024) - [j166]Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Juhyoung Lee, Sangyeob Kim, Donghyeon Han, Hoi-Jun Yoo:
DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell. IEEE J. Solid State Circuits 59(1): 102-115 (2024) - [j165]Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, Hoi-Jun Yoo:
C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture. IEEE J. Solid State Circuits 59(1): 157-172 (2024) - [j164]Soyeon Um, Jaehyuk Lee, Hoi-Jun Yoo:
A 3.8-mW 1.9-mΩ/√Hz Electrical Impedance Tomography IC With High Input Impedance and Loading Effect Calibration for 3-D Early Breast Cancer Detect System. IEEE J. Solid State Circuits 59(7): 2019-2028 (2024) - [j163]Sangjin Kim, Soyeon Um, Wooyoung Jo, Jingu Lee, Sangwoo Ha, Zhiyong Li, Hoi-Jun Yoo:
Scaling-CIM: eDRAM In-Memory-Computing Accelerator With Dynamic-Scaling ADC and Adaptive Analog Operation. IEEE J. Solid State Circuits 59(8): 2694-2705 (2024) - [j162]Soyeon Um, Sangjin Kim, Seongyon Hong, Sangyeob Kim, Hoi-Jun Yoo:
LOG-CIM: An Energy-Efficient Logarithmic Quantization Computing-In-Memory Processor With Exponential Parallel Data Mapping and Zero-Aware 6T Dual-WL Cell. IEEE J. Solid State Circuits 59(10): 3330-3341 (2024) - [j161]Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, Hoi-Jun Yoo:
A Low-Power Artificial-Intelligence-Based 3-D Rendering Processor With Hybrid Deep Neural Network Computing. IEEE Micro 44(1): 17-27 (2024) - [j160]Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Jiwon Choi, Donghyeon Han, Hoi-Jun Yoo:
COOL-NPU: Complementary Online Learning Neural Processing Unit. IEEE Micro 44(1): 28-37 (2024) - [j159]Sangjin Kim, Hoi-Jun Yoo:
An Overview of Computing-in-Memory Circuits With DRAM and NVM. IEEE Trans. Circuits Syst. II Express Briefs 71(3): 1626-1631 (2024) - [j158]Sanghyuk An, Junha Ryu, Gwangtae Park, Hoi-Jun Yoo:
A 8.81 TFLOPS/W Deep-Reinforcement-Learning Accelerator With Delta-Based Weight Sharing and Block-Mantissa Reconfigurable PE Array. IEEE Trans. Circuits Syst. II Express Briefs 71(5): 2529-2533 (2024) - [j157]Haoyang Sang, Wenao Xie, Gwangtae Park, Hoi-Jun Yoo:
An 2.31uJ/Inference Ultra-Low Power Always-on Event-Driven AI-IoT SoC With Switchable nvSRAM Compute-in-Memory Macro. IEEE Trans. Circuits Syst. II Express Briefs 71(5): 2534-2538 (2024) - [j156]Beomseok Kwon, Zhiyong Li, Sangjin Kim, Wooyoung Jo, Hoi-Jun Yoo:
A 92 fps and 2.56 mJ/Frame Computing-In-Memory-Based Human Pose Estimation Accelerator With Resource-Efficient Macro for Mobile Devices. IEEE Trans. Circuits Syst. II Express Briefs 71(6): 2921-2925 (2024) - [c301]Seongyon Hong, Sangyeob Kim, Soyeon Kim, Hoi-Jun Yoo:
DualNet: Efficient Integration of Artificial Neural Network and Spiking Neural Network with Equivalent Conversion. AICAS 2024: 100-104 - [c300]Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Sangyeob Kim, Hoi-Jun Yoo:
NoPIM: Functional Network-on-Chip Architecture for Scalable High-Density Processing-in-Memory-based Accelerator. COOL CHIPS 2024: 1-3 - [c299]Gwangtae Park, Seokchan Song, Haoyang Sang, Dongseok Im, Donghyeon Han, Sangyeob Kim, Hongseok Lee, Hoi-Jun Yoo:
A Low-power and Real-time Neural-Rendering Dense SLAM Processor with 3-Level Hierarchical Sparsity Exploitation. COOL CHIPS 2024: 1-3 - [c298]Junha Ryu, Hankyul Kwon, Wonhoon Park, Zhiyong Li, Beomseok Kwon, Donghyeon Han, Dongseok Im, Sangyeob Kim, Hyungnam Joo, Minsung Kim, Hoi-Jun Yoo:
A Low-Power Neural Graphics System for Instant 3D Modeling and Real-Time Rendering on Mobile AR/VR Devices. COOL CHIPS 2024: 1-3 - [c297]Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Soyeon Kim, Seongyon Hong, Nayeong Lee, Hoi-Jun Yoo:
A Low-Power Large-Language-Model Processor with Big-Little Network and Implicit-Weight-Generation for On-Device AI. HCS 2024: 1 - [c296]Junha Ryu, Hankyul Kwon, Wonhoon Park, Zhiyong Li, Beomseok Kwon, Donghyeon Han, Dongseok Im, Sangyeob Kim, Hyungnam Joo, Minsung Kim, Hoi-Jun Yoo:
NeuGPU: A Neural Graphics Processing Unit for Instant Modeling and Real-Time Rendering on Mobile AR/VR Devices. HCS 2024: 1 - [c295]Seokchan Song, Haoyang Sang, Dongseok Im, Donghyeon Han, Sangyeob Kim, Hongseok Lee, Hoi-Jun Yoo:
Space-Mate: A 303.5mW Real-Time NeRF SLAM Processor with Sparse-Mixture-of-Experts-based Acceleration. HCS 2024: 1 - [c294]Dongseok Im, Hoi-Jun Yoo:
LUTein: Dense-Sparse Bit-Slice Architecture With Radix-4 LUT-Based Slice-Tensor Processing Units. HPCA 2024: 747-759 - [c293]Jiwon Choi, Wooyoung Jo, Seongyon Hong, Beomseok Kwon, Wonhoon Park, Hoi-Jun Yoo:
A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision. ISCAS 2024: 1-5 - [c292]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Hoi-Jun Yoo:
Two-Step Spike Encoding Scheme and Architecture for Highly Sparse Spiking-Neural-Network. ISCAS 2024: 1-5 - [c291]Jongjun Park, Seryeong Kim, Wonhoon Park, Seokchan Song, Hoi-Jun Yoo:
A 3.55 mJ/frame Energy-efficient Mixed-Transformer based Semantic Segmentation Accelerator for Mobile Devices. ISCAS 2024: 1-5 - [c290]Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Soyeon Kim, Seongyon Hong, Hoi-Jun Yoo:
20.5 C-Transformer: A 2.6-18.1μJ/Token Homogeneous DNN-Transformer/Spiking-Transformer Processor with Big-Little Network and Implicit Weight Generation for Large Language Models. ISSCC 2024: 368-370 - [c289]Junha Ryu, Hankyul Kwon, Wonhoon Park, Zhiyong Li, Beomseok Kwon, Donghyeon Han, Dongseok Im, Sangyeob Kim, Hyungnam Joo, Hoi-Jun Yoo:
20.7 NeuGPU: A 18.5mJ/Iter Neural-Graphics Processing Unit for Instant-Modeling and Real-Time Rendering with Segmented-Hashing Architecture. ISSCC 2024: 372-374 - [c288]Gwangtae Park, Seokchan Song, Haoyang Sang, Dongseok Im, Donghyeon Han, Sangyeob Kim, Hongseok Lee, Hoi-Jun Yoo:
20.8 Space-Mate: A 303.5mW Real-Time Sparse Mixture-of-Experts-Based NeRF-SLAM Processor for Mobile Spatial Computing. ISSCC 2024: 374-376 - [c287]Seongyon Hong, Wooyoung Jo, Sangjin Kim, Sangyeob Kim, Kyomin Sohn, Hoi-Jun Yoo:
Dyamond: A 1T1C DRAM In-memory Computing Accelerator with Compact MAC-SIMD and Adaptive Column Addition Dataflow. VLSI Technology and Circuits 2024: 1-2 - [c286]Seryeong Kim, Seokchan Song, Wonhoon Park, Junha Ryu, Sangyeob Kim, Gwangtae Park, Soyeon Kim, Hoi-Jun Yoo:
NeRF-Navi: A 93.6-202.9µJ/task Switchable Approximate-Accurate NeRF Path Planning Processor with Dual Attention Engine and Outlier Bit-Offloading Core. VLSI Technology and Circuits 2024: 1-2 - [i8]Kwantae Kim, Changhyeon Kim, Sungpill Choi, Hoi-Jun Yoo:
A 0.5V, 6.2μW, 0.059mm2 Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing. CoRR abs/2402.03533 (2024) - [i7]Jiwon Choi, Wooyoung Jo, Seongyon Hong, Beomseok Kwon, Wonhoon Park, Hoi-Jun Yoo:
A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision. CoRR abs/2403.04982 (2024) - 2023
- [j155]Sangyeob Kim, Hoi-Jun Yoo:
C-DNN V2: Complementary Deep-Neural-Network Processor With Full-Adder/OR-Based Reduction Tree and Reconfigurable Spatial Weight Reuse. IEEE J. Emerg. Sel. Topics Circuits Syst. 13(4): 1026-1039 (2023) - [j154]Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, Hankyul Kwon, Hoi-Jun Yoo:
DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition With Sensor Fusion and 3-D Perception SoC. IEEE J. Solid State Circuits 58(1): 177-188 (2023) - [j153]Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, Hoi-Jun Yoo:
An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache. IEEE J. Solid State Circuits 58(3): 614-623 (2023) - [j152]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Juhyoung Lee, Hoi-Jun Yoo:
SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit. IEEE J. Solid State Circuits 58(10): 2812-2825 (2023) - [j151]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, Hoi-Jun Yoo:
Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks. IEEE J. Solid State Circuits 58(10): 2931-2945 (2023) - [j150]Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, Hankyul Kwon, Hoi-Jun Yoo:
A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation. IEEE Micro 43(3): 74-82 (2023) - [c285]Jiwon Choi, Sangyeob Kim, Wonhoon Park, Wooyoung Jo, Hoi-Jun Yoo:
A Resource-Efficient Super-Resolution FPGA Processor with Heterogeneous CNN and SNN Core Architecture. A-SSCC 2023: 1-3 - [c284]Jingu Lee, Sangjin Kim, Wooyoung Jo, Hoi-Jun Yoo:
An Energy-Efficient Heterogeneous Fourier Transform-Based Transformer Accelerator with Frequency-Wise Dynamic Bit-Precision. A-SSCC 2023: 1-3 - [c283]Jongjun Park, Donghyeon Han, Junha Ryu, Dongseok Im, Gwangtae Park, Hoi-Jun Yoo:
A 33.6 FPS Embedding based Real-time Neural Rendering Accelerator with Switchable Computation Skipping Architecture on Edge Device. A-SSCC 2023: 1-3 - [c282]Soyeon Um, Sangjin Kim, Seongyon Hong, Sangyeob Kim, Hoi-Jun Yoo:
LOG-CIM: A 116.4 TOPS/W Digital Computing-In-Memory Processor Supporting a Wide Range of Logarithmic Quantization with Zero-Aware 6T Dual-WL Cell. A-SSCC 2023: 1-3 - [c281]Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, Hoi-Jun Yoo:
A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid DNN Acceleration. COOL CHIPS 2023: 1-3 - [c280]Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, Hoi-Jun Yoo:
COOL-NPU: Complementary Online Learning Neural Processing Unit with CNN-SNN Heterogeneous Core and Event-driven Backpropagation. COOL CHIPS 2023: 1-3 - [c279]Soyeon Um, Jaehyuk Lee, Hoi-Jun Yoo:
A 3.8 mW 1.9 m Ω/√Hz Electrical Impedance Tomography Imaging with 28.4 M Ω High Input Impedance and Loading Calibration. ESSCIRC 2023: 357-360 - [c278]Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Hoi-Jun Yoo:
Sibia: Signed Bit-slice Architecture for Dense DNN Acceleration with Slice-level Sparsity Exploitation. HPCA 2023: 69-80 - [c277]Seongyon Hong, Soyeon Um, Sangjin Kim, Sangyeob Kim, Wooyoung Jo, Hoi-Jun Yoo:
A 332 TOPS/W Input/Weight-Parallel Computing-in-Memory Processor with Voltage-Capacitance-Ratio Cell and Time-Based ADC. ISCAS 2023: 1-5 - [c276]Seryeong Kim, Soyeon Kim, Soyeon Um, Sangjin Kim, Zhiyong Li, Sangyeob Kim, Wooyoung Jo, Hoi-Jun Yoo:
A Reconfigurable 1T1C eDRAM-based Spiking Neural Network Computing-In-Memory Processor for High System-Level Efficiency. ISCAS 2023: 1-5 - [c275]Hankyul Kwon, Gwangtae Park, Junha Ryu, Wooyoung Jo, Hoi-Jun Yoo:
A 15.9 mW 96.5 fps Memory-Efficient 3D Reconstruction Processor with Dilation-based TSDF Fusion and Block-Projection Cache System. ISCAS 2023: 1-5 - [c274]Wonhoon Park, Junha Ryu, Sangjin Kim, Soyeon Um, Wooyoung Jo, Sangyoeb Kim, Hoi-Jun Yoo:
A 5.99 TFLOPS/W Heterogeneous CIM-NPU Architecture for an Energy Efficient Floating-Point DNN Acceleration. ISCAS 2023: 1-4 - [c273]Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo:
MetaVRain: A 133mW Real-Time Hyper-Realistic 3D-NeRF Processor with 1D-2D Hybrid-Neural Engines for Metaverse on Mobile Devices. ISSCC 2023: 50-51 - [c272]Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Juhyoung Lee, Sangyeob Kim, Donghyeon Han, Hoi-Jun Yoo:
DynaPlasia: An eDRAM In-Memory-Computing-Based Reconfigurable Spatial Accelerator with Triple-Mode Cell for Dynamic Resource Switching. ISSCC 2023: 256-257 - [c271]Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Hoi-Jun Yoo:
C-DNN: A 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous CNN/SNN Core Architecture and Forward-Gradient-Based Sparsity Generation. ISSCC 2023: 334-335 - [c270]Wooyoung Jo, Sangjin Kim, Juhyoung Lee, Donghyeon Han, Sangyeob Kim, Seungyoon Choi, Hoi-Jun Yoo:
NeRPIM: A 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices. VLSI Technology and Circuits 2023: 1-2 - [c269]Sangjin Kim, Soyeon Um, Wooyoung Jo, Jingu Lee, Sangwoo Ha, Zhiyong Li, Hoi-Jun Yoo:
Scaling-CIM: An eDRAM-based In-Memory-Computing Accelerator with Dynamic-Scaling ADC for SQNR-Boosting and Layer-wise Adaptive Bit-Truncation. VLSI Technology and Circuits 2023: 1-2 - [c268]Seokchan Song, Donghyeon Han, Sangjin Kim, Sangyeob Kim, Gwangtae Park, Hoi-Jun Yoo:
GPPU: A 330.4-μJ/ task Neural Path Planning Processor with Hybrid GNN Acceleration for Autonomous 3D Navigation. VLSI Technology and Circuits 2023: 1-2 - [c267]Wenao Xie, Haoyang Sang, Beomseok Kwon, Dongseok Im, Sangjin Kim, Sangyeob Kim, Hoi-Jun Yoo:
A 709.3 TOPS/W Event-Driven Smart Vision SoC with High-Linearity and Reconfigurable MRAM PIM. VLSI Technology and Circuits 2023: 1-2 - 2022
- [j149]Kwantae Kim, Sangyeob Kim, Hoi-Jun Yoo:
Design of Sub-10-μW Sub-0.1% THD Sinusoidal Current Generator IC for Bio-Impedance Sensing. IEEE J. Solid State Circuits 57(2): 586-595 (2022) - [j148]Dongseok Im, Donghyeon Han, Sanghoon Kang, Hoi-Jun Yoo:
A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction. IEEE J. Solid State Circuits 57(2): 661-670 (2022) - [j147]Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Ji-Hoon Kim, Donghyeon Han, Hoi-Jun Yoo:
OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer. IEEE J. Solid State Circuits 57(4): 999-1012 (2022) - [j146]Surin Gweon, Sanghoon Kang, Kwantae Kim, Hoi-Jun Yoo:
FlashMAC: A Time-Frequency Hybrid MAC Architecture With Variable Latency-Aware Scheduling for TinyML Systems. IEEE J. Solid State Circuits 57(10): 2944-2956 (2022) - [j145]Kwantae Kim, Chang Gao, Rui Graça, Ilya Kiselev, Hoi-Jun Yoo, Tobi Delbruck, Shih-Chii Liu:
A 23-μW Keyword Spotting IC With Ring-Oscillator-Based Time-Domain Feature Extraction. IEEE J. Solid State Circuits 57(11): 3298-3311 (2022) - [j144]Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo:
ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor. IEEE Micro 42(1): 99-107 (2022) - [j143]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
A Mobile DNN Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation. IEEE Micro 42(2): 16-25 (2022) - [j142]Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Donghyeon Han, Wooyoung Jo, Hoi-Jun Yoo:
TSUNAMI: Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning. IEEE Trans. Circuits Syst. I Regul. Pap. 69(4): 1494-1506 (2022) - [j141]Sangjin Kim, Sangyeob Kim, Juhyoung Lee, Hoi-Jun Yoo:
A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 69(4): 1507-1518 (2022) - [j140]Sangwoo Ha, Sangjin Kim, Donghyeon Han, Soyeon Um, Hoi-Jun Yoo:
A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array. IEEE Trans. Circuits Syst. II Express Briefs 69(5): 2433-2437 (2022) - [j139]Seokchan Song, Soyeon Kim, Gwangtae Park, Donghyeon Han, Hoi-Jun Yoo:
A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection. IEEE Trans. Circuits Syst. II Express Briefs 69(5): 2443-2447 (2022) - [c266]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation. AICAS 2022: 37-40 - [c265]Juhyoung Lee, Wooyoung Jo, Seong-Wook Park, Hoi-Jun Yoo:
Low-power Autonomous Adaptation System with Deep Reinforcement Learning. AICAS 2022: 300-303 - [c264]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
A DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation. AICAS 2022: 501 - [c263]Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, Hoi-Jun Yoo:
An 0.92 mJ/frame High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache. CICC 2022: 1-2 - [c262]Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, Hankyul Kwon, Hoi-Jun Yoo:
A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms. COOL CHIPS 2022: 1-3 - [c261]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
HNPU-V2: A 46.6 FPS DNN Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices. HCS 2022: 1-18 - [c260]Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, Hankyul Kwon, Hoi-Jun Yoo:
DSPU: A 281.6mW Real-Time Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip. HCS 2022: 1-25 - [c259]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, Hoi-Jun Yoo:
Neuro-CIM: A 310.4 TOPS/W Neuromorphic Computing-in-Memory Processor with Low WL/BL activity and Digital-Analog Mixed-mode Neuron Firing. HCS 2022: 1-25 - [c258]Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, Hoi-Jun Yoo:
An Efficient High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache. HCS 2022: 1-26 - [c257]Wooyoung Jo, Sangjin Kim, Juhyeong Lee, Soyeon Um, Zhiyong Li, Hoi-Jun Yoo:
A 161.6 TOPS/W Mixed-mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural Networks. ISCAS 2022: 365-369 - [c256]Kwantae Kim, Chang Gao, Rui Graça, Ilya Kiselev, Hoi-Jun Yoo, Tobi Delbrück, Shih-Chii Liu:
A 23μW Solar-Powered Keyword-Spotting ASIC with Ring-Oscillator-Based Time-Domain Feature Extraction. ISSCC 2022: 1-3 - [c255]Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Hoi-Jun Yoo:
DSPU: A 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning-Based Dense RGB-D Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms. ISSCC 2022: 510-512 - [c254]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, Hoi-Jun Yoo:
Neuro-CIM: A 310.4 TOPS/W Neuromorphic Computing-in-Memory Processor with Low WL/BL activity and Digital-Analog Mixed-mode Neuron Firing. VLSI Technology and Circuits 2022: 38-39 - [i6]Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Hoi-Jun Yoo:
Two-Step Spike Encoding Scheme and Architecture for Highly Sparse Spiking-Neural-Network. CoRR abs/2202.03601 (2022) - [i5]Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Hoi-Jun Yoo:
Energy-efficient Dense DNN Acceleration with Signed Bit-slice Architecture. CoRR abs/2203.07679 (2022) - [i4]Kwantae Kim, Chang Gao, Rui Graça, Ilya Kiselev, Hoi-Jun Yoo, Tobi Delbrück, Shih-Chii Liu:
A 23 μW Keyword Spotting IC with Ring-Oscillator-Based Time-Domain Feature Extraction. CoRR abs/2208.00693 (2022) - 2021
- [j138]Sanghoon Kang, Gwangtae Park, Sangjin Kim, Soyeon Kim, Donghyeon Han, Hoi-Jun Yoo:
An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations. IEEE J. Emerg. Sel. Topics Circuits Syst. 11(4): 634-648 (2021) - [j137]Jaehyuk Lee, Surin Gweon, Kwonjoon Lee, Soyeon Um, Kyoung-Rog Lee, Hoi-Jun Yoo:
A 9.6-mW/Ch 10-MHz Wide-Bandwidth Electrical Impedance Tomography IC With Accurate Phase Compensation for Early Breast Cancer Detection. IEEE J. Solid State Circuits 56(3): 887-898 (2021) - [j136]Donghyeon Han, Jinsu Lee, Hoi-Jun Yoo:
DF-LNPU: A Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning. IEEE J. Solid State Circuits 56(5): 1630-1640 (2021) - [j135]Jihee Lee, Kyoung-Rog Lee, Benjamin E. Eovino, Jeong Hoan Park, Luna Yue Liang, Liwei Lin, Hoi-Jun Yoo, Jerald Yoo:
A 36-Channel Auto-Calibrated Front-End ASIC for a pMUT-Based Miniaturized 3-D Ultrasound System. IEEE J. Solid State Circuits 56(6): 1910-1923 (2021) - [j134]Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Junha Ryu, Hoi-Jun Yoo:
GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation. IEEE J. Solid State Circuits 56(9): 2845-2857 (2021) - [j133]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching. IEEE J. Solid State Circuits 56(9): 2858-2869 (2021) - [j132]Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangjin Kim, Sangyeob Kim, Hoi-Jun Yoo:
An Energy-Efficient GAN Accelerator With On-Chip Training for Domain-Specific Optimization. IEEE J. Solid State Circuits 56(10): 2968-2980 (2021) - [j131]Kwonjoon Lee, Hoi-Jun Yoo:
Simultaneous Electrical Bio-Impedance Plethysmography at Different Body Parts: Continuous and Non-Invasive Monitoring of Pulse Wave Velocity. IEEE Trans. Biomed. Circuits Syst. 15(5): 1027-1038 (2021) - [j130]Soyeon Um, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo:
A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory With Computation Reuse. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1605-1609 (2021) - [j129]Soyeon Kim, Sangjin Kim, Sangyeob Kim, Donghyeon Han, Hoi-Jun Yoo:
A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1675-1679 (2021) - [j128]Junha Ryu, Gwangtae Park, Dongseok Im, Ji-Hoon Kim, Hoi-Jun Yoo:
A 0.82 μW CIS-Based Action Recognition SoC With Self-Adjustable Frame Resolution for Always-on IoT Devices. IEEE Trans. Circuits Syst. II Express Briefs 68(5): 1700-1704 (2021) - [c253]Juhyoung Lee, Changhyeon Kim, Donghyeon Han, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo:
Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems. AICAS 2021: 1-4 - [c252]Surin Gweon, Sanghoon Kang, Donghyeon Han, Kyoung-Rog Lee, Kwantae Kim, Hoi-Jun Yoo:
FlashMAC: An Energy-Efficient Analog-Digital Hybrid MAC with Variable Latency-Aware Scheduling. A-SSCC 2021: 1-3 - [c251]Wooyoung Jo, Juhyoung Lee, Seunghyun Park, Hoi-Jun Yoo:
An Energy-Efficient Deep Reinforcement Learning FPGA Accelerator for Online Fast Adaptation with Selective Mixed-precision Re-training. A-SSCC 2021: 1-3 - [c250]Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, Hoi-Jun Yoo:
An Energy-Efficient Deep Neural Network Training Processor with Bit-Slice-Level Reconfigurability and Sparsity Exploitation. COOL CHIPS 2021: 1-3 - [c249]Sangjin Kim, Juhyoung Lee, Dongseok Im, Hoi-Jun Yoo:
PNNPU: A Fast and Efficient 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access. HCS 2021: 1-23 - [c248]Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Donghyeon Han, Jinsu Lee, Hoi-Jun Yoo:
An Energy-efficient Floating-Point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory. HCS 2021: 1-20 - [c247]Juhyoung Lee, Sangyeob Kim, Ji-Hoon Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, Hoi-Jun Yoo:
OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data. HCS 2021: 1-21 - [c246]Zhiyong Li, Dongseok Im, Jinsu Lee, Hoi-Jun Yoo:
A 3.6 TOPS/W Hybrid FP-FXP Deep Learning Processor with Outlier Compensation for Image-to-Image Application. ISCAS 2021: 1-5 - [c245]Sangjin Kim, Juhyoung Lee, Dongseok Im, Hoi-Jun Yoo:
PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access. VLSI Circuits 2021: 1-2 - [c244]Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Jinsu Lee, Hoi-Jun Yoo:
A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory. VLSI Circuits 2021: 1-2 - [c243]Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, Jinsu Lee, Hoi-Jun Yoo:
OmniDRL: A 29.3 TFLOPS/W Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer. VLSI Circuits 2021: 1-2 - [i3]Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Hoi-Jun Yoo:
GST: Group-Sparse Training for Accelerating Deep Reinforcement Learning. CoRR abs/2101.09650 (2021) - 2020
- [j127]Juhyoung Lee, Jinsu Lee, Hoi-Jun Yoo:
SRNPU: An Energy-Efficient CNN-Based Super-Resolution Processor With Tile-Based Selective Super-Resolution in Mobile Devices. IEEE J. Emerg. Sel. Topics Circuits Syst. 10(3): 320-334 (2020) - [j126]Kwantae Kim, Ji-Hoon Kim, Surin Gweon, Minseo Kim, Hoi-Jun Yoo:
A 0.5-V Sub-10-μW 15.28-mΩ/√Hz Bio-Impedance Sensor IC With Sub-1° Phase Error. IEEE J. Solid State Circuits 55(8): 2161-2173 (2020) - [j125]Jaeeun Jang, Jihee Lee, Hyunwoo Cho, Jaehyuk Lee, Hoi-Jun Yoo:
Wireless Body-Area-Network Transceiver and Low-Power Receiver With High Application Expandability. IEEE J. Solid State Circuits 55(10): 2781-2789 (2020) - [j124]Dongjoo Shin, Hoi-Jun Yoo:
The Heterogeneous Deep Neural Network Processor With a Non-von Neumann Architecture. Proc. IEEE 108(8): 1245-1260 (2020) - [j123]Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinsu Lee, Hoi-Jun Yoo:
A Power-Efficient CNN Accelerator With Similar Feature Skipping for Face Recognition in Mobile Devices. IEEE Trans. Circuits Syst. I Fundam. Theory Appl. 67-I(4): 1181-1193 (2020) - [j122]Youngwoo Kim, Donghyeon Han, Changhyeon Kim, Hoi-Jun Yoo:
A 0.22-0.89 mW Low-Power and Highly-Secure Always-On Face Recognition Processor With Adversarial Attack Prevention. IEEE Trans. Circuits Syst. II Express Briefs 67-II(5): 846-850 (2020) - [j121]Gwangtae Park, Dongseok Im, Donghyeon Han, Hoi-Jun Yoo:
A 1.15 TOPS/W Energy-Efficient Capsule Network Accelerator for Real-Time 3D Point Cloud Segmentation in Mobile Environment. IEEE Trans. Circuits Syst. II Express Briefs 67-II(9): 1594-1598 (2020) - [j120]Jinsu Lee, Sanghoon Kang, Jinmook Lee, Dongjoo Shin, Donghyeon Han, Hoi-Jun Yoo:
The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices. IEEE Trans. Circuits Syst. 67-I(10): 3458-3470 (2020) - [j119]Dongseok Im, Donghyeon Han, Sungpill Choi, Sanghoon Kang, Hoi-Jun Yoo:
DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation. IEEE Trans. Circuits Syst. 67-I(10): 3471-3483 (2020) - [j118]Kyuho Jason Lee, Jinmook Lee, Sungpill Choi, Hoi-Jun Yoo:
The Development of Silicon for AI: Different Design Approaches. IEEE Trans. Circuits Syst. 67-I(12): 4719-4732 (2020) - [c242]Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangyeob Kim, Sangjin Kim, Hoi-Jun Yoo:
An Energy-Efficient GAN Accelerator with On-chip Training for Domain Specific Optimization. A-SSCC 2020: 1-4 - [c241]Jaehyuk Lee, Surin Gweon, Kwonjoon Lee, Soyeon Um, Kyoung-Rog Lee, Kwantae Kim, Jihee Lee, Hoi-Jun Yoo:
A 9.6 mW/Ch 10 MHz Wide-bandwidth Electrical Impedance Tomography IC with Accurate Phase Compensation for Breast Cancer Detection. CICC 2020: 1-4 - [c240]Hoi-Jun Yoo:
Deep Learning Processors for On-Device Intelligence. ACM Great Lakes Symposium on VLSI 2020: 1-8 - [c239]Sangjin Kim, Sangyeob Kim, Juhyoung Lee, Hoi-Jun Yoo:
A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices. ISCAS 2020: 1-5 - [c238]Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Hoi-Jun Yoo:
7.4 GANPU: A 135TFLOPS/W Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation. ISSCC 2020: 140-142 - [c237]Dongseok Im, Sanghoon Kang, Donghyeon Han, Sungpill Choi, Hoi-Jun Yoo:
A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices. VLSI Circuits 2020: 1-2 - [c236]Kwantae Kim, Changhyeon Kim, Sungpill Choi, Hoi-Jun Yoo:
A 0.5V, 6.2μW, 0.059mm2 Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing. VLSI Circuits 2020: 1-2 - [c235]Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinmook Lee, Hoi-Jun Yoo:
A 146.52 TOPS/W Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Pruning and Adaptive Input/Output/Weight Skipping. VLSI Circuits 2020: 1-2 - [c234]Ji-Hoon Kim, Juhyoung Lee, Jinsu Lee, Hoi-Jun Yoo, Joo-Young Kim:
Z-PIM: An Energy-Efficient Sparsity Aware Processing-In-Memory Architecture with Fully-Variable Weight Precision. VLSI Circuits 2020: 1-2 - [i2]Donghyeon Han, Gwangtae Park, Junha Ryu, Hoi-Jun Yoo:
Extension of Direct Feedback Alignment to Convolutional and Recurrent Neural Network for Bio-plausible Deep Learning. CoRR abs/2006.12830 (2020)
2010 – 2019
- 2019
- [j117]Chia-Yu Chen, Boris Murmann, Jae-sun Seo, Hoi-Jun Yoo:
Custom Sub-Systems and Circuits for Deep Learning: Guest Editorial Overview. IEEE J. Emerg. Sel. Topics Circuits Syst. 9(2): 247-252 (2019) - [j116]Sungpill Choi, Kyeongryeol Bong, Donghyeon Han, Hoi-Jun Yoo:
CNNP-v2: A Memory-Centric Architecture for Low-Power CNN Processor on Domain-Specific Mobile Devices. IEEE J. Emerg. Sel. Topics Circuits Syst. 9(4): 598-611 (2019) - [j115]Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim, Hoi-Jun Yoo:
UNPU: An Energy-Efficient Deep Neural Network Accelerator With Fully Variable Weight Bit Precision. IEEE J. Solid State Circuits 54(1): 173-185 (2019) - [j114]Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, Hoi-Jun Yoo:
A Four-Camera VGA-Resolution Capsule Endoscope System With 80-Mb/s Body Channel Communication Transceiver and Sub-Centimeter Range Capsule Localization. IEEE J. Solid State Circuits 54(2): 538-549 (2019) - [j113]Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, Surin Gweon, Jaeeun Jang, Hoi-Jun Yoo:
A 0.8-V 82.9- $\mu$ W In-Ear BCI Controller IC With 8.8 PEF EEG Instrumentation Amplifier and Wireless BAN Transceiver. IEEE J. Solid State Circuits 54(4): 1185-1195 (2019) - [j112]Donghyeon Han, Jinsu Lee, Jinmook Lee, Hoi-Jun Yoo:
A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(5): 1794-1804 (2019) - [c233]Sungpill Choi, Kyeongryeol Bong, Donghyeon Han, Hoi-Jun Yoo:
CNNP-v2: An Energy Efficient Memory-Centric Convolutional Neural Network Processor Architecture. AICAS 2019: 38-41 - [c232]Jihee Lee, Jaeeun Jang, Jaehyuk Lee, Hoi-Jun Yoo:
A battery-less 31 µW HBC receiver with RF energy harvester for implantable devices. A-SSCC 2019: 177-180 - [c231]Jaeeun Jang, Hyunwoo Cho, Hoi-Jun Yoo:
An 802.15.6 HBC Standard Compatible Transceiver and 90 pJ/b Full-Duplex Transceiver for Body Channel Communication. BioCAS 2019: 1-4 - [c230]Jaeeun Jang, Hoi-Jun Yoo:
Analysis of Channel Characteristic for Body Channel Communication Transceiver Design. BODYNETS 2019: 374-383 - [c229]Jaeeun Jang, Joonsung Bae, Hoi-Jun Yoo:
Understanding Body Channel Communication : A review: from history to the future applications. CICC 2019: 1-8 - [c228]Hoi-Jun Yoo:
Mobile Deep Learning Processors on the Edge. CICC 2019: 1-91 - [c227]Donghyeon Han, Hoi-Jun Yoo:
Direct Feedback Alignment Based Convolutional Neural Network Training for Low-Power Online Learning Processor. ICCV Workshops 2019: 2445-2452 - [c226]Surin Gweon, Jaehyuk Lee, Kwantae Kim, Hoi-Jun Yoo:
93.8% Current Efficiency and 0.672 ns Transient Response Reconfigurable LDO for Wireless Sensor Network Systems. ISCAS 2019: 1-5 - [c225]Dongseok Im, Donghyeon Han, Sungpill Choi, Sanghoon Kang, Hoi-Jun Yoo:
DT-CNN: Dilated and Transposed Convolution Neural Network Accelerator for Real-Time Image Segmentation on Mobile Devices. ISCAS 2019: 1-5 - [c224]Ji-Hoon Kim, Changhyeon Kim, Kwantae Kim, Hoi-Jun Yoo:
An Ultra-Low-Power Analog-Digital Hybrid CNN Face Recognition Processor Integrated with a CIS for Always-on Mobile Devices. ISCAS 2019: 1-5 - [c223]Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinsu Lee, Hoi-Jun Yoo:
A 15.2 TOPS/W CNN Accelerator with Similar Feature Skipping for Face Recognition in Mobile Devices. ISCAS 2019: 1-5 - [c222]Hoi-Jun Yoo:
Intelligence on Silicon: From Deep-Neural-Network Accelerators to Brain Mimicking AI-SoCs. ISSCC 2019: 20-26 - [c221]Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sungpill Choi, Youngwoo Kim, Hoi-Jun Yoo:
A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression. ISSCC 2019: 136-138 - [c220]Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, Hoi-Jun Yoo:
LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16. ISSCC 2019: 142-144 - [c219]Jihee Lee, Kyoung-Rog Lee, Benjamin E. Eovino, Jeong Hoan Park, Liwei Lin, Hoi-Jun Yoo, Jerald Yoo:
A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic-Bit-Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography. ISSCC 2019: 190-192 - [c218]Yongsu Lee, Kwantae Kim, Jiwon Lee, Kyoung-Rog Lee, Surin Gweon, Minseo Kim, Hoi-Jun Yoo:
A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System. ISSCC 2019: 288-289 - [c217]Kwantae Kim, Ji-Hoon Kim, Surin Gweon, Jiwon Lee, Minseo Kim, Yongsu Lee, Soyeon Kim, Hoi-Jun Yoo:
A 0.5V 9.26μW 15.28mΩ/√Hz Bio-Impedance Sensor IC With 0.55° Overall Phase Error. ISSCC 2019: 364-366 - [c216]Juhyoung Lee, Dongjoo Shin, Jinsu Lee, Jinmook Lee, Sanghoon Kang, Hoi-Jun Yoo:
A Full HD 60 fps CNN Super Resolution Processor with Selective Caching based Layer Fusion for Mobile Devices. VLSI Circuits 2019: 302- - [c215]Donghyeon Han, Jinsu Lee, Jinmook Lee, Hoi-Jun Yoo:
A 1.32 TOPS/W Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture. VLSI Circuits 2019: 304- - [i1]Donghyeon Han, Hoi-Jun Yoo:
Efficient Convolutional Neural Network Training with Direct Feedback Alignment. CoRR abs/1901.01986 (2019) - 2018
- [j111]Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Youchang Kim, Hoi-Jun Yoo:
Low-Power Scalable 3-D Face Frontalization Processor for CNN-Based Face Recognition in Mobile Devices. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(4): 873-883 (2018) - [j110]Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Donghyeon Han, Hoi-Jun Yoo:
A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector. IEEE J. Solid State Circuits 53(1): 115-123 (2018) - [j109]Unsoo Ha, Jaehyuk Lee, Minseo Kim, Taehwan Roh, Sangsik Choi, Hoi-Jun Yoo:
An EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring. IEEE J. Solid State Circuits 53(6): 1830-1843 (2018) - [j108]Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, Hoi-Jun Yoo:
DNPU: An Energy-Efficient Deep-Learning Processor with Heterogeneous Multi-Core Architecture. IEEE Micro 38(5): 85-93 (2018) - [j107]Marco Carminati, Jacob K. Rosenstein, Roland Thewes, Hoi-Jun Yoo:
Guest Editorial Special Issue on Advances and Open Challenges for Integrated Circuits Detecting Bio Molecules. IEEE Trans. Biomed. Circuits Syst. 12(6): 1334-1336 (2018) - [j106]Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, Hoi-Jun Yoo:
A 0.55 V 1.1 mW Artificial Intelligence Processor With On-Chip PVT Compensation for Autonomous Mobile Robots. IEEE Trans. Circuits Syst. I Regul. Pap. 65-I(2): 567-580 (2018) - [c214]Jaeeun Jang, Hoi-Jun Yoo:
A Capsule Endoscope System for Wide Visualization Field and Location Tracking. BioCAS 2018: 1-4 - [c213]Marco Carminati, Roland Thewes, Jacob K. Rosenstein, Hoi-Jun Yoo:
Advances and Open Challenges for Integrated Circuits Detecting Bio-Molecules. ICECS 2018: 857-860 - [c212]Donghyeon Han, Jinsu Lee, Jinmook Lee, Sungpill Choi, Hoi-Jun Yoo:
A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices. ISCAS 2018: 1-5 - [c211]Juhyoung Lee, Changhyeon Kim, Sungpill Choi, Dongjoo Shin, Sanghoon Kang, Hoi-Jun Yoo:
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices. ISCAS 2018: 1-5 - [c210]Jiwon Lee, Kyoung-Rog Lee, Hoi-Jun Yoo:
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10-6 BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System. ISCAS 2018: 1-4 - [c209]Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim, Hoi-Jun Yoo:
UNPU: A 50.6TOPS/W unified deep neural network accelerator with 1b-to-16b fully-variable weight bit-precision. ISSCC 2018: 218-220 - [c208]Sungpill Choi, Jinsu Lee, Kyuho Jason Lee, Hoi-Jun Yoo:
A 9.02mW CNN-stereo-based real-time 3D hand-gesture recognition processor for smart mobile devices. ISSCC 2018: 220-222 - [c207]Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, Hoi-Jun Yoo:
4-Camera VGA-resolution capsule endoscope with 80Mb/s body-channel communication transceiver and Sub-cm range capsule localization. ISSCC 2018: 282-284 - [c206]Hoi-Jun Yoo:
Mobile/embedded DNN and AI SoCs. VLSI-DAT 2018: 1 - [c205]Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, Hoi-Jun Yoo:
A 0.8V 82.9µW In-Ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver. VLSI Circuits 2018: 123-124 - [c204]Sanghoon Kang, Jinmook Lee, Changhyeon Kim, Hoi-Jun Yoo:
B-Face: 0.2 MW CNN-Based Face Recognition Processor with Face Alignment for Mobile User Identification. VLSI Circuits 2018: 137-138 - 2017
- [j105]Yongsu Lee, Hyeonwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Seunghyup Yoo, Hoi-Jun Yoo:
Sticker-Type Hybrid Photoplethysmogram Monitoring System Integrating CMOS IC With Organic Optical Sensors. IEEE J. Emerg. Sel. Topics Circuits Syst. 7(1): 50-59 (2017) - [j104]Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Kyoung-Rog Lee, Jihee Lee, Gyeonghoon Kim, Hoi-Jun Yoo:
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System. IEEE J. Solid State Circuits 52(1): 139-150 (2017) - [j103]Minseo Kim, Unsoo Ha, Kyuho Jason Lee, Yongsu Lee, Hoi-Jun Yoo:
A 82-nW Chaotic Map True Random Number Generator Based on a Sub-Ranging SAR ADC. IEEE J. Solid State Circuits 52(7): 1953-1965 (2017) - [j102]Minseo Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyoung-Rog Lee, Kwantae Kim, Yongsu Lee, Kyuho Jason Lee, Hoi-Jun Yoo:
A 1.4-m $\Omega$ -Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System. IEEE J. Solid State Circuits 52(11): 2829-2842 (2017) - [j101]Youchang Kim, Dongjoo Shin, Jinsu Lee, Hoi-Jun Yoo:
BRAIN: A Low-Power Deep Search Engine for Autonomous Robots. IEEE Micro 37(5): 11-19 (2017) - [j100]Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Hoi-Jun Yoo:
Low-Power Convolutional Neural Network Processor for a Face-Recognition System. IEEE Micro 37(6): 30-38 (2017) - [j99]Jinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo:
An Energy-Efficient Speech-Extraction Processor for Robust User Speech Recognition in Mobile Head-Mounted Display Systems. IEEE Trans. Circuits Syst. II Express Briefs 64-II(4): 457-461 (2017) - [j98]Jinsu Lee, Dongjoo Shin, Youchang Kim, Hoi-Jun Yoo:
A 17.5-fJ/bit Energy-Efficient Analog SRAM for Mixed-Signal Processing. IEEE Trans. Very Large Scale Integr. Syst. 25(10): 2714-2723 (2017) - [c203]Jaeeun Jang, Minseo Kim, Joonsung Bae, Hoi-Jun Yoo:
A 2.79-mW 0.5%-THD CMOS current driver IC for portable electrical impedance tomography system. A-SSCC 2017: 145-148 - [c202]Jinmook Lee, Dongjoo Shin, Hoi-Jun Yoo:
A 21mW low-power recurrent neural network accelerator with quantization tables for embedded deep learning applications. A-SSCC 2017: 237-240 - [c201]Jinho Han, Youngsu Kwon, Yong Cheol Peter Cho, Hoi-Jun Yoo:
A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for ADAS SoC complying with ISO26262 in automotive electronics. A-SSCC 2017: 313-316 - [c200]Unsoo Ha, Hoi-Jun Yoo, Joonsung Bae:
A multimodal headpatch system for patient brain monitoring in OR and PACU. BioCAS 2017: 1-4 - [c199]Minseo Kim, Joonsung Bae, Hoi-Jun Yoo:
Wearable 3D lung ventilation monitoring system with multi frequency electrical impedance tomography. BioCAS 2017: 1-4 - [c198]Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, Hoi-Jun Yoo:
An energy-efficient deep learning processor with heterogeneous multi-core architecture for convolutional neural networks and recurrent neural networks. COOL Chips 2017: 1-2 - [c197]Yongsu Lee, Hoi-Jun Yoo:
A 274µW clock synchronized wireless body area network IC with super-regenerative RSSI for biomedical ad-hoc network system. EMBC 2017: 710-713 - [c196]Jiwon Lee, Minseo Kim, Kwantae Kim, Kiseok Song, Sanghoon Lee, Weon Kim, Jong Shin Woo, Hoi-Jun Yoo:
An adaptive DC-balanced and multi-mode stimulator IC with 1GΩ output impedance for compact electro-acupuncture system. EMBC 2017: 1457-1460 - [c195]Kwantae Kim, Kiseok Song, Kyeongryeol Bong, Jaehyuk Lee, Kwonjoon Lee, Yongsu Lee, Unsoo Ha, Hoi-Jun Yoo:
A 24 μW 38.51 mΩrms resolution bio-impedance sensor with dual path instrumentation amplifier. ESSCIRC 2017: 223-226 - [c194]Changhyeon Kim, Kyeongryeol Bong, Injoon Hong, Kyuho Jason Lee, Sungpill Choi, Hoi-Jun Yoo:
An ultra-low-power and mixed-mode event-driven face detection SoC for always-on mobile applications. ESSCIRC 2017: 255-258 - [c193]Kyuho Jason Lee, Gyeongmin Choe, Kyeongryeol Bong, Changhyeon Kim, In So Kweon, Hoi-Jun Yoo:
A Real-Time and Energy-Efficient Embedded System for Intelligent ADAS with RNN-Based Deep Risk Prediction using Stereo Camera. ICVS 2017: 346-356 - [c192]Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Hoi-Jun Yoo:
A 0.53mW ultra-low-power 3D face frontalization processor for face recognition with human-level accuracy in wearable devices. ISCAS 2017: 1-4 - [c191]Dongjoo Shin, Jinmook Lee, Jinsu Lee, Hoi-Jun Yoo:
14.2 DNPU: An 8.1TOPS/W reconfigurable CNN-RNN processor for general-purpose deep neural networks. ISSCC 2017: 240-241 - [c190]Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Sanghoon Kang, Youchang Kim, Hoi-Jun Yoo:
14.6 A 0.62mW ultra-low-power convolutional-neural-network face-recognition processor and a CIS integrated with always-on haar-like face detector. ISSCC 2017: 248-249 - [c189]Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyungrog Lee, Kwantae Kim, Yongsu Lee, Hoi-Jun Yoo:
21.2 A 1.4mΩ-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system. ISSCC 2017: 354-355 - [c188]Unsoo Ha, Jaehyuk Lee, Jihee Lee, Kwantae Kim, Minseo Kim, Taehwan Roh, Sangsik Choi, Hoi-Jun Yoo:
27.2 A 25.2mW EEG-NIRS multimodal SoC for accurate anesthesia depth monitoring. ISSCC 2017: 450-451 - [c187]Kyeongryeol Bong, Kyuho Jason Lee, Hoi-Jun Yoo:
A 590MDE/s semi-global matching processor with lossless data compression. SoCC 2017: 18-22 - [c186]Dongjoo Shin, Youchang Kim, Hoi-Jun Yoo:
A 1.41mW on-chip/off-chip hybrid transposition table for low-power robust deep tree search in artificial intelligence SoCs. SoCC 2017: 138-142 - 2016
- [j97]Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, Hoi-Jun Yoo:
A 2.71 nJ/Pixel Gaze-Activated Object Recognition System for Low-Power Mobile Smart Glasses. IEEE J. Solid State Circuits 51(1): 45-55 (2016) - [j96]Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Yongsu Lee, Kyuho Jason Lee, Joonsung Bae, Hoi-Jun Yoo:
A 79 pJ/b 80 Mb/s Full-Duplex Transceiver and a 42.5µW 100 kb/s Super-Regenerative Transceiver for Body Channel Communication. IEEE J. Solid State Circuits 51(1): 310-317 (2016) - [j95]Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, Hoi-Jun Yoo:
A 0.5° Error 10 mW CMOS Image Sensor-Based Gaze Estimation Processor. IEEE J. Solid State Circuits 51(4): 1032-1040 (2016) - [j94]Seongwook Park, Injoon Hong, Junyoung Park, Hoi-Jun Yoo:
An Energy-Efficient Embedded Deep Neural Network Processor for High Speed Visual Attention in Mobile Vision Recognition SoC. IEEE J. Solid State Circuits 51(10): 2380-2388 (2016) - [j93]Joonsung Bae, Hoi-Jun Yoo:
The effects of electrode impedance on receiver sensitivity in body channel communication. Microelectron. J. 53: 73-80 (2016) - [j92]Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A 540-µW Duty Controlled RSSI With Current Reusing Technique for Human Body Communication. IEEE Trans. Biomed. Circuits Syst. 10(4): 893-901 (2016) - [j91]Youchang Kim, Injoon Hong, Junyoung Park, Hoi-Jun Yoo:
A 0.5 V 54 µW Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation. IEEE Trans. Circuits Syst. I Regul. Pap. 63-I(3): 359-369 (2016) - [j90]Jinho Han, Youngsu Kwon, Kyeongjin Byun, Hoi-Jun Yoo:
A Fault-Tolerant Cache System of Automotive Vision Processor Complying With ISO26262. IEEE Trans. Circuits Syst. II Express Briefs 63-II(12): 1146-1150 (2016) - [j89]Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, Kyuho Jason Lee, Hoi-Jun Yoo:
A CMOS Image Sensor-Based Stereo Matching Accelerator With Focal-Plane Sparse Rectification and Analog Census Transform. IEEE Trans. Circuits Syst. I Regul. Pap. 63-I(12): 2180-2188 (2016) - [c185]Unsoo Ha, Hoi-Jun Yoo:
An EEG-NIRS ear-module SoC for wearable drowsiness monitoring system. A-SSCC 2016: 193-196 - [c184]Sungpill Choi, Seongwook Park, Hoi-Jun Yoo:
A 34pJ/level pixel depth-estimation processor with shifter-based pipelined architecture for mobile user interface. A-SSCC 2016: 257-260 - [c183]Unsoo Ha, Hoi-Jun Yoo:
A multimodal drowsiness monitoring ear-module system with closed-loop real-time alarm. BioCAS 2016: 536-539 - [c182]Youchang Kim, Dongjoo Shin, Jinsu Lee, Hoi-Jun Yoo:
A 1.1 mW 32-thread artificial intelligence processor with 3-level transposition table and on-chip PVT compensation for autonomous mobile robots. COOL Chips 2016: 1-2 - [c181]Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Junyoung Park, Hoi-Jun Yoo:
An energy-efficient parallel multi-core ADAS processor with robust visual attention and workload-prediction DVFS for real-time HD stereo stream. COOL Chips 2016: 1-3 - [c180]Yongsu Lee, Hyeonwoo Lee, Seunghyup Yoo, Hoi-Jun Yoo:
Sticker-type ECG/PPG concurrent monitoring system hybrid integration of CMOS SoC and organic sensor device. EMBC 2016: 2014-2017 - [c179]Kwonjoon Lee, Kiseok Song, Taehwan Roh, Hoi-Jun Yoo:
A fabric wrist patch sensor for continuous and comprehensive monitoring of the cardiovascular system. EMBC 2016: 6070-6073 - [c178]Jinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo:
An 8.3mW 1.6Msamples/s multi-modal event-driven speech enhancement processor for robust speech recognition in smart glasses. ESSCIRC 2016: 117-120 - [c177]Minseo Kim, Unsoo Ha, Yongsu Lee, Kyuho Jason Lee, Hoi-Jun Yoo:
A 82nW chaotic-map true random number generator based on sub-ranging SAR ADC. ESSCIRC 2016: 157-160 - [c176]Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Hoi-Jun Yoo:
An intelligent ADAS processor with real-time semi-global matching and intention prediction for 720p stereo vision. Hot Chips Symposium 2016: 1 - [c175]Jaehyuk Lee, Unsoo Ha, Hoi-Jun Yoo:
30-fps SNR equalized electrical impedance tomography IC with fast-settle filter and adaptive current control for lung monitoring. ISCAS 2016: 109-112 - [c174]Jinsu Lee, Dongjoo Shin, Youchang Kim, Hoi-Jun Yoo:
A 17.5 fJ/bit energy-efficient analog SRAM for mixed-signal processing. ISCAS 2016: 1010-1013 - [c173]Kwantae Kim, Minseo Kim, Hyunwoo Cho, Kwonjoon Lee, Seung-Tak Ryu, Hoi-Jun Yoo:
A 54-μW fast-settling arterial pulse wave sensor for wrist watch type system. ISCAS 2016: 1082-1085 - [c172]Kyoung-Rog Lee, Jaeeun Jang, Hyunwoo Cho, Hoi-Jun Yoo:
A 635 μW non-contact compensation IC for body channel communication. ISCAS 2016: 1406-1409 - [c171]Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, Hoi-Jun Yoo:
A 43.7 mW 94 fps CMOS image sensor-based stereo matching accelerator with focal-plane rectification and analog census transformation. ISCAS 2016: 1418-1421 - [c170]Jihee Lee, Yongsu Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A 48 μW, 8.88 × 10-3 W/W batteryless energy harvesting BCC identification system. ISCAS 2016: 1806-1809 - [c169]Jinho Han, Youngsu Kwon, Kyeongjin Byun, Hoi-Jun Yoo:
A fault tolerant cache system of automotive vision processor complying with ISO26262. ISCAS 2016: 2912 - [c168]Seongwook Park, Sungpill Choi, Jinmook Lee, Minseo Kim, Junyoung Park, Hoi-Jun Yoo:
14.1 A 126.1mW real-time natural UI/UX processor with embedded deep-learning core for low-power smart glasses. ISSCC 2016: 254-255 - [c167]Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Kyoung-Rog Lee, Gyeonghoon Kim, Hoi-Jun Yoo:
14.2 A 502GOPS and 0.984mW dual-mode ADAS SoC with RNN-FIS engine for intention prediction in automotive black-box system. ISSCC 2016: 256-257 - [c166]Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, Hoi-Jun Yoo:
14.3 A 0.55V 1.1mW artificial-intelligence processor with PVT compensation for micro robots. ISSCC 2016: 258-259 - [c165]Yongsu Lee, Hyeonwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Kyoung-Rog Lee, Kwantae Kim, Hyunwoo Cho, Seunghyup Yoo, Hoi-Jun Yoo:
22.3 A 141µW sensor SoC on OLED/OPD substrate for SpO2/ExG monitoring sticker. ISSCC 2016: 384-385 - [c164]Youchang Kim, Injoon Hong, Seongwook Park, Hoi-Jun Yoo:
Low-power real-time intelligent SoCs for smart machines. SoCC 2016: 247-252 - 2015
- [j88]Gyeonghoon Kim, Kyuho Jason Lee, Youchang Kim, Seongwook Park, Injoon Hong, Kyeongryeol Bong, Hoi-Jun Yoo:
A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multicore Processor With Neural Network NoC for HMD Applications. IEEE J. Solid State Circuits 50(1): 113-124 (2015) - [j87]Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, Hoi-Jun Yoo:
A 4.9 mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System. IEEE J. Solid State Circuits 50(1): 245-257 (2015) - [j86]Kiseok Song, Unsoo Ha, Seongwook Park, Joonsung Bae, Hoi-Jun Yoo:
An Impedance and Multi-Wavelength Near-Infrared Spectroscopy IC for Non-Invasive Blood Glucose Estimation. IEEE J. Solid State Circuits 50(4): 1025-1037 (2015) - [j85]Kyuho Jason Lee, Gyeonghoon Kim, Junyoung Park, Hoi-Jun Yoo:
A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition. IEEE J. Solid State Circuits 50(4): 1059-1069 (2015) - [j84]Joonsung Bae, Hoi-Jun Yoo:
A 45 µW Injection-Locked FSK Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network. IEEE J. Solid State Circuits 50(6): 1351-1360 (2015) - [j83]Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, Hoi-Jun Yoo:
A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System. IEEE J. Solid State Circuits 50(11): 2501-2512 (2015) - [j82]Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, Hoi-Jun Yoo:
A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor. IEEE J. Solid State Circuits 50(11): 2513-2523 (2015) - [j81]Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, Hoi-Jun Yoo:
A 5.2 mW IEEE 802.15.6 HBC Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based BPSK Demodulator. IEEE J. Solid State Circuits 50(11): 2549-2559 (2015) - [j80]Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, Hoi-Jun Yoo:
A Wearable EEG-HEG-HRV Multimodal System With Simultaneous Monitoring of tES for Mental Health Management. IEEE Trans. Biomed. Circuits Syst. 9(6): 758-766 (2015) - [j79]Seongwook Park, Junyoung Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, Hoi-Jun Yoo:
An Energy-Efficient and Scalable Deep Learning/Inference Processor With Tetra-Parallel MIMD Architecture for Big Data Applications. IEEE Trans. Biomed. Circuits Syst. 9(6): 838-848 (2015) - [c163]Injoon Hong, Seongwook Park, Junyoung Park, Hoi-Jun Yoo:
A 1.9nJ/pixel embedded deep neural network processor for high speed visual attention in a mobile vision recognition SoC. A-SSCC 2015: 1-4 - [c162]Hyunki Kim, Kiseok Song, Taehwan Roh, Hoi-Jun Yoo:
A 95% accurate EEG-connectome processor for a mental health monitoring system. A-SSCC 2015: 1-4 - [c161]Injoon Hong, Dongjoo Shin, Youchang Kim, Kyeongryeol Bong, Seongwook Park, Kyuho Jason Lee, Hoi-Jun Yoo:
A keypoint-level parallel pipelined object recognition processor with gaze activation image sensor for mobile smart glasses system. COOL Chips 2015: 1-3 - [c160]Unsoo Ha, Changhyeon Kim, Yongsu Lee, Hyunki Kim, Taehwan Roh, Hoi-Jun Yoo:
A multimodal stress monitoring system with canonical correlation analysis. EMBC 2015: 1263-1266 - [c159]Sunjoo Hong, Jaehyuk Lee, Hoi-Jun Yoo:
Wearable lung-health monitoring system with electrical impedance tomography. EMBC 2015: 1707-1710 - [c158]Kyuho Jason Lee, Junyoung Park, Injoon Hong, Hoi-Jun Yoo:
Intelligent task scheduler with high throughput NoC for real-time mobile object recognition SoC. ESSCIRC 2015: 100-103 - [c157]Gyeonghoon Kim, Hoi-Jun Yoo:
A low-power and real-time augmented reality processor for the next generation smart glasses. Hot Chips Symposium 2015: 1 - [c156]Gyeonghoon Kim, Sungpill Choi, Hoi-Jun Yoo:
K-glass: Real-time markerless augmented reality smart glasses platform. ICIT 2015: 1712-1717 - [c155]Sungpill Choi, Seongwook Park, Gyeonghoon Kim, Hoi-Jun Yoo:
A 124.9fps memory-efficient hand segmentation processor for hand gesture in mobile devices. ISCAS 2015: 742-745 - [c154]Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A 0.54-mW duty controlled RSSI with current reusing technique for human body communication. ISCAS 2015: 1230-1233 - [c153]Minseo Kim, Joonsung Bae, Unsoo Ha, Hoi-Jun Yoo:
A 24-mW 28-Gb/s wireline receiver with low-frequency equalizing CTLE and 2-tap speculative DFE. ISCAS 2015: 1610-1613 - [c152]Jinmook Lee, Seongwook Park, Injoon Hong, Hoi-Jun Yoo:
A 3.13nJ/sample energy-efficient speech extraction processor for robust speech recognition in mobile head-mounted display systems. ISCAS 2015: 1790-1793 - [c151]Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Joonsung Bae, Hoi-Jun Yoo:
21.1 A 79pJ/b 80Mb/s full-duplex transceiver and a 42.5μW 100kb/s super-regenerative transceiver for body channel communication. ISSCC 2015: 1-3 - [c150]Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, Hoi-Jun Yoo:
21.9 A wearable EEG-HEG-HRV multimodal system with real-time tES monitoring for mental health management. ISSCC 2015: 1-3 - [c149]Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, Hoi-Jun Yoo:
18.1 A 2.71nJ/pixel 3D-stacked gaze-activated object-recognition system for low-power mobile HMD applications. ISSCC 2015: 1-3 - [c148]Youchang Kim, Injoon Hong, Hoi-Jun Yoo:
18.3 A 0.5V 54μW ultra-low-power recognition processor with 93.5% accuracy geometric vocabulary tree and 47.5% database compression. ISSCC 2015: 1-3 - [c147]Seongwook Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, Hoi-Jun Yoo:
4.6 A1.93TOPS/W scalable deep learning/inference processor with tetra-parallel MIMD architecture for big-data applications. ISSCC 2015: 1-3 - [c146]Anantha P. Chandrakasan, Hoi-Jun Yoo:
Session 1 overview: Plenary session. ISSCC 2015: 6-7 - [c145]Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, Hoi-Jun Yoo:
A 0.5-degree error 10mW CMOS image sensor-based gaze estimation processor with logarithmic processing. VLSIC 2015: 46- - [c144]Dongjoo Shin, Injoon Hong, Gyeonghoon Kim, Hoi-Jun Yoo:
A 33 nJ/vector descriptor generation processor for low-power object recognition. VLSIC 2015: 52- - [c143]Yongsu Lee, Kiseok Song, Hoi-Jun Yoo:
A 4.84mW 30fps dual frequency division multiplexing electrical impedance tomography SoC for lung ventilation monitoring system. VLSIC 2015: 204- - 2014
- [j78]Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, Hoi-Jun Yoo:
An 87-mA · min Iontophoresis Controller IC With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System. IEEE J. Solid State Circuits 49(1): 167-178 (2014) - [j77]Gyeonghoon Kim, Donghyun Kim, Seongwook Park, Youchang Kim, Kyuho Jason Lee, Injoon Hong, Kyeongryeol Bong, Hoi-Jun Yoo:
An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler. IEEE Micro 34(6): 31-41 (2014) - [j76]Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, Hoi-Jun Yoo:
A Wearable Neuro-Feedback System With EEG-Based Mental Status Monitoring and Transcranial Electrical Stimulation. IEEE Trans. Biomed. Circuits Syst. 8(6): 755-764 (2014) - [j75]Junyoung Park, Injoon Hong, Gyeonghoon Kim, Byeong-Gyu Nam, Hoi-Jun Yoo:
Intelligent Network-on-Chip With Online Reinforcement Learning for Portable HD Object Recognition Processor. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(2): 476-484 (2014) - [c142]Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, Hoi-Jun Yoo:
A 10.4 mW electrical impedance tomography SoC for portable real-time lung ventilation monitoring system. A-SSCC 2014: 193-196 - [c141]Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, Hoi-Jun Yoo:
A 27mW reconfigurable marker-less logarithmic camera pose estimation engine for mobile augmented reality processor. A-SSCC 2014: 209-212 - [c140]Youchang Kim, Gyeonghoon Kim, Injoon Hong, Donghyun Kim, Hoi-Jun Yoo:
A 4.9 mW neural network task scheduler for congestion-minimized network-on-chip in multi-core systems. A-SSCC 2014: 213-216 - [c139]Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, Hoi-Jun Yoo:
A 5.2mW IEEE 802.15.6 HBC standard compatible transceiver with power efficient delay-locked-loop based BPSK demodulator. A-SSCC 2014: 297-300 - [c138]Kyeongryeol Bong, Gyeonghoon Kim, Hoi-Jun Yoo:
Energy-efficient Mixed-mode support vector machine processor with analog Gaussian kernel. CICC 2014: 1-4 - [c137]Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A 33μW/node Duty Cycle Controlled HBC Transceiver system for medical BAN with 64 sensor nodes. CICC 2014: 1-8 - [c136]Gyeonghoon Kim, Seongwook Park, Kyuho Jason Lee, Youchang Kim, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Junyoung Park, Hoi-Jun Yoo:
A task-level pipelined many-SIMD augmented reality processor with congestion-aware network-on-chip scheduler. COOL Chips 2014: 1-3 - [c135]Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
Wearable depression monitoring system with heart-rate variability. EMBC 2014: 562-565 - [c134]Seongwook Park, Gyeonghoon Kim, Junyoung Park, Hoi-Jun Yoo:
A 1.5nJ/pixel super-resolution enhanced FAST corner detection processor for high accuracy AR. ESSCIRC 2014: 191-194 - [c133]Kyeongryeol Bong, Gyeonghoon Kim, Injoon Hong, Hoi-Jun Yoo:
An 1.61mW mixed-signal column processor for BRISK feature extraction in CMOS image sensor. ISCAS 2014: 57-60 - [c132]Dongjoo Shin, Injoon Hong, Hoi-Jun Yoo:
An 1.92mW Feature Reuse Engine based on inter-frame similarity for low-power object recognition in video frames. ISCAS 2014: 758-761 - [c131]Yongsu Lee, Unsoo Ha, Kiseok Song, Hoi-Jun Yoo:
3.8 mW electrocardiogram (ECG) filtered electrical impedance tomography IC using I/Q homodyne architecture for breast cancer diagnosis. ISCAS 2014: 2389-2392 - [c130]Gyeonghoon Kim, Youchang Kim, Kyuho Jason Lee, Seongwook Park, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Jinwook Oh, Hoi-Jun Yoo:
10.4 A 1.22TOPS and 1.52mW/MHz augmented reality multi-core processor with neural network NoC for HMD applications. ISSCC 2014: 182-183 - [c129]Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, Hoi-Jun Yoo:
18.4 A 4.9mΩ-sensitivity mobile electrical impedance tomography IC for early breast-cancer detection system. ISSCC 2014: 316-317 - [c128]Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, Unsoo Ha, Kwonjoon Lee, Hoi-Jun Yoo:
18.5 A 2.14mW EEG neuro-feedback processor with transcranial electrical stimulation for mental-health management. ISSCC 2014: 318-319 - [c127]Kyuho Jason Lee, Gyeonghoon Kim, Junyoung Park, Hoi-Jun Yoo:
A Vocabulary Forest-based object matching processor with 2.07M-vec/s throughput and 13.3nJ/vector energy in full-HD resolution. VLSIC 2014: 1-2 - [c126]Kiseok Song, Unsoo Ha, Seongwook Park, Hoi-Jun Yoo:
An impedance and multi-wavelength near-infrared spectroscopy IC for non-invasive blood glucose estimation. VLSIC 2014: 1-2 - 2013
- [j74]Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo, Hoi-Jun Yoo:
A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams. IEEE J. Solid State Circuits 48(1): 33-45 (2013) - [j73]Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, Hoi-Jun Yoo:
An 86 mW 98GOPS ANN-Searching Processor for Full-HD 30 fps Video Object Recognition With Zeroless Locality-Sensitive Hashing. IEEE J. Solid State Circuits 48(7): 1615-1624 (2013) - [j72]Jinwook Oh, Gyeonghoon Kim, Byeong-Gyu Nam, Hoi-Jun Yoo:
A 57 mW 12.5 µJ/Epoch Embedded Mixed-Mode Neuro-Fuzzy Processor for Mobile Real-Time Object Recognition. IEEE J. Solid State Circuits 48(11): 2894-2907 (2013) - [j71]Seulki Lee, Hoi-Jun Yoo:
Low Power and Self-Reconfigurable WBAN Controller for Continuous Bio-Signal Monitoring System. IEEE Trans. Biomed. Circuits Syst. 7(2): 178-185 (2013) - [j70]Hyunwoo Cho, Joonsung Bae, Hoi-Jun Yoo:
A 37.5 µW Body Channel Communication Wake-Up Receiver With Injection-Locking Ring Oscillator for Wireless Body Area Network. IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(5): 1200-1208 (2013) - [j69]Jinwook Oh, Seungjin Lee, Hoi-Jun Yoo:
1.2-mW Online Learning Mixed-Mode Intelligent Inference Engine for Low-Power Real-Time Object Recognition Processor. IEEE Trans. Very Large Scale Integr. Syst. 21(5): 921-933 (2013) - [c125]Kiseok Song, Unsoo Ha, Jaehyuk Lee, Hoi-Jun Yoo:
Bio-feedback iontophoresis patch for controllable transdermal drug delivery. BioCAS 2013: 330-333 - [c124]Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Jason Lee, Seongwook Park, Kyeongryeol Bong, Hoi-Jun Yoo:
A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling. COOL Chips 2013: 1-3 - [c123]Junyoung Park, Byeong-Gyu Nam, Hoi-Jun Yoo:
A high-throughput 16× super resolution processor for real-time object recognition SoC. ESSCIRC 2013: 259-262 - [c122]Youchang Kim, Junyoung Park, Hoi-Jun Yoo:
A 34.1fps scale-space processor with two-dimensional cache for real-time object recognition. ISCAS 2013: 689-692 - [c121]Seongwook Park, Junyoung Park, Injoon Hong, Hoi-Jun Yoo:
A 32.8mW 60fps cortical vision processor for spatio-temporal action recognition. ISCAS 2013: 1002-1005 - [c120]Unsoo Ha, Hyunwoo Cho, Hoi-Jun Yoo:
A 0.7pJ/bit 2Gbps self-synchronous serial link receiver using gated-ring oscillator for inductive coupling communication. ISCAS 2013: 1183-1186 - [c119]Kyuho Jason Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, Hoi-Jun Yoo:
A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensation. ISCAS 2013: 1608-1611 - [c118]Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, Hoi-Jun Yoo:
An 87mA·min iontophoresis controller IC with dual-mode impedance sensor for patch-type transdermal drug delivery system. ISSCC 2013: 98-99 - [c117]Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Jason Lee, Seongwook Park, Kyeongryeol Bong, Hoi-Jun Yoo:
A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition. ISSCC 2013: 168-169 - [c116]Hyunwoo Cho, Unsoo Ha, Taehwan Roh, Dongchurl Kim, Jeahyuck Lee, Yunje Oh, Hoi-Jun Yoo:
1.2Gb/s 3.9pJ/b mono-phase pulse-modulation inductive-coupling transceiver for mm-range board-to-board communication. ISSCC 2013: 202-203 - [c115]Hyungwoo Lee, Kwonjoon Lee, Sunjoo Hong, Kiseok Song, Taehwan Roh, Joonsung Bae, Hoi-Jun Yoo:
A 5.5mW IEEE-802.15.6 wireless body-area-network standard transceiver for multichannel electro-acupuncture application. ISSCC 2013: 452-453 - 2012
- [j68]Benton H. Calhoun, Anantha P. Chandrakasan, Brian P. Otis, Naveen Verma, Hoi-Jun Yoo:
Guest Editorial Emerging Circuits and Systems Techniques for Ultra-Low Power Body Sensor Networks. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(1): 1-3 (2012) - [j67]Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation. IEEE J. Solid State Circuits 47(1): 310-322 (2012) - [j66]Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
A 75 µ W Real-Time Scalable Body Area Network Controller and a 25 µW ExG Sensor IC for Compact Sleep Monitoring Applications. IEEE J. Solid State Circuits 47(1): 323-334 (2012) - [j65]Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A Low-Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area Network. IEEE J. Solid State Circuits 47(11): 2678-2692 (2012) - [j64]Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, Joo-Young Kim, Hoi-Jun Yoo:
A 92-mW Real-Time Traffic Sign Recognition System With Robust Illumination Adaptation and Support Vector Machine. IEEE J. Solid State Circuits 47(11): 2711-2723 (2012) - [j63]Jinwook Oh, Gyeonghoon Kim, Injoon Hong, Junyoung Park, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo, Hoi-Jun Yoo:
Low-Power, Real-Time Object-Recognition Processors for Mobile Vision Systems. IEEE Micro 32(6): 38-50 (2012) - [j62]Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Unsoo Ha, Hoi-Jun Yoo:
A Sub-10 nA DC-Balanced Adaptive Stimulator IC With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation. IEEE Trans. Biomed. Circuits Syst. 6(6): 533-541 (2012) - [c114]Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Kwonjoon Lee, Hoi-Jun Yoo:
Compact electro-acupuncture system for multi-modal feedback stimulation. BioCAS 2012: 82 - [c113]Taehwan Roh, Kyungryul Bong, Sunjoo Hong, Hyunwoo Cho, Hoi-Jun Yoo:
Live demonstration: Wearable mental health monitoring system with planar-fashonable circuit board. BioCAS 2012: 84 - [c112]Sunjoo Hong, Seulki Lee, Taehwan Roh, Hoi-Jun Yoo:
A 46 μW motion artifact reduction bio-signal sensor with ICA based adaptive DC level control for sleep monitoring system. CICC 2012: 1-4 - [c111]Junyoung Park, Injoon Hong, Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, Hoi-Jun Yoo:
Online Reinforcement Learning NoC for portable HD object recognition processor. CICC 2012: 1-4 - [c110]Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Hoi-Jun Yoo:
A simultaneous multithreading heterogeneous object recognition processor with machine learning based dynamic resource management. COOL Chips 2012: 1-3 - [c109]Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Hoi-Jun Yoo:
The compact electro-acupuncture system for multi-modal feedback electro-acupuncture treatment. EMBC 2012: 3265-3268 - [c108]Taehwan Roh, Kyeongryeol Bong, Sunjoo Hong, Hyunwoo Cho, Hoi-Jun Yoo:
Wearable mental-health monitoring platform with independent component analysis and nonlinear chaotic analysis. EMBC 2012: 4541-4544 - [c107]Gyeonghoon Kim, Jinwook Oh, Hoi-Jun Yoo:
A 86mW 98GOPS ANN-searching processor for Full-HD 30fps video object recognition with zeroless locality-sensitive hashing. ESSCIRC 2012: 450-453 - [c106]Seulki Lee, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
A 2.1µW real-time reconfigurable wearable BAN controller with dual linked list structure. ISCAS 2012: 1540-1543 - [c105]Hyunwoo Cho, Joonsung Bae, Hoi-Jun Yoo:
A 39 µW body channel communication wake-up receiver with injection-locking ring-oscillator for wireless body area network. ISCAS 2012: 2641-2644 - [c104]Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Hoi-Jun Yoo:
A 320mW 342GOPS real-time moving object recognition processor for HD 720p video streams. ISSCC 2012: 220-222 - [c103]Jerald Yoo, Long Yan, Dina El-Damak, Muhammad Bin Altaf, Ali H. Shoeb, Hoi-Jun Yoo, Anantha P. Chandrakasan:
An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processor. ISSCC 2012: 292-294 - [c102]Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, Hoi-Jun Yoo:
A 259.6μW nonlinear HRV-EEG chaos processor with body channel communication interface for mental health monitoring. ISSCC 2012: 294-296 - [c101]Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Hoi-Jun Yoo:
A sub-10nA DC-balanced adaptive stimulator IC with multimodal sensor for compact electro-acupuncture system. ISSCC 2012: 296-298 - [c100]Chris Van Hoof, Wim Dehaene, Wentai Liu, Timothy Denison, Minkyu Je, Hoi-Jun Yoo:
Bioelectronics for sustainable healthcare. ISSCC 2012: 506-507 - 2011
- [j61]Seungjin Lee, Jinwook Oh, Junyoung Park, Joonsoo Kwon, Minsu Kim, Hoi-Jun Yoo:
A 345 mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition. IEEE J. Solid State Circuits 46(1): 42-51 (2011) - [j60]Long Yan, Joonsung Bae, Seulki Lee, Taehwan Roh, Kiseok Song, Hoi-Jun Yoo:
A 3.9 mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System. IEEE J. Solid State Circuits 46(1): 353-364 (2011) - [j59]Joonsung Bae, Long Yan, Hoi-Jun Yoo:
A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications. IEEE J. Solid State Circuits 46(4): 928-937 (2011) - [j58]Kiseok Song, Long Yan, Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A Wirelessly Powered Electro-Acupuncture Based on Adaptive Pulsewidth Monophase Stimulation. IEEE Trans. Biomed. Circuits Syst. 5(2): 138-146 (2011) - [j57]Seungjin Lee, Minsu Kim, Kwanho Kim, Joo-Young Kim, Hoi-Jun Yoo:
24-GOPS 4.5-mm2 Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC. IEEE Trans. Neural Networks 22(1): 64-73 (2011) - [c99]Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Hoi-Jun Yoo:
A low energy crystal-less double-FSK transceiver for wireless body-area-network. A-SSCC 2011: 181-184 - [c98]Jinwook Oh, Gyeonghoon Kim, Hoi-Jun Yoo:
An asynchronous mixed-mode neuro-fuzzy controller for energy efficient machine intelligence SoC. A-SSCC 2011: 389-392 - [c97]Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, Hoi-Jun Yoo:
A 92mW real-time traffic sign recognition system with robust light and dark adaptation. A-SSCC 2011: 397-400 - [c96]Kiseok Song, Joonsung Bae, Long Yan, Hoi-Jun Yoo:
A 20 µW contact impedance sensor for wireless body-area-network transceiver. CICC 2011: 1-4 - [c95]Jerald Yoo, Hoi-Jun Yoo:
Fabric circuit board-based dry electrode and its characteristics for long-term physiological signal recording. EMBC 2011: 2497-2500 - [c94]Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
The Smart Patches and Wearable Band (W-Band) for comfortable sleep monitoring system. EMBC 2011: 6915-6918 - [c93]Gyeonghoon Kim, Seungjin Lee, Junyoung Park, Hoi-Jun Yoo:
A low-energy hybrid radix-4/-8 multiplier for portable multimedia applications. ISCAS 2011: 1171-1174 - [c92]Sunjoo Hong, Taehwan Roh, Hoi-Jun Yoo:
A 145µW 8×8 parallel multiplier based on optimized bypassing architecture. ISCAS 2011: 1175-1178 - [c91]Hyungwoo Lee, Kiseok Song, Long Yan, Hoi-Jun Yoo:
A 2.4µW 400nC/s constant charge injector for wirelessly-powered electro-acupuncture. ISCAS 2011: 1716-1719 - [c90]Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Long Yan, Hoi-Jun Yoo:
A 0.24nJ/b wireless body-area-network transceiver with scalable double-FSK modulation. ISSCC 2011: 34-36 - [c89]Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
A 75μW real-time scalable network controller and a 25μW ExG sensor IC for compact sleep-monitoring applications. ISSCC 2011: 36-38 - [c88]Jinwook Oh, Junyoung Park, Gyeonghoon Kim, Seungjin Lee, Hoi-Jun Yoo:
A 57mW embedded mixed-mode neuro-fuzzy accelerator for intelligent multi-core processor. ISSCC 2011: 130-132 - [c87]Hoi-Jun Yoo, Alison J. Burdett:
Body area network: Technology, solutions, and standardization. ISSCC 2011: 531 - [p5]Hoi-Jun Yoo, Chris Van Hoof:
Introduction to Bio-Medical CMOS IC. Bio-Medical CMOS ICs 2011: 1-9 - [p4]Hyejung Kim, Hoi-Jun Yoo:
Low Power Bio-Medical DSP. Bio-Medical CMOS ICs 2011: 191-215 - [p3]Seong-Jun Song, Hoi-Jun Yoo:
Body Channel Communication for Energy-Efficient BAN. Bio-Medical CMOS ICs 2011: 303-336 - [p2]Jerald Yoo, Hoi-Jun Yoo:
Wearable Healthcare System. Bio-Medical CMOS ICs 2011: 339-370 - [p1]Sunyoung Kim, Hoi-Jun Yoo:
Digital Hearing Aid and Cochlear Implant. Bio-Medical CMOS ICs 2011: 371-419 - [e1]Hoi-Jun Yoo, Chris Van Hoof:
Bio-Medical CMOS ICs. Integrated Circuits and Systems, Springer 2011, ISBN 978-1-4419-6596-7 [contents] - 2010
- [j56]Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A 4.78 µs Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network. IEICE Trans. Commun. 93-B(11): 2892-2900 (2010) - [j55]Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Hoi-Jun Yoo:
A 201.4 GOPS 496 mW Real-Time Multi-Object Recognition Processor With Bio-Inspired Neural Perception Engine. IEEE J. Solid State Circuits 45(1): 32-45 (2010) - [j54]Jerald Yoo, Long Yan, Seulki Lee, Yongsang Kim, Hoi-Jun Yoo:
A 5.2 mW Self-Configured Wearable Body Sensor Network Controller and a 12 μ W Wirelessly Powered Sensor for a Continuous Health Monitoring System. IEEE J. Solid State Circuits 45(1): 178-188 (2010) - [j53]Joo-Young Kim, Junyoung Park, Seungjin Lee, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo:
A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition. IEEE J. Solid State Circuits 45(7): 1399-1409 (2010) - [j52]Long Yan, Jerald Yoo, Binhee Kim, Hoi-Jun Yoo:
A 0.5-μ Vrms 12-μ W Wirelessly Powered Patch-Type Healthcare Sensor for Wearable Body Sensor Network. IEEE J. Solid State Circuits 45(11): 2356-2365 (2010) - [j51]Seulki Lee, Kiseok Song, Jerald Yoo, Hoi-Jun Yoo:
A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications. IEEE J. Solid State Circuits 45(11): 2366-2374 (2010) - [j50]Seungjin Lee, Kwanho Kim, Joo-Young Kim, Minsu Kim, Hoi-Jun Yoo:
Familiarity based unified visual attention model for fast and robust object recognition. Pattern Recognit. 43(3): 1116-1128 (2010) - [j49]Joo-Young Kim, Sejong Oh, Seungjin Lee, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo:
An attention controlled multi-core architecture for energy efficient object recognition. Signal Process. Image Commun. 25(5): 363-376 (2010) - [j48]Anas A. Hamoui, Hoi-Jun Yoo:
Guest Editorial - Selected Papers From the 2010 IEEE International Solid-State Circuits Conference (ISSCC). IEEE Trans. Biomed. Circuits Syst. 4(6): 337-339 (2010) - [j47]Joo-Young Kim, Donghyun Kim, Seungjin Lee, Kwanho Kim, Hoi-Jun Yoo:
Visual Image Processing RAM: Memory Architecture With 2-D Data Location Search and Data Consistency Management for a Multicore Object Recognition Processor. IEEE Trans. Circuits Syst. Video Technol. 20(4): 485-495 (2010) - [j46]Hyejung Kim, Refet Firat Yazicioglu, Patrick Merken, Chris Van Hoof, Hoi-Jun Yoo:
ECG signal compression and classification algorithm with quad level vector for ECG holter system. IEEE Trans. Inf. Technol. Biomed. 14(1): 93-100 (2010) - [c86]Hyungwoo Lee, Taehwan Roh, Joonsung Bae, Hoi-Jun Yoo:
A 60µW 10Mb/s fully digital FSK demodulator for power-jitter efficient medical BAN. APCCAS 2010: 504-507 - [c85]Sunjoo Hong, Kiseok Song, Long Yan, Hoi-Jun Yoo:
A combined method to reduce motion artifact and power line interference for wearable healthcare systems. APCCAS 2010: 508-511 - [c84]Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, Joo-Young Kim, Hoi-Jun Yoo:
Intelligent NoC with neuro-fuzzy bandwidth regulation for a 51 IP object recognition processor. CICC 2010: 1-4 - [c83]Junyoung Park, Seungjin Lee, Hoi-Jun Yoo:
A 30fps stereo matching processor based on belief propagation with disparity-parallel PE array architecture. ISCAS 2010: 453-456 - [c82]Joonsoo Kwon, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo:
A 22.4 mW competitive fuzzy edge detection processor for volume rendering. ISCAS 2010: 1883-1886 - [c81]Kiseok Song, Seulki Lee, Hoi-Jun Yoo:
A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulation. ISCAS 2010: 2087-2090 - [c80]Seulki Lee, Seungwook Paek, Hoi-Jun Yoo:
Live demonstration: A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit board. ISCAS 2010: 2777 - [c79]Seulki Lee, Seungwook Paek, Hoi-Jun Yoo:
A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit board. ISCAS 2010: 2778-2781 - [c78]Taehwan Roh, Joonsung Bae, Hoi-Jun Yoo:
A lOMb/s 4ns jitter direct conversion low Modulation Index FSK demodulator for low-energy body sensor network. ISCAS 2010: 3004-3007 - [c77]Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, Hoi-Jun Yoo:
A 345mW heterogeneous many-core processor with an intelligent inference engine for robust object recognition. ISSCC 2010: 332-333 - [c76]Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, Hoi-Jun Yoo:
A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder. ISSCC 2010: 490-491 - [c75]Seulki Lee, Binhee Kim, Taehwan Roh, Sunjoo Hong, Hoi-Jun Yoo:
Arm-band type textile-MP3 player with multi-layer Planar Fashionable Circuit Board (P-FCB) techniques. ISWC 2010: 1-7 - [c74]Hoi-Jun Yoo, Kristof Van Laerhoven:
Message from technical program co-chairs. ISWC 2010: 3 - [c73]Long Yan, Hoi-Jun Yoo:
A smart poultice with reconfigurable sensor array for wearable cardiac healthcare. PervasiveHealth 2010: 1-2
2000 – 2009
- 2009
- [j45]Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo:
A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices. IEEE Computer Graphics and Applications 29(5): 82-90 (2009) - [j44]Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Hoi-Jun Yoo:
Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor. IET Comput. Digit. Tech. 3(5): 513-524 (2009) - [j43]Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Hoi-Jun Yoo:
A 125 GOPS 583 mW Network-on-Chip Based Parallel Processor With Bio-Inspired Visual Attention Engine. IEEE J. Solid State Circuits 44(1): 136-147 (2009) - [j42]Namjun Cho, Long Yan, Joonsung Bae, Hoi-Jun Yoo:
A 60 kb/s-10 Mb/s Adaptive Frequency Hopping Transceiver for Interference-Resilient Body Channel Communication. IEEE J. Solid State Circuits 44(3): 708-717 (2009) - [j41]Byeong-Gyu Nam, Hoi-Jun Yoo:
An Embedded Stream Processor Core Based on Logarithmic Arithmetic for a Low-Power 3-D Graphics SoC. IEEE J. Solid State Circuits 44(5): 1554-1570 (2009) - [j40]Hoi-Jun Yoo, SeongHwan Cho:
Introduction to the Special Section on the 2008 Asian Solid-State Circuits Conference (A-SSCC'08). IEEE J. Solid State Circuits 44(11): 2871-2872 (2009) - [j39]Jerald Yoo, Seulki Lee, Hoi-Jun Yoo:
A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications. IEEE J. Solid State Circuits 44(11): 2999-3010 (2009) - [j38]Namjun Cho, Joonsung Bae, Hoi-Jun Yoo:
A 10.8 mW Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller. IEEE J. Solid State Circuits 44(12): 3459-3468 (2009) - [j37]Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Sejong Oh, Hoi-Jun Yoo:
Real-Time Object Recognition with Neuro-Fuzzy Controlled Workload-Aware Task Pipelining. IEEE Micro 29(6): 28-43 (2009) - [j36]Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A 200-Mbps 0.02-nJ/b Dual-Mode Inductive Coupling Transceiver for cm-Range Multimedia Application. IEEE Trans. Circuits Syst. I Regul. Pap. 56-I(5): 1063-1072 (2009) - [j35]Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Hoi-Jun Yoo:
A Configurable Heterogeneous Multicore Architecture With Cellular Neural Network for Real-Time Object Recognition. IEEE Trans. Circuits Syst. Video Technol. 19(11): 1612-1622 (2009) - [j34]Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, Hoi-Jun Yoo:
A Wearable ECG Acquisition System With Compact Planar-Fashionable Circuit Board-Based Shirt. IEEE Trans. Inf. Technol. Biomed. 13(6): 897-902 (2009) - [j33]Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, Hoi-Jun Yoo:
81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC. IEEE Trans. Very Large Scale Integr. Syst. 17(3): 370-383 (2009) - [j32]Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo:
A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG. IEEE Trans. Very Large Scale Integr. Syst. 17(9): 1260-1266 (2009) - [c72]Hyejung Kim, Yongsang Kim, Binhee Kim, Hoi-Jun Yoo:
A Wearable Fabric Computer by Planar-Fashionable Circuit Board Technique. BSN 2009: 282-285 - [c71]Minsu Kim, Joo-Young Kim, Seungjin Lee, Jinwook Oh, Hoi-Jun Yoo:
A 54GOPS 51.8mW analog-digital mixed mode Neural Perception Engine for fast object detection. CICC 2009: 649-652 - [c70]Joo-Young Kim, Kwanho Kim, Seungjin Lee, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo:
A 118.4GB/s multi-casting network-on-chip for real-time object recognition processor. ESSCIRC 2009: 400-403 - [c69]Binhee Kim, Long Yan, Jerald Yoo, Namjun Cho, Hoi-Jun Yoo:
An Energy-efficient Dual Sampling SAR ADC with Reduced Capacitive DAC. ISCAS 2009: 972-975 - [c68]Joo-Young Kim, Seungjin Lee, Jinwook Oh, Minsu Kim, Hoi-Jun Yoo:
A 60fps 496mW multi-object recognition processor with workload-aware dynamic power management. ISLPED 2009: 365-370 - [c67]Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Sejong Oh, Jeong-Ho Woo, Donghyun Kim, Hoi-Jun Yoo:
A 201.4GOPS 496mW real-time multi-object recognition processor with bio-inspired neural perception engine. ISSCC 2009: 150-151 - [c66]Jerald Yoo, Long Yan, Seulki Lee, Yongsang Kim, Hyejung Kim, Binhee Kim, Hoi-Jun Yoo:
A 5.2mW self-configured wearable body sensor network controller and a 12µW 54.9% efficiency wirelessly powered sensor for continuous health monitoring system. ISSCC 2009: 290-291 - [c65]Namjun Cho, Joonsung Bae, Sunyoung Kim, Hoi-Jun Yoo:
A 10.8mW body-channel-communication/MICS dual-band transceiver for a unified body-sensor-network controller. ISSCC 2009: 424-425 - [c64]Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, Binhee Kim, Hoi-Jun Yoo:
An Attachable ECG Sensor Bandage with Planar-Fashionable Circuit Board. ISWC 2009: 145-146 - [c63]Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A wearable inductor channel design for blood pressure monitoring system in daily life. PervasiveHealth 2009: 1-4 - 2008
- [j31]Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, Hoi-Jun Yoo:
Cost-effective low-power graphics processing unit for handheld devices. IEEE Commun. Mag. 46(4): 152-159 (2008) - [j30]Sunyoung Kim, Seungjin Lee, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo:
A Fully Integrated Digital Hearing Aid Chip With Human Factors Considerations. IEEE J. Solid State Circuits 43(1): 266-274 (2008) - [j29]Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo:
A 195 mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG. IEEE J. Solid State Circuits 43(9): 2047-2056 (2008) - [j28]Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo:
A 195 mW, 9.1 MVertices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices. IEEE J. Solid State Circuits 43(11): 2370-2380 (2008) - [j27]Byeong-Gyu Nam, Hyejung Kim, Hoi-Jun Yoo:
Power and Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics Systems. IEEE Trans. Computers 57(4): 490-504 (2008) - [c62]Hoi-Jun Yoo, Namjun Cho:
Body channel communication for low energy BSN/BAN. APCCAS 2008: 7-11 - [c61]Jerald Yoo, Namjun Cho, Hoi-Jun Yoo:
Analysis of body sensor network using human body as the channel. BODYNETS 2008: 13 - [c60]Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Hoi-Jun Yoo:
Vision platform for mobile intelligent robot based on 81.6 GOPS object recognition processor. DAC 2008: 96-101 - [c59]Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, Hoi-Jun Yoo:
A 211 GOPS/W dual-mode real-time object recognition processor with Network-on-Chip. ESSCIRC 2008: 462-465 - [c58]Seulki Lee, Jerald Yoo, Hoi-Jun Yoo:
A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection. ISCAS 2008: 1954-1957 - [c57]Joonsung Bae, Joo-Young Kim, Hoi-Jun Yoo:
A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 µm CMOS for 10mm on-chip interconnects. ISCAS 2008: 2861-2864 - [c56]Hyejung Kim, Yongsang Kim, Hoi-Jun Yoo:
A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processor. ISCAS 2008: 3310-3313 - [c55]Namjun Cho, Jeabin Lee, Long Yan, Joonsung Bae, Sunyoung Kim, Hoi-Jun Yoo:
A 60kb/s-to-10Mb/s 0.37nJ/b Adaptive-Frequency-Hopping Transceiver for Body-Area Network. ISSCC 2008: 132-133 - [c54]Hyejung Kim, Yongsang Kim, Young-Se Kwon, Hoi-Jun Yoo:
A 1.12mW Continuous Healthcare Monitor Chip Integrated on a Planar Fashionable Circuit Board. ISSCC 2008: 150-151 - [c53]Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Donghyun Kim, Jeong-Ho Woo, Hoi-Jun Yoo:
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual-Attention Engine. ISSCC 2008: 308-309 - 2007
- [j26]Byeong-Gyu Nam, Hyejung Kim, Hoi-Jun Yoo:
A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems. IEEE J. Solid State Circuits 42(8): 1767-1778 (2007) - [j25]Seong-Jun Song, Namjun Cho, Hoi-Jun Yoo:
A 0.2-mW 2-Mb/s Digital Transceiver Based on Wideband Signaling for Human Body Communications. IEEE J. Solid State Circuits 42(9): 2021-2033 (2007) - [j24]Sunyoung Kim, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo:
A 0.9 V 96 µW Fully Operational Digital Hearing Aid Chip. IEEE J. Solid State Circuits 42(11): 2432-2440 (2007) - [c52]Hoi-Jun Yoo, Seong-Jun Song, Namjun Cho, Hye Jeong Kim:
Low Energy On-Body Communication for BSN. BSN 2007: 15-20 - [c51]Hyejung Kim, Sungdae Choi, Hoi-Jun Yoo:
A Low Power Compression Processor for Body Sensor Network System. BSN 2007: 65-69 - [c50]Sunyoung Kim, Seungjin Lee, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo:
A Real-Time Feedback Controlled Hearing Aid Chip with Reference Ear Model. CICC 2007: 127-130 - [c49]Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Hoi-Jun Yoo:
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory. CICC 2007: 443-446 - [c48]Hyejung Kim, Kyomin Sohn, Jerald Yoo, Hoi-Jun Yoo:
An Embedded 8-bit RISC Controller for Yield Enhancement of the 90-nm PRAM. CICC 2007: 787-790 - [c47]Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, Hoi-Jun Yoo:
A low-power handheld GPU using logarithmic arithmetic and triple DVFS power domains. Graphics Hardware 2007: 73-80 - [c46]Byeong-Gyu Nam, Hoi-Jun Yoo:
A low-power vector processor using logarithmic arithmetic for handheld 3d graphics systems. ESSCIRC 2007: 232-235 - [c45]Joo-Young Kim, Donghyun Kim, Seungjin Lee, Kwanho Kim, Seonghyun Jeon, Hoi-Jun Yoo:
Visual image processing RAM for fast 2-D data location search. ESSCIRC 2007: 324-327 - [c44]Jeabin Lee, Byeong-Gyu Nam, Seong-Jun Song, Namjun Cho, Hoi-Jun Yoo:
A Power Management Unit with Continuous Co-Locking of Clock Frequency and Supply Voltage for Dynamic Voltage and Frequency Scaling. ISCAS 2007: 2112-2115 - [c43]Seungjin Lee, Sunyoung Kim, Hoi-Jun Yoo:
A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip. ISCAS 2007: 2730-2733 - [c42]Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee, Hoi-Jun Yoo:
A low power multimedia SoC with fully programmable 3D graphics and MPEG4/H.264/JPEG for mobile devices. ISLPED 2007: 238-243 - [c41]Sunyoung Kim, Seungjin Lee, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo:
A Fully Integrated Digital Hearing-Aid Chip with Human-Factors Considerations. ISSCC 2007: 154-593 - [c40]Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, Hoi-Jun Yoo:
A 52.4mW 3D Graphics Processor with 141Mvertices/s Vertex Shader and 3 Power Domains of Dynamic Voltage and Frequency Scaling. ISSCC 2007: 278-603 - [c39]Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo, Sungdae Choi, Hoi-Jun Yoo:
A 0.9V 2.6mW Body-Coupled Scalable PHY Transceiver for Body Sensor Applications. ISSCC 2007: 366-609 - [c38]Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Hoi-Jun Yoo:
Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC. NOCS 2007: 30-39 - 2006
- [j23]Daehyun Chung, Chunghyun Ryu, Hyungsoo Kim, Choonheung Lee, Jinhan Kim, Kicheol Bae, Jiheon Yu, Hoi-Jun Yoo, Joungho Kim:
Chip-package hybrid clock distribution network and DLL for low jitter clock delivery. IEEE J. Solid State Circuits 41(1): 274-286 (2006) - [j22]Jae-Youl Lee, Sung-Eun Kim, Seong-Jun Song, Jin-Kyung Kim, Sunyoung Kim, Hoi-Jun Yoo:
A regulated charge pump with small ripple voltage and fast start-up. IEEE J. Solid State Circuits 41(2): 425-432 (2006) - [j21]Kyomin Sohn, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun, Hoi-Jun Yoo:
An autonomous SRAM with on-chip sensors in an 80-nm double stacked cell technology. IEEE J. Solid State Circuits 41(4): 823-830 (2006) - [j20]Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, Hoi-Jun Yoo:
An energy-efficient analog front-end circuit for a sub-1-V digital hearing aid chip. IEEE J. Solid State Circuits 41(4): 876-882 (2006) - [j19]Ju-Ho Sohn, Jeong-Ho Woo, Min-wuk Lee, Hyejung Kim, Ramchan Woo, Hoi-Jun Yoo:
A 155-mW 50-m vertices/s graphics processor with fixed-point programmable vertex shader for mobile applications. IEEE J. Solid State Circuits 41(5): 1081-1091 (2006) - [j18]Hyejung Kim, Byeong-Gyu Nam, Ju-Ho Sohn, Jeong-Ho Woo, Hoi-Jun Yoo:
A 231-MHz, 2.18-mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3-D Graphics System. IEEE J. Solid State Circuits 41(11): 2373-2381 (2006) - [j17]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
Low-power network-on-chip for high-performance SoC design. IEEE Trans. Very Large Scale Integr. Syst. 14(2): 148-160 (2006) - [c37]Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Joo-Young Kim, Namjun Cho, Jeong-Ho Woo, Jerald Yoo, Hoi-Jun Yoo:
A Multi-Nodes Human Body Communication Sensor Network Control Processor. CICC 2006: 109-112 - [c36]Byeong-Gyu Nam, Hyejung Kim, Hoi-Jun Yoo:
A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems. CICC 2006: 535-538 - [c35]Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, Hoi-Jun Yoo:
A network-on-chip with 3Gbps/wire serialized on-chip interconnect using adaptive control schemes. DATE 2006: 79-80 - [c34]Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo, Hoi-Jun Yoo:
Design and test of fixed-point multimedia co-processor for mobile applications. DATE Designers' Forum 2006: 249-253 - [c33]Joo-Young Kim, Kangmin Lee, Hoi-Jun Yoo:
A 372 ps 64-bit adder using fast pull-up logic in 0.18µm CMOS. ISCAS 2006 - [c32]Jerald Yoo, Sunyoung Kim, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo:
A 10µW digital signal processor with adaptive-SNR monitoring for a sub-1V digital hearing aid. ISCAS 2006 - [c31]Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo, Hoi-Jun Yoo:
A 2Mb/s Wideband Pulse Transceiver with Direct-Coupled Interface for Human Body Communications. ISSCC 2006: 2278-2287 - [c30]Sungdae Choi, Kyomin Sohn, Hyejung Kim, Joo-Young Kim, Seong-Jun Song, Namjun Cho, Jerald Yoo, Hoi-Jun Yoo:
An Ultra Low-Power Body Sensor Network Control Processor with Centralized Node Control. SoC 2006: 1-4 - [c29]Seong-Jun Song, Seungjin Lee, Namjun Cho, Hoi-Jun Yoo:
Low Power Wearable Audio Player Using Human Body Communications. ISWC 2006: 125-126 - [c28]Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Joo-Young Kim, Jerald Yoo, Hoi-Jun Yoo:
A Low-power Star-topology Body Area Network Controller for Periodic Data Monitoring Around and Inside the Human Body. ISWC 2006: 139-140 - 2005
- [j16]Ju-Ho Sohn, Yong-Ha Park, Chi Weon Yoon, Ramchan Woo, Se-Jeong Park, Hoi-Jun Yoo:
Low-power 3D graphics processors for mobile terminals. IEEE Commun. Mag. 43(12): 90-99 (2005) - [j15]Se-Joong Lee, Kangmin Lee, Hoi-Jun Yoo:
Analysis and Implementation of Practical, Cost-Effective Networks on Chips. IEEE Des. Test Comput. 22(5): 422-433 (2005) - [j14]Sungdae Choi, Kyomin Sohn, Hoi-Jun Yoo:
A 0.7-fJ/bit/search 2.2-ns search time hybrid-type TCAM architecture. IEEE J. Solid State Circuits 40(1): 254-260 (2005) - [j13]Se-Joong Lee, Kangmin Lee, Seong-Jun Song, Hoi-Jun Yoo:
Packet-switched on-chip interconnection network for system-on-chip applications. IEEE Trans. Circuits Syst. II Express Briefs 52-II(6): 308-312 (2005) - [j12]Byeong-Gyu Nam, Min-wuk Lee, Hoi-Jun Yoo:
Development of a 3-D graphics rendering engine with lighting acceleration for handheld multimedia systems. IEEE Trans. Consumer Electron. 51(3): 1020-1027 (2005) - [c27]Ju-Ho Sohn, Jeong-Ho Woo, Ramchan Woo, Hoi-Jun Yoo:
A fixed-point multimedia coprocessor with 50Mvertices/s programmable SIMD vertex shader for mobile applications. ESSCIRC 2005: 207-210 - [c26]Namjun Cho, Seong-Jun Song, Sunyoung Kim, Shiho Kim, Hoi-Jun Yoo:
A 5.1-μW UHF RFID tag chip integrated with sensors for wireless environmental monitoring. ESSCIRC 2005: 279-282 - [c25]Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, Hoi-Jun Yoo:
A 0.9-V 67-µW analog front-end using adaptive-SNR technique for digital hearing aid. ISCAS (1) 2005: 740-743 - [c24]Kwanho Kim, Se-Joong Lee, Kangmin Lee, Hoi-Jun Yoo:
An arbitration look-ahead scheme for reducing end-to-end latency in networks on chip. ISCAS (3) 2005: 2357-2360 - [c23]Donghyun Kim, Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip. ISCAS (3) 2005: 2369-2372 - [c22]Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hoi-Jun Yoo:
A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems. ISCAS (5) 2005: 4602-4605 - [c21]Narrijun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, Hoi-Jun Yoo:
A 8-µW, 0.3-mm2 RF-powered transponder with temperature sensor for wireless environmental monitoring. ISCAS (5) 2005: 4763-4766 - 2004
- [j11]Sung Min Park, Hoi-Jun Yoo:
1.25-Gb/s regulated cascode CMOS transimpedance amplifier for Gigabit Ethernet applications. IEEE J. Solid State Circuits 39(1): 112-121 (2004) - [j10]Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Hoi-Jun Yoo:
A 210-mW graphics LSI implementing full 3-D pipeline with 264 mtexels/s texturing for mobile multimedia applications. IEEE J. Solid State Circuits 39(2): 358-367 (2004) - [j9]Sung Min Park, Jaeseo Lee, Hoi-Jun Yoo:
1-Gb/s 80-dBΩ fully differential CMOS transimpedance amplifier in multichip on oxide technology for optical interconnects. IEEE J. Solid State Circuits 39(6): 971-974 (2004) - [j8]Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, Hoi-Jun Yoo:
A low-power 3D rendering engine with two texture units and 29-Mb embedded DRAM for 3G multimedia terminals. IEEE J. Solid State Circuits 39(7): 1101-1109 (2004) - [c20]Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, Hoi-Jun Yoo:
A low-power graphics LSI integrating 29Mb embedded DRAM for mobile multimedia applications. ASP-DAC 2004: 533-534 - [c19]Ju-Ho Sohn, Ramchan Woo, Hoi-Jun Yoo:
A programmable vertex shader with fixed-point SIMD datapath for low power wireless applications. Graphics Hardware 2004: 107-114 - [c18]Sung-Eun Kim, Seong-Jun Song, Jin Kyung Kim, Sunyoung Kim, Jae-Youl Lee, Hoi-Jun Yoo:
A small ripple regulated charge pump with automatic pumping control schemes. ESSCIRC 2004: 383-386 - [c17]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
SILENT: serialized low energy transmission coding for on-chip interconnection networks. ICCAD 2004: 448-451 - [c16]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
Low energy transmission coding for on-chip serial communications. SoCC 2004: 177-178 - [c15]Jong-Sun Kim, Min-Su Hwang, Seungsu Roh, Ja-Young Lee, Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
On-chip network based embedded core testing. SoCC 2004: 223-226 - 2003
- [j7]Seong-Jun Song, Sung Min Park, Hoi-Jun Yoo:
A 4-Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique. IEEE J. Solid State Circuits 38(7): 1213-1219 (2003) - [c14]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
A distributed crossbar switch scheduler for on-chip networks. CICC 2003: 671-674 - [c13]Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Hoi-Jun Yoo:
A low power 3D rendering engine with two texture units and 29Mb embedded DRAM for 3G multimedia terminals. ESSCIRC 2003: 53-56 - [c12]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
A high-speed and lightweight on-chip crossbar switch scheduler for on-chip interconnection networks. ESSCIRC 2003: 453-456 - [c11]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
A 10Gbps/port 8×8 shared bus switch with embedded DRAM hierarchical output buffer. ESSCIRC 2003: 461-464 - [c10]Sung-Eun Kim, Seong-Jun Song, Sung Min Park, Hoi-Jun Yoo:
CMOS optical receiver chipset for gigabit Ethernet applications. ISCAS (1) 2003: 29-32 - 2002
- [j6]Se-Joong Lee, Hoi-Jun Yoo:
Race logic architecture (RALA): a novel logic concept using the race scheme of input variables. IEEE J. Solid State Circuits 37(2): 191-201 (2002) - [j5]Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kang-Min Lee, Tae-Hum Yang, Jin-Yong Jung, Hoi-Jun Yoo:
A reconfigurable multilevel parallel texture cache memory with 75-GB/s parallel cache replacement bandwidth. IEEE J. Solid State Circuits 37(5): 612-623 (2002) - [j4]Ramchan Woo, Chi Weon Yoon, Jeonghoon Kook, Se-Joong Lee, Hoi-Jun Yoo:
A 120-mW 3-D rendering engine with 6-Mb embedded DRAM and 3.2-GB/s runtime reconfigurable bus for PDA chip. IEEE J. Solid State Circuits 37(10): 1352-1355 (2002) - [c9]Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo:
A practical method to use eDRAM in the shared bus packet switch. GLOBECOM 2002: 2303-2307 - [c8]Ju-Ho Sohn, Ramchan Woo, Hoi-Jun Yoo:
Optimization of portable system architecture for real-time 3D graphics. ISCAS (1) 2002: 769-772 - [c7]Yong-Ha Park, Jeonghoon Kook, Hoi-Jun Yoo:
Embedded DRAM (eDRAM) Power-Energy Estimation for System-on-a-Chip (SoC) Applications. ASP-DAC/VLSI Design 2002: 625-630 - 2001
- [j3]Yong-Ha Park, Seon-Ho Han, Jung-Hwan Lee, Hoi-Jun Yoo:
A 7.1-GB/s low-power rendering engine in 2-D array-embedded memory logic CMOS for portable multimedia system. IEEE J. Solid State Circuits 36(6): 944-955 (2001) - [c6]Yong-Ha Park, Seon-Ho Han, Hoi-Jun Yoo:
Single chip 3D rendering engine integrating embedded DRAM frame buffer and Hierarchical Octet Tree (HOT) array processor with bandwidth amplification. ASP-DAC 2001: 9-10 - [c5]Kangmin Lee, Chi Weon Yoon, Ramchan Woo, Jeong-Hun Kook, Ja-Il Koo, Tae-Sung Jung, Hoi-Jun Yoo:
A comparative performance analysis of a DDR-SDRAM, a D-RDRAM, and a DDR-FCRAM using a POPeye simulator. ISCAS (5) 2001: 81-84 - [c4]Jaeseo Lee, Jae-Won Lim, Sung-Jun Song, Sung-Sik Song, Wang-joo Lee, Hoi-Jun Yoo:
Design and implementation of CMOS LVDS 2.5 Gb/s transmitter and 1.3 Gb/s receiver for optical interconnections. ISCAS (4) 2001: 702-705 - 2000
- [c3]Se-Joong Lee, Jinho Han, Seung-Ho Hank, Joe-Ho Lee, Jung-Su Kim, Minkyu Je, Hoi-Jun Yoo:
One chip-low power digital-TCXO with sub-ppm accuracy. ISCAS 2000: 17-20 - [c2]Ramchan Woo, Se-Joong Lee, Hoi-Jun Yoo:
A 670 ps, 64 bit dynamic low-power adder design. ISCAS 2000: 28-31
1990 – 1999
- 1998
- [j2]Jong-Shik Kim, Yu-Soo Choi, Hoi-Jun Yoo, Kwang-Seok Seo:
A low-noise folded bit-line sensing architecture for multigigabit DRAM with ultrahigh-density 6F2 cell [CMOS design]. IEEE J. Solid State Circuits 33(7): 1096-1102 (1998) - 1997
- [j1]Hoi-Jun Yoo:
A study of pipeline architectures for high-speed synchronous DRAMs. IEEE J. Solid State Circuits 32(10): 1597-1603 (1997) - 1993
- [c1]Hoi-Jun Yoo, Seung-Jun Lee, Jeong-Tae Kwon, Wi-Sik Min, Kye-Hwan Oh:
A Precision CMOS Voltage Reference with Enhanced Stability for the Application to Advance VLSIs. ISCAS 1993: 1318-1321
Coauthor Index
aka: Ji-Hoon Kim
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-23 20:31 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint