Elevador en VHDL

Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 4

Escuela Colombiana de Carreras Industriales –Control de un prototipo de elevador a través del lenguaje VHDL

Noviembre 20, 2012, Bogotá-Colombia

CONTROL DE UN PROTOTIPO DE ELEVADOR A


TRAVES DEL LENGUAJE VHDL
Suarez R. Dayanis y Montañez C. Diana
[email protected], [email protected]
Escuela Colombiana De Carreras Industriales

de control haciendo cada viaje en


Resumen: El presente documento sintetiza ascensor más confortable y seguro [3].
como fue el desarrollo del control de un
prototipo de elevador utilizando un En este artículo se muestra la construcción
sistema digital a través de una FPGA, la paso a paso de un modelo de elevador
cual por medio de sensores y actuadores eléctrico controlado por medio de una
establece en que piso debe ubicarse el FPGA.
ascensor aprovechando el lenguaje de
descripción de hardware en VHDL.
II. DESARROLLO
Palabras claves— fpga, vhdl, elevador,
prototipo, control. El mecanismo de un ascensor consiste en
un cable que se desliza por una polea la
cual se moverá por la acción de un
I. INTRODUCCIÓN motor eléctrico (de ahí proviene el
nombre del elevador), la cual se va a
Cada día la arquitectura de los edificios encontrar ubicada en la parte superior.
considera más la sensibilidad del ser Sus movimientos hacen subir o bajar la
humano, en este sentido los ascensores cabina [4].
juegan un gran papel; Se sabe que los
primeros dispositivos de elevación y El controlador del ascensor que se
transporte fueron las palancas, las poleas, describirá posee 7 niveles entre ellos un
los rodillos y los planos inclinados [1]. sótano. Las entradas serán por un lado los
interruptores que el usuario accionara
En 1880 el inventor alemán Werner von para ir al piso deseado y un botón que
Siemens introdujo el motor eléctrico en la hace el papel de “Intro” que activa el
construcción de elevadores. En su invento, elevador. Y por el otro los sensores que se
la cabina, que sostenía el motor debajo, encuentran en cada nivel que se activan
subía por el hueco mediante engranajes cuando la cabina pasa por cada uno de
de piñones giratorios que accionaban los ellos [5].
soportes en los lados del hueco. En 1887 se
construyó un ascensor eléctrico, que Las salidas son los displays los cuales
funcionaba con un motor eléctrico que visualizan la posición actual de la cabina
hacía girar un tambor giratorio en el que o el piso en el que se encuentra y el motor
se enrollaba la cuerda de rizado [2]. es el responsable que la cabina baje o
suba a petición del usuario.
Actualmente, un complejo sistema de
comandos y contactos gobiernan los La forma más sencilla de llevar a cabo la
ascensores que usamos a diario. Las descripción es a partir de una maquina de
computadoras han invadido los sistemas estados.
Escuela Colombiana de Carreras Industriales –Control de un prototipo de elevador a través del lenguaje VHDL
Noviembre 20, 2012, Bogotá-Colombia

Figura1. Maquina de estados del


elevador.
Figura2. Imagen con la ubicación de los
Esta máquina de estados se compone de sensores en el prototipo.
7 estados como se puede ver en la
imagen anterior, para saltar de un estado Como actuador fue necesario utilizar el
a otro hay que pasar por los estados que integrado L293D que incluye cuatro
estén entre el origen y el destino y estos circuitos para manejar cargas de
solo se activan cuando existe un nivel alto potencia media, en especial pequeños
en los sensores. motores y cargas inductivas, con la
capacidad de controlar corriente hasta
La descripción posee otros estados 600 mA en cada circuito y una tensión
llamados “animaciones”, en los cuales se entre 4,5 V a 36 V [7].
especifica el encendido y apagado del
motor, su dirección y la visualización en los Los circuitos individuales se pueden usar
displays. de manera independiente para controlar
cargas de todo tipo y en el caso de ser
Como el usuario es el que decide el piso motores, manejar un único sentido de
al que se desea dirigir hay que tener en giro. Pero en nuestro caso utilizaremos 2
cuenta todas las posibles opciones, por lo circuitos para configurar un puente H
que en la descripción se tienen encuentra completo.
todas las posibilidades que puede haber
entre el origen y el destino.

Para la detención de la cabina se usaron


sensores tipo ON/OFF que son También
conocidos como sensores 0-1 o sensores
binarios que son en general dispositivos
mecánicos simples, en esta ocasión se
implemento papel aluminio para cerrar el
circuito al pasar la cabina por cada piso
hasta llegar a su destino [6].

Figura3. Montaje del puente H realizado


en la protoboard.

en la implementacion realizada en la
protoboard la entrada habilitadora esta
siempre en alto; y el Vcc2 y el Vcc1
Escuela Colombiana de Carreras Industriales –Control de un prototipo de elevador a través del lenguaje VHDL
Noviembre 20, 2012, Bogotá-Colombia

mostrado en la figura anterior se  Para el prototipo fue necesario utilizar


conectan a 5Vdc. un motoreductor ya que las RPM
(Revoluciones por minuto) de un motor
DC convencional no se ajustaban a las
características del prototipo a realizar.

 La fricción entre la cabina y el chasis


que la rodea hace que la velocidad
de subida sea mucho menor a la de
bajada.

Figura4. Tabla de estados del puente H.


REFERENCIAS
En las entradas 2A y 1A se conectan las
salidas de la FPGA con una resistencia de
proteccion que van a controlar el giro del [1] Schindler (2000). Ascensores
motor. Comerciales,[Online].Available:
http://www.jgascensores.com/jgascen
A continuación se encuentra una imagen sorespanoramicos.htm
tomada al prototipo finalizado.
[2] Querelle y CIA Ltda. (1996). Historia Del
Ascensor,[Online].Available:
http://www.profesorenlinea.cl/medios
ocial/ascensor.htm

[3] SILCON Electrónica. (1999).Un Poco De


Historia,[Online].Available:
http://www.silcon.com.ar/un_poco_de
_historia.htm

[4] Maquinariapro. (2006). Elevadores


eléctricos,[Online].Available:
http://www.maquinariapro.com/maqu
inas/elevadores.html

[5] F. Pardo, A. Boluda “Vhdl Lenguaje


Para Síntesis Y Modelado De
Figura5. Imagen del prototipo de Circuitos”,1ra ed. Editorial RA-MA, Abril,
elevador. 1999, pp. 190-192.

[6] T. Bruzos, (2001). Proyectos Eléctricos


III. CONCLUSIONES Con Sensores, [Online].Available:
http://www.sabelotodo.org/electrotec
nia/electrosensores.html
 Al utilizar los puertos externos de la
FPGA hay que tener cuidado con las [7] J. Carletti, (2007). Manejo De Potencia
corrientes de fuga, para el acople Para Motores Con El Integrado
entre el motor y la FPGA se utilizo una L293D,[Online].Available: http://robots-
resistencia de 1KΩ. (también se pueden argentina.com.ar/MotorCC_L293D.htm
utilizar opto acopladores para mas
seguridad).
Escuela Colombiana de Carreras Industriales –Control de un prototipo de elevador a través del lenguaje VHDL
Noviembre 20, 2012, Bogotá-Colombia

AUTORAS

A. Dayanis Andrea Suarez


Rodriguez Nacida en Soledad
(Atlántico), Colombia el 28 de
marzo de 1991. Se encuentra
cursando octav o semestre de
Ingeniería Electrónica. A niv el
profesional se ha formado y
desarrollado como técnica y
tecnóloga en diferentes áreas
de las telecomunicaciones.
Actualmente se encuentra realizando proyectos
sobre telemetría y telemática.

B. Diana Rocio Montañez


Caicedo nacida en Bogotá
(Cundinamarca), Colombia el 10
de Diciembre de 1989. Se
encuentra cursando octav o
semestre de Ingeniería
Electrónica. A niv el profesional
se ha formado y desarrollado
como tecnóloga en diferentes
áreas de las telecomunicaciones
y la electrónica industrial. Actualmente se encuentra
realizando proyectos independientes sobre redes y
programación. Sus temas de interés en inv estigación
es el desarrollo de nuev as tecnologías que
comprendan aplicaciones en el área de las
telecomunicaciones.

SISTEMA DIGITALES I, 2012.


Ingeniería electrónica ECCI

También podría gustarte