Skip to content

Files

Latest commit

 Cannot retrieve latest commit at this time.

History

History
824 lines (660 loc) · 52 KB

PrecompilingVendorLibraries.rst

File metadata and controls

824 lines (660 loc) · 52 KB

Pre-Compiling Vendor Libraries

.. index::
   single: Pre-compilation

Running vendor specific testbenches may require pre-compiled vendor libraries. Some vendors ship their simulators with diverse pre-compiled libraries, but these don't include primitive libraries from hardware vendors. More over, many auxillary libraries are outdated. Hardware vendors ship their tool chains with pre-compile scripts or user guides to pre-compile the primitive libraries for a list of supported simulators on a target system.

PoC is shipped with a set of pre-compile scripts to offer a unified interface and common storage for all supported vendor's pre-compile procedures. The scripts are located in \tools\precompile\ and the output is stored in \temp\precompiled\<Simulator>\<Library>.

.. index::
   pair: Pre-compilation; Supported Simulators

The current set of pre-compile scripts support these simulators:

Vendor Simulator and Edition Altera Lattice Xilinx (ISE) Xilinx (Vivado)
  1. Gingold |br|
GHDL with --std=93c |br| GHDL with --std=08 yes |br| yes yes |br| yes yes |br| yes yes |br| yes
Aldec |br| |br| Active-HDL (or Stududent Ed.) |br| Active-HDL Lattice Ed. |br| Reviera-PRO planned |br| planned |br| planned planned |br| shipped |br| planned planned |br| planned |br| planned planned |br| planned |br| planned
Mentor |br| |br| |br| ModelSim PE (or Stududent Ed.) |br| ModelSim SE |br| ModelSim Altera Ed. |br| QuestaSim yes |br| yes |br| shipped |br| yes yes |br| yes |br| yes |br| yes yes |br| yes |br| yes |br| yes yes |br| yes |br| yes |br| yes
Xilinx |br| ISE Simulator |br| Vivado Simulator     shipped |br| not supported not supported |br| shipped
.. index::
   pair: Pre-compilation; Vendor Primitives

.. index::
   pair: Pre-compilation; Altera

Note

The Altera Quartus tool chain needs to be configured in PoC. |br| See :ref:`Configuring PoC's Infrastruture <USING:PoCConfig>` for further details.

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-altera.sh --all
# Example 2 - Compile only for GHDL and VHDL-2008
./tools/precompile/compile-altera.sh --ghdl --vhdl2008

List of command line arguments:

Common Option Parameter Description
|c-altera-sh-h| |c-altera-sh-help| Print embedded help page(s).
|c-altera-sh-c| |c-altera-sh-clean| Clean-up directories.
|c-altera-sh-a| |c-altera-sh-all| Compile for all simulators.
  |c-altera-sh-ghdl| Compile for GHDL.
  |c-altera-sh-questa| Compile for QuestaSim.
  |c-altera-sh-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-altera-sh-vhdl08| GHDL only: Compile only for VHDL-2008.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-altera.ps1 -All
# Example 2 - Compile only for GHDL and VHDL-2008
.\tools\precompile\compile-altera.ps1 -GHDL -VHDL2008

List of command line arguments:

Common Option Parameter Description
-h |c-altera-ps-help| Print embedded help page(s).
-c |c-altera-ps-clean| Clean-up directories.
-a |c-altera-ps-all| Compile for all simulators.
  |c-altera-ps-ghdl| Compile for GHDL.
  |c-altera-ps-questa| Compile for QuestaSim.
  |c-altera-ps-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-altera-ps-vhdl08| GHDL only: Compile only for VHDL-2008.
.. index::
   pair: Pre-compilation; Lattice

Note

The Lattice Diamond tool chain needs to be configured in PoC. |br| See :ref:`Configuring PoC's Infrastruture <USING:PoCConfig>` for further details.

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-lattice.sh --all
# Example 2 - Compile only for GHDL and VHDL-2008
./tools/precompile/compile-lattice.sh --ghdl --vhdl2008

List of command line arguments:

Common Option Parameter Description
|c-lattice-sh-h| |c-lattice-sh-help| Print embedded help page(s).
|c-lattice-sh-c| |c-lattice-sh-clean| Clean-up directories.
|c-lattice-sh-a| |c-lattice-sh-all| Compile for all simulators.
  |c-lattice-sh-ghdl| Compile for GHDL.
  |c-lattice-sh-questa| Compile for QuestaSim.
  |c-lattice-sh-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-lattice-sh-vhdl08| GHDL only: Compile only for VHDL-2008.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-lattice.ps1 -All
# Example 2 - Compile only for GHDL and VHDL-2008
.\tools\precompile\compile-lattice.ps1 -GHDL -VHDL2008

List of command line arguments:

Common Option Parameter Description
-h |c-lattice-ps-help| Print embedded help page(s).
-c |c-lattice-ps-clean| Clean-up directories.
-a |c-lattice-ps-all| Compile for all simulators.
  |c-lattice-ps-ghdl| Compile for GHDL.
  |c-lattice-ps-questa| Compile for QuestaSim.
  |c-lattice-ps-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-lattice-ps-vhdl08| GHDL only: Compile only for VHDL-2008.
.. index::
   pair: Pre-compilation; Xilinx ISE

Note

The Xilinx ISE tool chain needs to be configured in PoC. |br| See :ref:`Configuring PoC's Infrastruture <USING:PoCConfig>` for further details.

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-xilinx-ise.sh --all
# Example 2 - Compile only for GHDL and VHDL-2008
./tools/precompile/compile-xilinx-ise.sh --ghdl --vhdl2008

List of command line arguments:

Common Option Parameter Description
|c-ise-sh-h| |c-ise-sh-help| Print embedded help page(s).
|c-ise-sh-c| |c-ise-sh-clean| Clean-up directories.
|c-ise-sh-a| |c-ise-sh-all| Compile for all simulators.
  |c-ise-sh-ghdl| Compile for GHDL.
  |c-ise-sh-questa| Compile for QuestaSim.
  |c-ise-sh-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-ise-sh-vhdl08| GHDL only: Compile only for VHDL-2008.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-xilinx-ise.ps1 -All
# Example 2 - Compile only for GHDL and VHDL-2008
.\tools\precompile\compile-xilinx-ise.ps1 -GHDL -VHDL2008

List of command line arguments:

Common Option Parameter Description
-h |c-ise-ps-help| Print embedded help page(s).
-c |c-ise-ps-clean| Clean-up directories.
-a |c-ise-ps-all| Compile for all simulators.
  |c-ise-ps-ghdl| Compile for GHDL.
  |c-ise-ps-questa| Compile for QuestaSim.
  |c-ise-ps-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-ise-ps-vhdl08| GHDL only: Compile only for VHDL-2008.
.. index::
   pair: Pre-compilation; Xilinx Vivado

Note

The Xilinx Vivado tool chain needs to be configured in PoC. |br| See :ref:`Configuring PoC's Infrastruture <USING:PoCConfig>` for further details.

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-xilinx-vivado.sh --all
# Example 2 - Compile only for GHDL and VHDL-2008
./tools/precompile/compile-xilinx-vivado.sh --ghdl --vhdl2008

List of command line arguments:

Common Option Parameter Description
|c-vivado-sh-h| |c-vivado-sh-help| Print embedded help page(s).
|c-vivado-sh-c| |c-vivado-sh-clean| Clean-up directories.
|c-vivado-sh-a| |c-vivado-sh-all| Compile for all simulators.
  |c-vivado-sh-ghdl| Compile for GHDL.
  |c-vivado-sh-questa| Compile for QuestaSim.
  |c-vivado-sh-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-vivado-sh-vhdl08| GHDL only: Compile only for VHDL-2008.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-xilinx-vivado.ps1 -All
# Example 2 - Compile only for GHDL and VHDL-2008
.\tools\precompile\compile-xilinx-vivado.ps1 -GHDL -VHDL2008

List of command line arguments:

Common Option Parameter Description
-h |c-vivado-ps-help| Print embedded help page(s).
-c |c-vivado-ps-clean| Clean-up directories.
-a |c-vivado-ps-all| Compile for all simulators.
  |c-vivado-ps-ghdl| Compile for GHDL.
  |c-vivado-ps-questa| Compile for QuestaSim.
  |c-vivado-ps-vhdl93| GHDL only: Compile only for VHDL-93.
  |c-vivado-ps-vhdl08| GHDL only: Compile only for VHDL-2008.
.. index::
   pair: Pre-compilation; Third-Party Libraries

.. index::
   pair: Pre-compilation; OSVVM

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-osvvm.sh --all
# Example 2 - Compile only for GHDL
./tools/precompile/compile-osvvm.sh --ghdl

List of command line arguments:

Common Option Parameter Description
|c-osvvm-sh-h| |c-osvvm-sh-help| Print embedded help page(s).
|c-osvvm-sh-c| |c-osvvm-sh-clean| Clean-up directories.
|c-osvvm-sh-a| |c-osvvm-sh-all| Compile for all simulators.
  |c-osvvm-sh-ghdl| Compile for GHDL.
  |c-osvvm-sh-questa| Compile for QuestaSim.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-osvvm.ps1 -All
# Example 2 - Compile only for GHDL
.\tools\precompile\compile-osvvm.ps1 -GHDL

List of command line arguments:

Common Option Parameter Description
-h |c-osvvm-ps-help| Print embedded help page(s).
-c |c-osvvm-ps-clean| Clean-up directories.
-a |c-osvvm-ps-all| Compile for all simulators.
  |c-osvvm-ps-ghdl| Compile for GHDL.
  |c-osvvm-ps-questa| Compile for QuestaSim.
.. index::
   pair: Pre-compilation; UVVM

On Linux

# Example 1 - Compile for all Simulators
./tools/precompile/compile-uvvm.sh --all
# Example 2 - Compile only for GHDL
./tools/precompile/compile-uvvm.sh --ghdl

List of command line arguments:

Common Option Parameter Description
|c-uvvm-sh-h| |c-uvvm-sh-help| Print embedded help page(s).
|c-uvvm-sh-c| |c-uvvm-sh-clean| Clean-up directories.
|c-uvvm-sh-a| |c-uvvm-sh-all| Compile for all simulators.
  |c-uvvm-sh-ghdl| Compile for GHDL.
  |c-uvvm-sh-questa| Compile for QuestaSim.

On Windows

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-uvvm.ps1 -All
# Example 2 - Compile only for GHDL
.\tools\precompile\compile-uvvm.ps1 -GHDL

List of command line arguments:

Common Option Parameter Description
-h |c-uvvm-ps-help| Print embedded help page(s).
-c |c-uvvm-ps-clean| Clean-up directories.
-a |c-uvvm-ps-all| Compile for all simulators.
  |c-uvvm-ps-ghdl| Compile for GHDL.
  |c-uvvm-ps-questa| Compile for QuestaSim.
.. index::
   pair: Pre-compilation; Simulator Adapters

.. index::
   pair: Pre-compilation; Cocotb

On Linux

Attention!

This is an experimental compile script.

# Example 1 - Compile for all Simulators
./tools/precompile/compile-cocotb.sh --all
# Example 2 - Compile only for GHDL
./tools/precompile/compile-cocotb.sh --ghdl

List of command line arguments:

Common Option Parameter Description
|c-cocotb-sh-h| |c-cocotb-sh-help| Print embedded help page(s).
|c-cocotb-sh-c| |c-cocotb-sh-clean| Clean-up directories.
|c-cocotb-sh-a| |c-cocotb-sh-all| Compile for all simulators.
  |c-cocotb-sh-ghdl| Compile for GHDL.
  |c-cocotb-sh-questa| Compile for QuestaSim.

On Windows

Attention!

This is an experimental compile script.

# Example 1 - Compile for all Simulators
.\tools\precompile\compile-cocotb.ps1 -All
# Example 2 - Compile only for GHDL
.\tools\precompile\compile-cocotb.ps1 -GHDL

List of command line arguments:

Common Option Parameter Description
-h |c-cocotb-ps-help| Print embedded help page(s).
-c |c-cocotb-ps-clean| Clean-up directories.
-a |c-cocotb-ps-all| Compile for all simulators.
  |c-cocotb-ps-ghdl| Compile for GHDL.
  |c-cocotb-ps-questa| Compile for QuestaSim.