Controle Linear PDF Free
Controle Linear PDF Free
Controle Linear PDF Free
E NGENHEIRO ( A ) DE AUTOMAÇÃO J R
Questões Resolvidas
T
AF
Controle Linear
R
Para a utilização deste material é recomendado que o leitor já tenha estudado todo o conteúdo
referente a Controle Linear Contínuo e Discreto, como consta no edital do concurso. Por este motivo,
T
não é explicado detalhadamente cada método, teorema ou definição utilizados durante as resoluções.
Porém fazemos questão de sempre deixar explícito qual método/teorema/definição está sendo utilizado,
para o leitor poder cunsultá-lo na bibliografia que preferir.
Não será dado nenhum tipo de assistência pós-venda para compradores deste material, ou
AF
seja, qualquer dúvida referente às resoluções deve ser sanada por iniciativa própria do comprador, seja
consultando docentes da área ou a bibliografia. Apenas serão considerados casos em que o leitor
encontrar algum erro (conceitual ou de digitação) e desejar informar ao autor tal erro a fim de ser
corrigido.
O autor deste material não tem nenhum tipo de vínculo com a empresa CESGRANRIO, e as
resoluções aqui apresentadas são de autoria exclusiva de Roni Gabriel Rigoni, formado pela Univer-
sidade Federal de Santa Catarina e atualmente Engenheiro de Automação da Petrobras Transportes -
R
Transpetro.
Este material é de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por
quaisquer meios e a qualquer título, a sua reprodução, cópia, divulgação e distribuição. Sujeitando-se
o infrator à resposabilização civil e criminal.
D
Faça um bom uso do material, e que ele possa ser muito útil na conquista da sua vaga.
Capı́tulo 1
KS
N
Controle Linear
9Y
J5
R
1.1 Controle Linear Contínuo - Básico
4T
T3
Questão 1
(Eng. de Automação Jr - Transpetro 2008)
36
KS
Um determinado sistema físico pode ser modelado através da seguinte equação diferencial ordinária:
d2u( t ) du( t ) d 2 y( t ) dy( t )
N
2 2
+ 14 + 20 u( t ) = +4 + 3 y( t )
dt dt dt 2 dt
9Y
Y( s )
onde u(t) e y(t) representam, respectivamente, os sinais de entrada e de saída do sistema. A função de transferência G(s) =
U(s)
deste sistema é
J5
20 s 2 + 14 s + 2 s2 + 4 s + 3
(A)
(A) (B)
(B)
R
2
s + 4s + 3 2 s 2 + 14 s + 20
4T
2 s 2 + 14 s + 20 3 s2 + 4 s + 1
(C)
(C) (D)
(D)
s2 + 4 s + 3 2 s 2 + 14 s + 20
T3
20 s 2 + 14 s + 2
(E)
(E)
3 s2 + 4 s + 1
KS
37
Um sistema dinâmico em malha fechada pode ser modelado sob a forma de espaço de estado através das seguintes
equações:
N
ïë x 2 ( t )û ë - 1 0û ë x 2 ( t )û ë3û
í
ïy( t ) =de ù é x (t) ù
Aplicando a Transformada é
ê2 Laplace,
0úû ê 1 ú temos:
J5
ï ë
ë x 2 ( t )û
î
As posições dos pólos no
2s2 Uplano
(s) s+da14sU
função de transferência deste sistema
s2 Ys (s) são
R
(A) s1 = 2 e s2 = 3
(s) + 20U (s) = (B) + 4sY (s) +
= 1 e s2 = 3
3Y (s)
1
4T
(C) s1 = 1 e s2 = 2 2 (D) s1 = 2 2e s2 = 4
(E) s1 = 3 e s2 = 5
U (s) 2s + 14s + 20 = Y (s) s + 4s + 3
T3
2
Y (s) 2s + 14s + 20
38 = G(s) =
U (s)
Os CLPs da Figura 1 estão conectados numa s2 +O4s
rede do tipo mestre-escravo. +mestre
CLP 3 M realiza uma varredura cíclica a
todos os CLPs escravos Ei (i =1..n) para realizar o intercâmbio de dados. A comunicação por rede permite que os CLPs
compartilhem variáveis. O ciclo de varredura da rede é independente do ciclo de varredura interno dos
CLPs, este composto por
três etapas: (i) atualização da memória de entrada e saída local; (ii) atualização da memória de dadosAlternativa
referentes à rede;(C)
e (iii)
execução do programa de aplicação do usuário. Na etapa (ii), os dados recebidos por uma comunicação de rede são atualizados
na memória interna e os dados referentes aos outros CLPs são repassados para transmissão. O intercâmbio de dados entre
diferentes estações escravas Ei é feito por intermédio do CLP mestre M.
código no CLP M: código no CLP E1: código no CLP E2:
Questão 2
(Eng. de Automação Jr - Transpetro 2006)
29
Uma planta industrial pode ser modelada através de uma
Função de Transferência G(s) racional e contínua, de terceira
R
ordem, estritamente própria e estável. Com relação a G(s),
+ é correto afirmar que:
E R (A) possui três pólos localizados no semiplano s da direita.
C
(B) possui pelo menos um zero localizado no infinito.
(C) o seu grau relativo é zero.
(D) possui dois zeros localizados sobre o eixo imaginário no
KS
plano s.
ura acima mostra uma fonte de tensão contínua alimen-
(E) todos os pólos estão localizados sobre o eixo real nega-
o um circuito RC. Com o capacitor descarregado, a chave
tivo.
N
a-se no instante inicial, isto é, em t=0. A expressão
mática do tempo total (t), contado a partir do instante 30
9Y
al até o capacitor se carregar com 1/5 da tensão da
f(t)
, é:
Resolução:
J5
A
0 ,2 RC
R
N (s)
RC 5 Supondo que a Função de Transferência da planta seja G(s) = D(s)
. Da
4T
ln
2 3 teoria de controle sabemos que:
T3
RC ln(0,2) 0 t
para t < 0
Como a G(s) em questão é estritamente própria, sabemos que existem mais
9Y
4 2 mH
infinito na nossa análise de Lugar
Aω das Raízes, ou seja, há pelo menos um zero no
(A) F (ω) =
R
α + jω
Sinfinito.
4T
+ 3 mF
A
20 (B) F (ω) =
α + jω
T3
5 I1
Alternativa (B)
A
(C) F (ω) =
α − jω
Analisando
ave S, no circuito, encontrava-se aberta por um longo as outras alternativas:
KS
(E) Falso, os pólos8 podem estar em qualquer parte do semiplano complexo es-
VA 35 - ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO
R
querdo.
4T
T3
www.pciconcursos.com.br
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
s 2 + 4s + 20
d2y dy
+5 = 10 x(t )
Esta tensão tem a sua expressão no domínio do tempo, dt 2 dt
para t ≥ 0 , dada por:
Considerando todas as condições iniciais nulas e aplica
CONTROLE LINEAR (A) 3
v(t ) = 10e −2t cos (4t )
www.concursopetrobraseng.com.br
neste sistema, uma realimentação de saída, com a l
controle dada por x(t ) = − Ky (t ) + r (t ) , a expressã
(B) v(t ) = 10e −2 t sen(4t )
Função de Transferência relacionando a saída Y(s
Questão 3
(Eng. de Automação π
Jr - Transpetro 2006)
(C) v(t ) = 20e sen 4t +
− 2t entrada de referência R(s) é:
4
KS
d2y dy execução (s)
+5 = 10 x(t )
expressão no domínio do tempo, A dt 2 dt 1 5
N
B 2 3 Y s 10
(C) 2
9Y
C as condições iniciais
Considerando todas 3 nulas e aplicando, 1 Rs s 5s 10 K
) D 4
neste sistema, uma realimentação de saída, com a lei de
2
J5
E 5 4
controle dada por x(t ) = − Ky (t ) + r (t ) , a expressão da
) Cinco processos, nomeados A, B, C, D e E, chegam quase
Y s 10 K
R
Função de Transferência relacionando a saída Y(s) e a
simultaneamente a um processador para serem executados. (D) 2
π Rs s 5s 10 K
4T
Consideram-se
entrada de referência R(s) é: desprezíveis as diferenças entre os tempos
+ de chegada dos processos, porém a ordem de chegada está
4
indicada na tabela. Os tempos de execução estimados dos
T3
20 t ) processos são mostrados na tabela e o processador segue
Y s um algoritmo
K de escalonamento por ordem de chegada e
(A) com Y (s ) K
Rs s 2 fatia
5s de K
10tempo de 1s. O tempo médio de resposta aos (E) =
0t ) processos, em segundos, é: R (s ) s 2 + (5 + K ) s + 10 K
KS
(A) 3,0
(B) 9,6
Resolução: (C) 10,0
Y s (D) 10,210
N
o:
(B) 2 12,0
Rs (E)s 5s 10 K
9Y
d2 y
Chegada Tempo estimado de
execução (s)
Substituindo a lei de controle x(t) = −Ky(t) + r(t) em dt2
+ 5 dy
dt
= 10x(t)
9
J5
(C) dy
1
Rs s 2 5s 10 K +5 = 10(−Ky(t) + r(t))
4T
2 dt 2 dt
4 d2 y dy www.pciconcursos.com.br
T3
2
+ 5 + 10Ky(t) = 10r(t)
dos A, B, C, D e E, chegam quase
Y s dtK
10 dt
ocessador para serem executados. (D)
s s 2 5s 10 K de Laplace nesta equação encontramos:
Aplicando aRTransformada
veis as diferenças entre os tempos
os, porém a ordem de chegada está
KS
s, é: R (s ) s + (5 + K ) s + 10 K
Y (s) 10
= 2
J5
R(s) s + 5s + 10K
R
4T
Alternativa (C)
9
PROVA 35 - ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO
T3
www.pciconcursos.com.br
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
O modelo discreto de um sistema, em malha aberta, é representado pela função de transferência .
A figura acima mostra o esboço do lugar das raízes, no plano Z, para esse sistema, em malha fechada, com realimentação
CONTROLE LINEAR www.concursopetrobraseng.com.br
de saída e com o ganho variando no intervalo . O circulo unitário está traçado com linha pontilhada. O valor
4
Questão
(A) 5,0 4 (B) 2,5 (C) 1,0
(Eng. de Equipamentos Jr Eletrônica - Petrobras(D)2010/2)
0,5 (E) 0,25
24
Um sistema de 2a ordem é dado pela sua função de transferência . Sabe-se que o tempo de subida,
medido sobre a curva de resposta ao degrau aplicado nesse sistema, é dado por , onde
• é a razão de amortecimento; e
KS
Para discretizar esse sistema e aplicar um controle digital, o período de amostragem deve ser tal que ocorram 10 amostras
N
durante o tempo de subida. O valor aproximado desse período é
9Y
(A) (B)
J5
R
(C) (D)
4T
T3
(E)
64 Kωn2
G(s) = =
R
s2 + 8s + 64 s2 + 2ζωn + ωn2
4T
De onde tiramos:
T3
2ζωn = 8
ωn2 = 64 8
KS
ζ=
ωn = 8 2×8
N
ζ = 0, 5
9Y
π
φ = arccos(ζ) = arccos(0, 5) = rad
R
3
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 5
tempo de subida TR :
π−φ π − π3
TR = p = p
ωn 1 − ζ 2 8 1 − 0, 52
2π
3 2π
TR = q = q
75 25
8 100 24 100 ×3
√
π π 3
TR = √ =
KS
5 18
12 × 10 3
TR
N
Para termos 10 amostragem durante o tempo de subita, temos que ter T = 10
,
9Y
logo:
√
J5
TR 1 π 3
T = = ×
R
10 10√ 18
4T
π 3
T =
180
T3
Alternativa (B)
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
26
Discretizando este modelo, pelo método ZOH, com o período de amostragem T, obtém-se o modelo discreto dado por:
e
A matriz Φ éLINEAR
CONTROLE www.concursopetrobraseng.com.br 6
KS
N
9Y
O gráfico da figura acima corresponde à resposta ao degrau unitário aplicado na entrada de um sistema de 2a ordem, cuja
J5
função de transferência é . Com base nos dados da figura, os polos desse sistema são complexos,
R
conjugados e iguais a
4T
(A) (B) (C) (D) (E)
T3
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR 8
Resolução:
ELETRÔNICA
KS
Primeiramente calculamos o ganho estático do sistema(K), baseando-nos
pelo gráfico, sabendo que a entrada foi um degrau unitário (U (s) = 1s ):
N
9Y
∆Y 0, 25 − 0 1
K= = =
∆X 1−0 4
J5
16 1 1
lim s × 2
× =
s→0 s + 8s + b s 4
16 1
KS
=
b 4
N
b = 64
9Y
equação:
R
√
4T
−8 ± 64 − 4 × 64
s1,2 =
√2
T3
−8 ± 8 1 − 4
s1,2 =
2
√
s1,2 = −4 ± j4 3
Alternativa (D)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 7
Questão 6
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/2)
28 31
Uma sub-rede de computadores fo
acesso à Internet, sendo configurada
CIDR, com o IP 192.227.75.160/28.
sub-rede está utilizando e seu ende
são, respectivamente,
(A) 255.255.255.224 e 192.227.75.1
(B) 255.255.255.224 e 192.227.75.1
(C) 255.255.255.240 e 192.227.75.2
O diagrama em blocos da figura acima mostra um sistema (D) 255.255.255.240 e 192.227.75.1
KS
(E) 255.255.255.240 e 192.227.75.1
em malha fechada, onde U(s) é o sinal de entrada e Y(s),
N
32
o sinal de saída. O valor do ganho K, para que os polos
9Y
Observe a figura abaixo. Ela indic
da função de transferência sejam complexos, con- codificação digital, utilizados em red
J5
jugados e com parte real igual −6,5, é • Em I, a codificação mantém um
R
constante pela duração de um te
em si são codificados como a p
4T
(A) 20 (B) 15
(C) 8 (D) 3 de uma transição de sinal no in
(E) 1 Uma transição no início de um te
T3
1 binário, enquanto que nenhum
29 0 binário. Essa codificação é ut
Nas redes de computadores Ethernet, os servidores ISDN de baixa velocidade.
Resolução: utilizam um serviço que permite a alocação dinâmica de
KS
endereços IPs. O servidor seleciona um endereço IP a • Em II, existe uma transição no
partir dode
Utilizando álgebra de endereços
poolblocos, disponíveis,
podemos atribuindooum
reescrever diagramadode
de bit. A transição de meio d
blocos
N
como segue: banco de dados dinâmico. Essa situação normalmente Uma transição de alto para bai
acontece quando um host muda de uma rede para outra quanto uma transição de baixo p
J5
sigla
(A) DNS
T3
(B) SSH
(C) NAT
E também podemos(D)reescrever
WINS a primeira Função de Transferência:
(E) DHCP
KS
1 0, 2s + 2
1+ =
30 0, 2s + 1 0, 2s + 1
N
0,2s+2 K
Y (s) 0,2s+1
× 0,5s+1
=
R
0,2s+2 K
U (s) 1 + 0,2s+1 × 0,5s+1
4T
U (s) que
confiável (0,o2s + 1)(0,
sistema 5s + 1)como
conhecido + (0, 2s + 2)K
empurra/puxa. (A) NRZ-I e Manchester Diferencial.
Esse conector é conhecido pela sigla (B) NRZ-I e Manchester.
Agora finalmente (A) ST
podemos (B) SC característica de (s) :NRZ-I e NRZ-L.
identificar a equação Y (C)
U(D)
(s) NRZ-L e Manchester.
(C) MT-RJ (D) SMA
(E) VF-45 (E) NRZ-L e Manchester Diferencial
(0, 2s + 1)(0, 5s + 1) + (0, 2s + 2)K = 0
s2 + (7 + 2K)s + (10 + 20K) = 0 9 ENGENHEIRO(A) DE EQU
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 8
KS
Alternativa (D)
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 9
Questão 7
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/2)
35
um sistema linear à aplicação de um im- Considere um sistema de segunda ordem com a seguinte
função de transferência:
ta de Dirac) é dada por h(t) = Aδ(t − t0),
ão constantes positivas. Admitindo-se que
enha como entrada um sinal senoidal defi-
B cos(2 π f 0t ), o espectro do sinal de saída, A partir da análise de estabilidade e de desempenho,
e a essa entrada, é dado pela expressão afirma-se que G(s) é
(A) estável, com a frequência natural amortecida igual a
KS
6, e o sistema é subamortecido.
+ e-j2πf t ) (B) estável, com o coeficiente de amortecimento igual a 1,
N
0
9Y
(C) estável, com o coeficiente de amortecimento igual a 3,
t0)
e o sistema é superamortecido.
(D) instável, com a frequência natural não amortecida
J5
os(2πf t0) igual a 3, e o sistema é subamortecido.
(E) instável, com frequência natural não amortecida igual
R
a 6, e o sistema é criticamente amortecido.
4T
0
) + δ(f +f0)]e-j2πf t
0
36
T3
Para análise de estabilidade em sistemas lineares, conside-
0
)cos(2πf t0) Resolução:
re a função de transferência de um sistema em malha fecha-
Primeiro calculamos os pólos do sistema, que são as raízes da equação
KS
2 da,+
dada
9 =por0: , onde
smitir, digitalmente, um característica
sinal de vídeo cujos + 6s
N
√
itado à faixa de 0 a 4 MHz. Na conversão a constante .−6
Para 62 − a4 estabilidade
± garantir ×9 desse
9Y
s=
al, utilizam-se um amostrador que opera na 2
sistema, o intervalo de variação de k deve ser
J5
e um canal com largura de banda de 25 MHz, 2(A) não apresentará variações de temperaturas inferiores
ωn = 9 6
ou iguais a 0,5 ºC. ξ=
J5
ξ=1
(C) não é adequado para a medição na qual é empregado,
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(A) estável, com a frequência natural amortecida igual a
6, e o sistema é subamortecido.
+ e-j2πf t ) 0 (B) estável, com o coeficiente de amortecimento igual a 1,
e o sistema é criticamente amortecido.
(C) estável, com o coeficiente de amortecimento igual a 3,
0
) CONTROLE LINEAR www.concursopetrobraseng.com.br 10
e o sistema é superamortecido.
(D) instável, com a frequência natural não amortecida
s(2πf t0) igual a 3, e o sistema é subamortecido.
(E) instável, com frequência natural não amortecida igual
Questão 8 a 6, e o sistema
(Eng. de Equipamentos JréEletrônica
criticamente amortecido.2010/2)
- Petrobras
0
) + δ(f +f0)]e-j2πf t
0
36
Para análise de estabilidade em sistemas lineares, conside-
)cos(2πf t0)
KS
l, utilizam-se um amostrador que opera na
sistema, o intervalo de variação de k deve ser
t e um codificador que gera na saída, para
N
(A) 0 < k < 2 (B) 1 < k < 2
na sua entrada, uma palavra binária de
9Y
(C) k > − 2 (D) k > − 1
xo igual a 12 bits. Para que a interferência
J5
(E) k > 0
(IES) no receptor seja desprezível, admite-
R
ra de banda do canal deve ser, no mínimo,
37
Em um determinado processo industrial, sabe-se que a
4T
de Ts é o intervalo de sinalizaçãoResolução:
na saída temperatura de uma de suas etapas varia entre 10 ºC e
50 ºC. O instrumento de medição usado para medir
T3
ou, em outras palavras, o intervalo entre essa temperatura possui sua faixa de medida de −50 ºC
Aplicamos oa critério
50 ºC, com deumaestabilidade
zona morta de de
1%. Routh-Hurwitz
Diante do exposto, na equação carac-
s) gerados pelo modulador.
5 4 afirma-se
3 2que o instrumento de medição
terística s + s + 4s + 2s + 3s + k − 1:
um canal com largura de banda de 25 MHz, (A) não apresentará variações de temperaturas inferiores
KS
ou iguais a 0,5 ºC.
odulação que atende à condição para que (B) não apresentará
s5 1variações de4temperaturas
3 inferiores
N
ou iguais a 1 ºC.
prezível é o 4
(C) não ésadequado1para a medição (ké−empregado,
2 na qual 1)
9Y
medida 1 4
s nominal.
(−k + k ) 0
4T
medida nominal.
Para não haver mudanças de sinal na primeira coluna, na linha de s2 temos que
A) DE EQUIPAMENTOS JÚNIOR 10
ter:
KS
k
N
>0
2
9Y
k>0 (1.1)
J5
4
(−k + ) > 0
k
T3
k2 < 4
−2 <k < 2 (1.2)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 11
k−1>0
k>1 (1.3)
KS
1<k<2
N
Alternativa (B)
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
é æw
s + 2,5 s + 1,5 K ê sen ç
ë è2
(C)
s2 + 3,5 s + 1,5
(D)
s2 + 3,5 s - 2,5 P (w ) =
w
CONTROLE LINEAR www.concursopetrobraseng.com.br 12
O valor da constante K é:
s + 1,5 (A) 4
(E) 2 (B) – j4
s + 3,5 s + 1,5
Questão 9 (C) j4
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1) (D) 2
(E) j2
44
5 46
v(t)
u(t) y(t)
+ ò ò +
T
A
T
-3 -t 0 t
KS
-A
-2
N
Considere o sinal periódico v(t) most
9Y
O diagrama em blocos da figura acima mostra um sistema Os pulsos têm amplitude A, largura
linear, de 2a ordem, composto de dois integradores, período T em segundos.
J5
somadores e ganhos. A entrada é u(t) e a saída y(t). Com base nesses dados, analise as
A função de transferência deste sistema é:
R
I - O valor médio de v(t) é zero.
4T
II - Os coeficientes da série com
Y (s) 5s Y (s) 5 grandezas reais.
(A) U (s) = 2 (B) U s = 2
( ) s + 3s + 2
T3
s - 3s + 2 III - Os harmônicos de ordem par s
Y (s) 5s + 1 Y (s) 5s + 1
(C) U (s) = 2 (D) U s = 2 É(São) correta(s) a(s) afirmativa(s)
s - 3s - 2 ( ) s + 3s + 2
KS
(A) I, apenas.
(B) I e II, apenas.
Y (s) s+5
N
(E) I, II e III.
J5
Resolução:
R
15
ENGENHEIRO(A) DE EQU
4T
gradores de x(t), logo fica fácil achar uma expressão para x(t):
Z Z
x(t) = [u(t) − 3x(t) − 2 x(t)]
KS
Z
ẋ(t) = u(t) − 3x(t) − 2 x(t)
N
9Y
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 13
KS
s
X(s) = Y (s) (1.5)
N
5s + 1
9Y
Agora basta substituirmos a equação 1.5 na equação 1.4:
J5
s
Y (s) [s2 + 3s + 2] = sU (s)
R
5s + 1
4T
Y (s) 5s + 1
= 2
U (s) s + 3s + 2
T3
Alternativa (D)
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
z2 - 3z + 2 base nesses dados, qual a distância m
medida em km, em que o receptor de
que ocorra a recepção do sinal?
Os três primeiros valores da sequência x(n), ou seja, x(0),
(A) 5,0
x(1) e x(2), respectivamente, são
(B) 6,5
CONTROLE LINEAR (A) 0, 5 ewww.concursopetrobraseng.com.br
8 14
(C) 9,0
(B) 0, 8 e 14
(D) 12,5
(C) 5, 7 e 14
(E) 24,0
(D) 5, 8 e 14
Questão 10 (E) 8, 14 e 26
Considere os dados a seguir, para
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1)
tões de nos 50 e 51.
48
Um sistema linear apresenta a segui
X(z) Y(z) malha fechada:
5 + +
-1 -1 R(s) K
z z s(s+10)
3 + -3
KS
-1 -1
z z Aplicando um impulso unitário na entr
2 -8 sinal y(t) de saída será da forma:
N
9Y
O diagrama em blocos da figura acima mostra um filtro y(t) = Me-s t sen(w
digital, tendo X(z) como entrada e Y(z) como saída. A ex-
J5
50
Y (z )
pressão da função de transferência H (z ) = é Considerando que w = 4 rad/s, o valo
X (z )
R
(A) 85
4T
(B) 50
(C) 45
T3
z2 + 3z + 2 z2 + 3z + 8 (D) 41
(A) H (z ) = (B) H (z ) = (E) 25
z2 + 3z + 8 5z2 + 3z + 2
51
KS
O valor da constante M na expressão
função do ganho K é:
N
2
z + 3z + 8 z2 + 8z + 3 K
(B)
K - 25
J5
K
(C)
K - 25
R
2
5z + 3z + 2
4T
(D) K
(E) H (z ) = 2
z + 3z + 8 1
T3
(E)
K
Resolução:
KS
16
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
A resolução desta questão é bem direta. Do
ELETRÔNICA diagrama de blocos tiramos
N
que:
9Y
Y (z)(1 + 3z −1 + 8z −2 ) = X(z)(5 + 3z −1 + 2z −2 )
R
4T
Y (z) 5 + 3z −1 + 2z −2 z 2
= ×
X(z) 1 + 3z −1 + 8z −2 z 2
T3
Y (z) 5z 2 + 3z + 2
= H(z) = 2
X(z) z + 3z + 8
Alternativa (E)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
5z - 7z
X (z ) = 2
abaixo desta potência o receptor não detecta o sinal. Com
z2 - 3z + 2 base nesses dados, qual a distância máxima em linha reta,
medida em km, em que o receptor deve ser instalado para
que ocorra a recepção do sinal?
os valores da sequência x(n), ou seja, x(0), (A) 5,0
pectivamente, são
CONTROLE LINEAR (B) 6,5 www.concursopetrobraseng.com.br 15
(C) 9,0
(D) 12,5
(E) 24,0
Questão 11
(Eng. Considere
de Equipamentos
os dadosJraEletrônica - Petrobras
seguir, para responder2010/1)
às ques-
tões de nos
os 50 e 51.
3 + -3
KS
-1
z-1 Aplicando um impulso unitário na entrada deste sistema, o
N
2 -8 sinal y(t) de saída será da forma:
9Y
m blocos da figura acima mostra um filtro y(t) = Me-s
-s tt sen(wt)
J5
(z) como entrada e Y(z) como saída. A ex-
50
Y (z )
R
ção de transferência H (z ) = é Considerando que w = 4 rad/s, o valor do ganho K é:
X (z )
4T
(A) 85
(B) 50
(C) 45
T3
2 (D) 41
+ 3z + 2 z2 + 3z + 8
(B) H (z ) = 22 (E) 25
+ 3z + 8 5z + 3z + 2
51
KS
O valor da constante M na expressão da resposta y(t) em
Resolução:função do ganho K é:
N
+ 3z + 5 3z22 + 2z + 5
9Y
(A) 1
(D) H (z ) = 2 Primeiramente achamos a equação de Malha Fechada do sistema ( YR(s)
(s)
), ou
+ 3z + 8 z2 + 8z + 3 K
seja: (B)
J5
K - 25
K
(C) Y (s)
R
K - 25 K K
= = 2 (1.6)
4T
+ 3z + 2 (D) K
R(s) s(s + 10) + K s + 10s + K
+ 3z + 8
T3
1616
o resultado
A) DE EQUIPAMENTOS JÚNIOR deve ser igual à função de transferência 1.6. Então, sabendo que a
N
L ω
M e−σt sin(ωt) ⇒
= M (1.7)
J5
(s + σ)2 + ω 2
R
Agora devemos deixar a equação 1.6 na mesma forma da equação 1.7, para isso
4T
K K
= (1.8)
s2 + 10s + K 2
(s + 5) + (K − 25)
| {z }
ω2
p
Logo, se ω 2 = K − 25, então ω = (K − 25). Então podemos finalmente reorgani-
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
os valores da sequência x(n), ou seja, x(0),
(A) 5,0
pectivamente, são
(B) 6,5
(C) 9,0
(D) 12,5
(E) 24,0
CONTROLE LINEAR www.concursopetrobraseng.com.br 16
Considere os dados a seguir, para responder às ques-
tões de nos 50 e 51.
Um sistema
zar a FT 1.8 para ficar igual linear apresenta
à equação a seguinte
1.6, comoconfiguração
segue: em
Y(z) malha fechada:
5 + + ω
R(s) K z√ Y(s)
}| {
-1
z
K K
s(s+10) K − 25
2
= √ × 2
(1.9)
(s + 5) + (K − 25) K − 25 (s + 5) + (K − 25)
3 + -3 | {z } | {z }
M ω2
-1
z foi dado queAplicando
Como um impulso
ω = 4rad/s, unitário na
podemos entrada deste
calcular sistema,
o valor de Ko como segue:
2 -8 sinal y(t) de saída será da forma:
KS
ω 2 = K − 25
y(t) = Me-s t sen(wt)
m blocos da figura acima mostra um filtro
N
(z) como entrada e Y(z) como saída. A ex- 42 = K − 25
50
9Y
Y (z ) w ==4 rad/s,
ção de transferência H (z ) = é Considerando que K 16 + o25valor
= 41do ganho K é:
X (z ) (A) 85
J5
(B) 50
(C) 45 Alternativa (D)
R
+ 3z + 2 z2 + 3z + 8 (D) 41
(B) H (z ) =
4T
(E) 25
+ 3z + 8 5z2 + 3z + 2
T3
51
O valor da constante M na expressão da resposta y(t) em
função do ganho K é:
+ 3z + 5 3z2 + 2z + 5
KS
(A) 1
(D) H (z ) = 2
+ 3z + 8 z + 8z + 3 K
(B)
N
K - 25
K
9Y
(C)
K - 25
J5
+ 3z + 2 (D) K
+ 3z + 8
R
1
(E)
4T
K
T3
16
A) DE EQUIPAMENTOS JÚNIOR Resolução:
KS
K
M=√
9Y
K − 25
J5
Alternativa (C)
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
s + 4s - 5
5 3 L P
(A) e
KS
Um sistema linear com função de transferência 3 2
4
3
H (s) =
N
está submetido a uma malha de con- 7 3
s2 + 4s - 5 (B) e P
9Y
3 5
trole, conforme indicado no diagrama de blocos acima, em
5
J5
3 2
(C) e
que K 1 e K 2 são ganhos (constantes reais). As 5 3
P
R
4T
especificações para o sistema em malha fechada são:
2 7
L (D) e
3 3
1
T3
- frequência natural não amortecida de 2 rad/s;
0 3 3
(E) e
- erro de estado estacionário nulo para a resposta ao de- 01 3 2 56 8 9 11 12 13 Tempo [s]
KS
grau em r(t).
P
N
0
respectivamente, 0 2 3 4 5 7 8 10 13 Tempo [s]
J5
5 3
(A) e
R
Resolução:
3 2 O Grafcet da figura acima é tipicamente utilizado no con-
4T
5 3 3
Y (s) K1 s2 +4s−5 cos, as etapas ativas em t = 13 s são:
= 3
R(s) 1 + K2 s2 +4s−5 (A) 1 e 4
N
2 7
(D) e (B) 1, 3 e 4
3 3 Y (s) 3K1
9Y
= 2 (C) 2 e 3
R(s) s + 4s + (3K2 − 5) (D) 2, 3 e 4
J5
3 3
e
(E) (E) 2, 3 e 5
Agora comparamos5esta
2 FT com uma FT padrão de segunda ordem:
R
4T
ELETRÔNICA
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 18
ωn2 = 3K2 − 5
√
( 2)2 = 3K2 − 5
7
K2 =
3
Y (s)
Para um erro estacionário nulo a uma entrada degrau temos que ter R(s)
= 1
KS
1
quando U (s) = s
e s → 0. Ou seja, pelo Teorema do Valor Final:
N
Y (s) 1 3K1
×
9Y
lim s = =1
s→0 R(s) s 3K2 − 5
J5
3K1 = 3K2 − 5
R
7
3K1 = 3 − 5
4T
3
2
K1 =
T3
3
Alternativa (D)
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
4 bits antes de ligá-los ao comparador;
um inversor as saídas A>B e A<B. O circuito CMOS da figura acima implementa a função lógica
a(s) APENAS a(s) opção(ões) (A) Y = AB (C + D) (B) Y = ( A + B) (C + D)
(B) II
(D) ICONTROLE
e II LINEAR (C) Y = CD ( A + B) (D) Y = ( A + B) CD
www.concursopetrobraseng.com.br 19
(E) Y = AB (C + D)
50 W
Questão 13
0,2 h (Eng. Para responder às questões
de Equipamentos 29 e 30,
Jr Eletrônica considere o
- Termoaçu sistema
2008/1)
em Malha Fechada, com realimentação unitária de
+ saída, mostrado na figura.
10 W AMP
-
0,05 F 50 W
KS
oscilação.
gura acima, considera-se que o capacitor e o
cialmente descarregados. A chave é fechada
N
29
e, neste instante, uma medida de corrente ( I0 )
9Y
Com base nos dados apresentados, a oscilação ocorre para
erímetro (AMP). Com a chave fechada até o
o regime permanente, outra medida de cor- o valor de K igual a
J5
eita. Os valores, em A, das medidas I0 e Iss , (A) 2500 (B) 1850 (C) 1200 (D) 500 (E) 100
e, são
R
30
4T
Com base nos dados apresentados, a freqüência angular de
Resolução:
oscilação, em rad/s, é
T3
(A) 5 (B) 10 (C) 20 (D) 50 (E) 100
Encontrar o (A) 5
valor (B) 10
de K (C) 20
que provoca (D) 50
oscilação é o(E)mesmo
100
que achar o lim-
7 do sistema. Para isso podemos utilizar o método de Routh-
ite de instabilidade
KS
ENGENHEIRO DE EQUIPAMENTOS JÚNIOR (ELETRÔNICA)
Hurwitz, ou podemos utilizar o fato dos pólos estarem robre o eixo imaginário e
N
www.pciconcursos.com.br
igualar os pólos do sistema a pólos puramente complexos. Para diversificar, nesta
9Y
questão escolheremos o segundo método. Logo, ter os dois pólos sobre o eixo
J5
s3 + 25s2 + 100s + K = 0
T3
Para a equação 1.10 ser igual a zero, tanto a parte real como a imaginária devem
N
9Y
(100ω − ω 3 )j = 0
R
ω(100 − ω 2 ) = 0
4T
ω=0 ou ω = ±10
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(B) II
(D) I e II (C) Y = CD ( A + B) (D) Y = ( A + B) CD
(E) Y = AB (C + D)
KS
Com base nos dados apresentados, a oscilação ocorre para
erímetro (AMP). Com a chave fechada até o
o valor de K igual a Alternativa (A)
o regime permanente, outra medida de cor-
N
eita. Os valores, em A, das medidas I0 e Iss , (A) 2500 (B) 1850 (C) 1200 (D) 500 (E) 100
9Y
e, são
30
J5
Com base nos dados apresentados, a freqüência angular de
oscilação, em rad/s, é
R
(A) 5 (B) 10 (C) 20 (D) 50 (E) 100
4T
7
T3
ENGENHEIRO DE EQUIPAMENTOS JÚNIOR (ELETRÔNICA)
Resolução:
www.pciconcursos.com.br
KS
O valor da frequência angular de oscilação já foi encontrado no cálculo da
questão anterior, sendo ω = 10rad/s.
N
9Y
Alternativa (B)
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
Al
A figura acima apresenta um circuito elétrico operando em
regime permanente com a chave S1 fechada. Em determina-
F
do instante, a chave S1 é aberta. Imediatamente após esse
CONTROLE LINEAR instante,www.concursopetrobraseng.com.br
a corrente IC, em ampères, que atravessa o capacitor
Dois strain gauges, 21conectados em u
de 1 mF no circuito, aproximadamente, será:
são utilizados para a medida da força
(A) 0,01 (B) 0,02 sobre uma barra de aço cilíndrica, conf
(C) 0,05 (D) 0,08 ra. O circuito em ponte é ajustado de t
Questão 14
(E) 0,10
(Eng. de Equipamentos Jr Eletrônica - Refap 2007) não há esforço longitudinal na barra, a t
Considerando que o diâmetro da barra é
25 do aço é E, os strain gauges possuem
Considere um sistema, com entrada u(t) e saída y(t), cuja são de alimentação é V e a tensão de
função de transferência é dada por: são para a força de tração na barra ser
Y s K E
V
G s (A) 4
D 2 2
U s s s 4 s 10 K V
Fecha-se a malha, com realimentação de saída do tipo K V
u(t) = -y(t) + r(t), onde r(t) é uma entrada de referência. Com (B) 4
D2
E V
base nesses dados, qual o valor de K para que dois dos pólos
KS
1
do sistema, em malha fechada, sejam imaginários puros?
D2 E
V
(A) 40 (B) 100 (C) 1
4 K V
N
(C) 340 (D) 560
1
9Y
(E) 820
E
V
(D)
D2 2
K V
26
J5
V1
D2 E V
Resolução: (E)
R
4 K V
00
4T
Primeiramente devemos encontrar
V1 a Função de Transferência de Malha
28
T3
V3
Fechada (FTMF) do sistema: 01
Uma linguagem descritiva de hardware
importante para o desenvolvimento de
K Dentre as mais populares, destaca-se
Y (s)V2 s(s+4)(s+10)
V3
G(s) = = V2 afirmativa INCORRETA relacionada co
KS
K
U (s) 11 1 + s(s+4)(s+10)
10 programação.
(A) A linguagem VHDL possui interfac
K
N
= eletrônica.
Para implementar o diagrama s(s +de4)(s
estados da figura
+ 10) + K acima, (B) A linguagem VHDL possui portabili
9Y
8
s3 + 14s2 + 40s + K = 0
Engenheiro de Equipamentos Júnior - Eletrônica
−ω 3 j − 14ω 2 + 40ωj + K = 0
N
(40ω − ω 3 )j + (K − 14ω 2 ) = 0
9Y
J5
40ω − ω 3 = 0
4T
ω(40 − ω 2 ) = 0
T3
ω 2 = 40 ou ω=0
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 22
K − 14ω 2 = 0
K − 14 × 40 = 0
K = 560
Alternativa (D)
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
4
Saída y (t
II – C, Y e Z representamPara
funções
K deativas ou de
N - 1 até saída. -1)
1 (variando
a1n x1 b1 III – M, L e H são letras modificadoras.
1 3
a2n x b IV – S representa um elementoParaprimário
L deou sensor.
K+1 até N (variando +1)
2 2 V – K representa uma estação de controle.
V1 V1 (A(K,L) * X(L))
2
M M M Fim do para
CONTROLE
AsLINEAR
afirmativas corretas são apenas:
www.concursopetrobraseng.com.br 1 23
ann xn bn (A) I, II e III 2
4443 123 0
Vetor B (B) I, III e IV Fim do para
(C) II, III e IV 1
(D) 0 10 20 30 40 50
riz A esteja triangularizada eQuestão
que seus 15II, III e V As linhas que preenchem corretamente as lacunas 1 e 2 do
ejam diferentes de zero. No algoritmo, (E) II, IV(Eng.
eV dealgoritmo
Equipamentos Jr Eletrônica - Refap 2007) Tempo [s]
acima, respectivamente, são:
alores calculados para x1, x2, ..., xn e A figura acima apresenta a resposta ao degrau un
38
úmero inteiro n de equações. A seguir, V1 B(K) um determinado processo. A função de transferên
7 (A) presenta o processo é:
lgoritmo escrito pelo programador. X(K) V1/A(K,K)
6 0,1s + 0,5
(A) G s =
5 V1 B(K 1) s2 + 0,6s + 0,05
N) (B)
X(K 1) V1/ A(K,K)
Saída y (t)
KS
a (C) G s =
1 s2 + 0,6s + 0,05
V1 B(K 1)
N
0
(D) 0,5 s 0,5
X(K) A(K,K)/V1 (D) G s =
9Y
1 2
0 10 20 30 40 50 60 s + 0,2s + 0,05
m corretamente as lacunas 1 e 2 do
Tempo [s]
0,1s 0,5
J5
tivamente, são: V1 B(K)
(E) a resposta ao degrau unitário para
A figura acima apresenta (E) G s =
X(K-1) A(K+1,K+1)/V1
2
s + 0,2s + 0,05
um determinado processo. A função de transferência que re-
R
presenta o processo é:
K)
4T
0,1s + 0,5
10
(A) G s = Engenheiro de Equipamentos Júnior - Eletrônica
s2 + 0,6s + 0,05
Resolução:
T3
www.pciconcursos.com.br
K,K)
0,5 s 0,5
Para s = 2 está treinado, esta questão é extremamente fácil de ser re-
(B) Gquem
s + 0,6s + 0,05
KS
solvida. Primeiramente observamos o ganho estático do sistema, pelo gráfico:
,K) 0,5 s + 0,5
(C) G s = 2
s + 0,6s + 0,05 ∆y(t)
N
K=
0,5 s 0,5 ∆u(t)
9Y
(D) G s =
s2 + 0,2s + 0,05 yf − yi 5−0
K= = =5
J5
0,1s 0,5
uf − ui 1−0
(E) G s =
R
−0, 5(s − 0, 5) 1
Alternativa (D) lim s × 2 × =5
s→0 s + 0, 2s + 0, 05 s
N
Do mesmo modo, vemos que o ganho estático da alternativa (A) é igual a 1, da (B)
9Y
é -5 e da (E) é 1.
J5
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 24
Questão 16
(Eng. de Equipamentos Jr Elétrica - Petrobras 2010/2)
59
KS
A figura acima mostra um diagrama em blocos, no domínio de Laplace, contendo um bloco de retardo, um somador e um
integrador. Aplicando um impulso unitário δ(t) na entrada, a forma de onda da saída h(t) é
N
(A) (B)
9Y
J5
R
4T
T3
(C) (D)
KS
N
9Y
J5
(E)
R
4T
T3
60
Um sistema linear, causal e de segunda ordem é representado pela seguinte função de Transferência:
Resolução:
KS
mente deduzida:
Esse sistema opera com razão de amortecimento 0,7 e frequência natural não amortecida de 15 rad/s. Quando alimentado
9Y
por um degrau unitário em sua entrada, a saída, em regime permanente, atinge o valor 0,4. Os valores de a e K,
respectivamente, são
(A) 42 e 180 1 e−τ s
J5
(D) 10,5 e 90
Porém, sabemos que a entrada é um impulso unitário, ou seja, u(t) = δ(t), logo
(E) 10,5 e 45
4T
U (s) é 1.
T3
1 e15
−τ s
1 ENGENHEIRO(A)
1 DE EQUIPAMENTOS JÚNIOR
H(s) = 1 × [ − ] = − × e−τ s ELÉTRICA
s s s s
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 25
1
sabendo que s
no domínio de Laplace equivale a um degrau (D(t)) no domínio do
tempo, a expressão:
1 1
H(s) = − × e−τ s
s s
No domínio do tempo fica:
KS
h(t) = D(t) − D(t − τ )
N
9Y
Ou seja, h(t) será um degrau unitário de t = 0 até t = τ , quando então
J5
subtrai-se um degrau também unitário, zerando a saída. A alternativa que mostra
R
este comportamento da saída é a alternativa (A).
4T
T3
KS Alternativa (A)
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(E)
CONTROLE LINEAR www.concursopetrobraseng.com.br 26
Questão 17
(Eng. de Equipamentos Jr Elétrica - Petrobras 2010/2)
60
Um sistema linear, causal e de segunda ordem é representado pela seguinte função de Transferência:
Esse sistema opera com razão de amortecimento 0,7 e frequência natural não amortecida de 15 rad/s. Quando alimentado
por um degrau unitário em sua entrada, a saída, em regime permanente, atinge o valor 0,4. Os valores de a e K,
respectivamente, são
(A) 42 e 180
KS
(B) 21 e 90
(C) 21 e 15
(D) 10,5 e 90
N
(E) 10,5 e 45
9Y
J5
15
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
Resolução: ELÉTRICA
R
4T
Primeiramente comparamos a função de transferência dada com uma FT
de segunda ordem padrão:
T3
K K2 ωn2
G(s) = =
KS
s2 + as + b s2 + 2ξωn s + ωn2
O enunciado nos informa que a frequência natural não amortecida é: ωn = 15rad/s,
N
a = 2ξωn = 2 × 0, 7 × 15 = 21
Em regime permanente, o valor da saída para um degrau unitário deve ser igual a
KS
K 1 K
9Y
lim s × 2 × = = 0, 4
s→0 s + as + b s b
J5
K = 0, 4 × b
R
K = 0, 4 × 225 = 90
4T
T3
Portanto a = 21 e K = 90.
Alternativa (B)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
seja, Z = TL [Y]
1
[ sen(3t)+cos(3t)] • TL - indica uma transformação linear.
3
Supondo a existência de uma matriz P que opera a trans-
1 formação linear de Z em X, ou seja, X = TL [Z], esta matriz
sen(4t)+cos(4t)] CONTROLE LINEAR www.concursopetrobraseng.com.br 27
2 é calculada por
(A) P = M N (B) P = N M
(C) P = M-1 N-1 (D) P = [N M]-1
1
sen(3t)+ cos(3t)]
3
Questão 18 (E) P = [M N]-1
(Eng. de Equipamentos Jr Elétrica - Petrobras 2010/1)
11
o, após equacionar um determinado proble- Um sistema linear apresenta a seguinte configuração em
as equações sob a forma matricial e reali- malha fechada, no domínio de Laplace.
elementares com as linhas e colunas das
e levou ao seguinte sistema:
R(s) K Y(s)
+ s(s + 10)
-2
_
4 2 1ù é x1 ù é 6 ù
êx ú ê ú
- 4 -5 1 -1úú ê 2 ú ê -1ú
KS
0 2 1 3ú ê x3 ú = ê 13 ú
ú ê ú ê ú
0 0 -1 1ú ê x 4 ú ê -1ú No domínio do tempo, aplicando um degrau unitário na en-
N
0 0 1 -2úû ê x5 ú êë -3 úû trada deste sistema, a saída y(t), em regime permanente,
ë û
9Y
tende para
J5
(A) 1 (B) K
ável x3 é
R
1
(C) (D) 10
K
4T
1
(E)
T3
10
4
KS
A) DE EQUIPAMENTOS JÚNIOR Resolução:
N
K
Y (s) s(s+10)
=
J5
K
R(s) 1 + s(s+10)
R
Y (s) K
=
4T
um degrau unitário:
K 1
lim s × ×
N
=1
s→0 s(s + 10) + K s
9Y
Observe que esta resposta já era esperada, não precisaria fazer nenhuma conta
R
nesta questão. Pois, como a planta já é integradora, ela seguirá degraus de entrada
4T
Alternativa (A)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 28
ELETRONUCLEAR
Questão 19
(Eng. Eletrônica Eletrobrás - Eletronuclear 2010)
53
4
Saída
KS
3
N
2
9Y
1
J5
R
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
Tempo [s]
4T
A figura acima mostra a resposta a um degrau de amplitude 2, aplicado em t=0s, à entrada de um sistema de primeira
T3
ordem com atraso. A função de transferência desse sistema é
entre 5 e +5 N. Um ensaio em laboratório levantou a curva não linear dada pela expressão
e a força, Resolução:
9Y
f = 4i3 10i2 + 5
O atraso de um sistema aparece na forma e−Ls na função de transferência,
J5
Linearizando a curva para pequenas variações no entorno do ponto de corrente io = 1 A, obtém-se a seguinte relação linear:
onde
(A) f = L10i é
+ 4o atraso. Ou seja, o tempo que o sistema demora para responder após
R
(B) f = 10i + 7
a mudança
f = 8i + 5 da entrada, é chamado de atraso. Observando o gráfico, como a
4T
(C)
(D) f = 8i + 7
mudança
(E) f = 8i + 7 na entrada ocorreu em t = 0 (já que a entrada é um degrau), percebe-
T3
Aplicando-se um impulso na entrada, o sinal senoidal de saída oscilará de forma amortecida exponencialmente e com
frequência angular, em rad/s, de ∆y(t) yf − yi 6−0
(A) 9 (B) 10 K= (C)=12 = =3
2 −(D)0 15 (E) 18
J5
∆u(t) uf − ui
R
Logo, percebemos que a alternativa (A) também está excluída, pois esta
4T
apresenta
ENGENHEIRO(A)
ganho estático igual a 5. 16Sobrando na nossa análise apenas as
T3
ELETRÔNICA
alternativas (C) e (E).
Para decidirmos entre essas duas alternativas, estimamos o valor do pólo do
−1
sistema. Sabemos que em um sistema de primeira ordem o pólo será s = τ
,
onde τ é a constante de tempo do sistema. Como a saída apresenta 0,63% do
seu valor máximo no tempo t = 4s, temos:
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 29
τ = 4 − 1, 5 = 2, 5s
KS
alternativa possível é a letra (C).
N
Alternativa (C)
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
Um atuador mecânico gera uma força [N], função de uma corrente elétrica [A]. A corrente elétrica é limitada entre 0 e 2A,
e a força, entre 5 e +5 N. Um ensaio em laboratório levantou a curva não linear dada pela expressão
f = 4i3 10i2 + 5
Linearizando aLINEAR
CONTROLE curva para pequenas variações no entorno do ponto de corrente io = 1 A, obtém-se a seguinte relação linear: 30
www.concursopetrobraseng.com.br
(A) f = 10i + 4
(B) f = 10i + 7
(C) f = 8i + 5
(D) f = 8i + 20
Questão 7
(E) f = 8i + 7 (Eng. Eletrônica Eletrobrás - Eletronuclear 2010)
55
Um sistema linear de 2a ordem é dado pela função de transferência, que liga a saída Y(s) à entrada U(s), dada pela
seguinte expressão:
Y (s ) 60
=
U (s ) 2
s + 18s + 225
Aplicando-se um impulso na entrada, o sinal senoidal de saída oscilará de forma amortecida exponencialmente e com
frequência angular, em rad/s, de
(A) 9 (B) 10 (C) 12 (D) 15 (E) 18
KS
N
9Y
16
Resolução:
ENGENHEIRO(A)
ELETRÔNICA
J5
A frequência natural amortecida ωd é dada em função da frequência natural
R
não amortecida ωn , e do coeficiente de amortecimento ξ, segundo a expressão:
4T
T3
p
ωd = ωn 1 − ξ2
60 Kωn2
9Y
=
s2 + 18s + 225 s2 + 2ξωn s + ωn2
J5
De onde tiramos:
R
4T
ωn2 = 225 → ωn = 15
T3
E também:
KS
9
2ξωn = 18 → ξ= = 0, 6
N
15
9Y
p
ωd = ωn 1 − ξ 2
R
p
ωd = 15 1 − 0, 62
4T
p
ωd = 15 1 − 0, 36
T3
p
ωd = 15 0, 64
ωd = 15 × 0, 8 = 12
Alternativa (C)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 31
Questão 21
(Profissional Jr Eng. Eletrônica - Petrobras Distr. 2008)
37 39
A dinâmica de um atuador robótico do tipo moto-redutor
admite a seguinte aproximação linear:
J+C=Tm
Onde: J é o momento de inércia do sistema, C é o coefici-
ente de atrito,Tm é o torque e é a posição angular, função
do tempo
KS
2
d d
= e = 2
dt dt
N
Aplica-se uma lei de controle com realimentação do tipo:
9Y
Tm=Kp(r)Kd
J5
onde r é a posição angular de referência, Kp e Kd são
ganhos constantes.
R
Para atender às exigências do projeto, o sistema em malha
4T
fechada deve posicionar pólos complexos com razão de
amortecimento = 0,8 e freqüência natural não amor- A figura acima apresenta o diagrama
T3
tecida n = 10 rad/s. As expressões de Kp e Kd são: para o ganho K > 0, de uma planta
realimentada por um compensador
(A) K p 100J e K d 100J 1,8C
C Considerando 1 , 2 valores reais positi
KS
(B) K p 100C e K d 18C J é correto afirmar, a partir do diagram
malha
N
J
(E) fechada é estável para todo valor
4T
38
Uma determinada planta industrial apresenta o comporta-
T3
40
Resolução: mento dinâmico semelhante ao de um modelo linear de
No modelo de um atuador robótico, o
segunda ordem, quando submetida à aplicação de um de-
grau em sua entrada. Observa-se na saída da planta que a é uma função não linear que depende
Primeiramentr aplicamos a lei de controle na expressão da dinânima do at-
KS
resposta possui uma ultrapassagem máxima de 25% e al- trica i(t), em A, cuja relação é dada p
uador, como segue:gumas poucas oscilações amortecidas até alcançar o valor
de regime permanente. Neste caso, o comportamento da
N
J θ̈ + C θ̇ = Tm
(A) superamortecido, e os pólos do modelo estão localiza-
dos sobre o semi-eixo real negativo. Para pequenas variações de corrent
J θ̈ + C θ̇ = Kp (θr − θ) − Kd θ̇
J5
(B) criticamente amortecido, e os pólos do modelo estão ção em que o torque é nulo, a expr
J θ̈localizados
+ (C + K )θ̇ + Kp θs =
nodsemiplano direito.
Kp θr
R
torque TL(t) é:
(C) subamortecido, e os pólos do modelo estão localizados
4T
(A) 22i(t) - 44
sobre o semi-eixo real negativo.
Agora aplicamos a (D)
Transformada
subamortecido, ede Laplace:
os pólos do modelo são complexos con- (B) -22i(t) + 44
T3
www.pciconcursos.com.br
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 32
Kp
J Kωn2
(C+Kd ) Kp
=
s2 + s + s2 + 2ξωn s + ωn2
J J
Como ωn = 10rad/s, temos:
Kp
ωn2 =
J
KS
Kp = ωn2 J
N
Kp = 102 J
9Y
E como ξ = 0, 8:
J5
(C + Kd )
R
2ξωn =
J
4T
Kd = (2ξωn )J − C
T3
Kd = (2 × 0, 8 × 10)J − C
Kd = 16J − C
KS
N
Alternativa (D)
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(A) K p e K d 100J 1,8C
C Considerando 1 , 2 valores reais positi
(B) K p 100C e K d 18C J é correto afirmar, a partir do diagram
malha
(C) K p 120J e K d 180C (A) aberta é estável.
CONTROLE LINEAR www.concursopetrobraseng.com.br 33
(B) fechada somente é estável na faix
(D) K p 100J e K d 16J C
(C) fechada somente é estável na fai
100 (D) fechada somente é estável na faix
Questão 22 (E) K p e K d 18J C
J
(Profissional Jr Eng. Eletrônica - Petrobras Distr. 2008) (E) fechada é estável para todo valor
38
Uma determinada planta industrial apresenta o comporta- 40
mento dinâmico semelhante ao de um modelo linear de
No modelo de um atuador robótico, o
segunda ordem, quando submetida à aplicação de um de-
grau em sua entrada. Observa-se na saída da planta que a é uma função não linear que depende
resposta possui uma ultrapassagem máxima de 25% e al- trica i(t), em A, cuja relação é dada p
gumas poucas oscilações amortecidas até alcançar o valor
de regime permanente. Neste caso, o comportamento da T(t) = 5[i(t)] 2 + 2i(t
planta é
KS
(A) superamortecido, e os pólos do modelo estão localiza-
dos sobre o semi-eixo real negativo. Para pequenas variações de corrent
N
(B) criticamente amortecido, e os pólos do modelo estão ção em que o torque é nulo, a expr
localizados no semiplano s direito.
9Y
torque TL(t) é:
(C) subamortecido, e os pólos do modelo estão localizados
(A) 22i(t) - 44
sobre o semi-eixo real negativo.
J5
(D) subamortecido, e os pólos do modelo são complexos con- (B) -22i(t) + 44
jugados e estão localizados no semiplano s esquerdo. (C) 14i(t) - 28
R
(E) subamortecido, e os pólos do modelo estão localizados (D) 7i(t) - 14
4T
sobre o eixo imaginário, simetricamente posicionados (E) 22i(t) + 30
em relação à origem.
T3
11
PR
Resolução:
KS
FORMAÇÃO: ENGEN
www.pciconcursos.com.br
Como o enunciado da questão deixa claro que o sistema apresenta um
N
9Y
de segunda ordem:
T3
s2 + 2ξωn s + ωn2 = 0
KS
p
p = −ξωn ± ( 1 − ξ 2 )j
9Y
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
é correto afirmar, a partir do diagrama, que a planta em
C e K d 18C J
malha
J e K d 180C (A) aberta é estável.
(B) fechada somente é estável na faixa de ganho 0 > K > 1 .
e K d 16J C CONTROLE LINEAR www.concursopetrobraseng.com.br 34
(C) fechada somente é estável na faixa de ganho K > 1 .
e K d 18J C (D) fechada somente é estável na faixa de ganho 2 > K > 1.
(E) fechada é estável para todo valor de ganho K > 0.
Questão 23
(Profissional Jr Eng. Eletrônica - Petrobras Distr. 2008)
ada planta industrial apresenta o comporta- 40
co semelhante ao de um modelo linear de
No modelo de um atuador robótico, o torque T(t), em N.m,
m, quando submetida à aplicação de um de-
ntrada. Observa-se na saída da planta que a é uma função não linear que depende de uma corrente elé-
ui uma ultrapassagem máxima de 25% e al- trica i(t), em A, cuja relação é dada por:
s oscilações amortecidas até alcançar o valor
manente. Neste caso, o comportamento da T(t) = 5[i(t)] 2 + 2i(t)-24
KS
te amortecido, e os pólos do modelo estão ção em que o torque é nulo, a expressão linearizada do
s no semiplano s direito. torque TL(t) é:
N
ecido, e os pólos do modelo estão localizados
(A) 22i(t) - 44
9Y
mi-eixo real negativo.
cido, e os pólos do modelo são complexos con- (B) -22i(t) + 44
J5
estão localizados no semiplano s esquerdo. (C) 14i(t) - 28
ecido, e os pólos do modelo estão localizados (D) 7i(t) - 14
R
xo imaginário, simetricamente posicionados (E) 22i(t) + 30
4T
o à origem.
T3
11
Resolução:
PROFISSIONAL JÚNIOR
FORMAÇÃO: ENGENHARIA ELETRÔNICA
Primeiramente achamos o valor da corrente para o torque nulo:
KS
www.pciconcursos.com.br
T (t) = 5[i(t)]2 + 2i(t) − 24
N
9Y
0 = 5[i(t)]2 + 2i(t) − 24
J5
i1 = 2, 0 ou i2 = −2, 4
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 35
Questão 24
(Profissional Jr Eng. Eletrônica - Petrobras Distr. 2008)
62
R(s) + C(s)
+ H(s) G(s)
-
Um Disk-driver magnético requer um motor para posicionar a cabeça de leitura do disco, cujo sistema é modelado pela
10 K
KS
função G s onde =0,25 segundo. Considerando um compensador do tipo H s e usando a
s s 1 s8
N
estrutura de realimentação mostrada na figura, qual o valor do ganho K no limiar para a instabilidade?
9Y
(A) 5,6 (B) 8,2 (C) 38,4 (D) 384,0 (E) 820,0
63
J5
Diagrama de Bode
50
R
Resolução:
4T
A função de 0transferência de malha fechada será:
30 dB
Magnitude (dB)
T3
C(s) H(s)G(s)
50 =
R(s) 1 + H(s)G(s)
KS
C(s) 10τ K
100 =
R(s) s(τ s + 1)(s + 8) + 10τ K
N
150
90
J5
τ s3 + 8τ 75
s2 + s2 + 8s + 10τ K = 0
4T
180
s3 + 12s2 + 32s + 10K = 0 (1.12)
T3
270
1 2
10
2
10
1
10
0
10 10
s3 Freqüência
1 (rad/s) 32
N
12
(B) fase é 15°, portanto, o sistema em malha fechada é instável.
(C) fase é -75° e a margem de ganho é -30 dB, s0portanto,10K
o sistema em 0
malha fechada é estável.
R
(D) fase é -75° e a margem de ganho é 30 dB, portanto, o sistema em malha fechada é instável.
(E) fase é 75° e a margem de ganho é 30 dB, portanto, o sistema em malha fechada é estável.
4T
0
Da primeira coluna da linha de s tiramos que:
17
T3
PROFISSIONAL JÚNIOR
10K > 0 FORMAÇÃO: ENGENHARIA ELETRÔNICA
www.pciconcursos.com.br
K>0
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 36
12 × 32 − 10K
>0
12
12 × 32 − 10K > 0
32 × 12
K<
10
KS
K < 38, 4
N
9Y
Alternativa (C)
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 37
Questão 25
(Eng. Processamento Jr - Petrobras 2010/1 )
7 10
Constatou-se que uma variável de processo em malha Quando um fluido escoa através de
fe c h a d a a p r e s e n t a v a e l e v a d a s s o b r e l e v a ç õ e s um orifício, uma válvula parcialme
( o u overshoots) em resposta a distúrbios ou mudanças tampão poroso, sem qualquer var
no seu set-point. Diagnosticou-se que a causa desse
energia cinética ou potencial, e na aus
comportamento era sintonia inadequada do controlador,
que era um PI, com função de transferência dada por de calor, realiza-se um processo
(A) isotérmico.
æ 1 ö (B) isentálpico.
GC (s) = K c ç 1 + ÷ , tal que Kc é o ganho do controlador
t
KS
è Is ø (C) isentrópico.
(adimensional) e tI é o tempo integral (com unidade de (D) isobárico.
N
tempo). Dentre as alterações de sintonia apresentadas (E) isocórico.
abaixo, a resposta em malha fechada com o PI se tornará
9Y
menos oscilatória devido a: 11
(A) diminuição de Kc e de tI. Em relação à pressão de vapor de u
J5
(B) diminuição de Kc e aumento de tI. RETO afirmar que
R
(C) aumento de Kc, mantendo-se tI fixo. (A) a pressão de vapor de um líquido
4T
(D) aumento de Kc e de tI. com o aumento da temperatura.
(E) aumento de Kc e diminuição de tI. (B) a curva de pressão de vapor
T3
temperatura, sendo que, em qua
8 curva, existem duas fases, líquid
Seja um sistema em malha fechada com um controlador P, (C) a pressão de vapor pode ser e
Resolução: cuja função de transferência em malha fechada é
equações empíricas.
KS
Y(s) -4 3 (D) a Equação de Clapeyron esta
Para o candidato =resolver esta questão, basta
, em variáveis-desvio. Se ter = pouco
L(s)um e se de familiaridade
L(s) s2 + 2s + 2 s termodinâmica entre pressão de
N
erro permanente entre o set-point e o valor final da variável (E) um líquido puro entra em ebuliçã
chegar a uma resposta.
controlada, será tura, quando sua pressão de vap
J5
(A) 0
Na estrutura de PI apresentada, vemos que Kc é o ganho proporcionalqual aoestá
erro, ou
submetido.
(B) 3
R
(D) 12
esta parcela proporcional.
(E) µ
Dado que o objetivo é diminuir as oscilações,
Um gás écom
acon-comportamento id
T3
selhável diminuir Kc , deixando o controlador mais conservador (e isotermicamente provavelmente do estado caracter
9 volume molar iguais a p1 e V1 para ou
mais lento). Considere um ciclo de potência a vapor simples em que: p2 e V2. Qual a variação de energia
os estados 1 e 2, em J/mol? (T = tem
KS
desejamos diminuiarcondensador
as oscilaçõese o fluido de trabalho
temos quefluirá atravéseste
diminuir dessesganho integral, que
9Y
Trata-se de um ciclo
(A) regenerativo. (B) de Carnot. (D) R.T
T3
3
Alternativa
(B)
ENGENHEIRO(A) DE PROC
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 38
Questão 26
(Eng. Processamento Jr - Petrobras 2010/1 )
19 21
Um dado sistema em malha fechada apresenta a seguinte Um refrigerador ideal opera em u
equação característica (EC):
sendo constituído por duas etapas i
4 s3 + 8 s2 + 5 s + 5 = 0. calor QF é absorvido no nível mais
O Arranjo de Routh abaixo foi construído para analisar a TF , e calor QQ é rejeitado no nível m
estabilidade desse sistema. tura TQ, e por duas etapas adiabátic
adição de uma quantidade líquida de
KS
Linha
1 4 5 Considerando-se esses dados,
2 8 5
N
3 2,5 performance w desse refrigerador é
9Y
4 5
TQ
(A)
Mesmo sem o cálculo explícito das raízes, o Critério de TQ - TF
J5
Estabilidade de Routh leva a afirmar que, para esse siste-
ma, há TQ + TF
R
(A) um par de raízes no semiplano direito e uma raiz no (B)
TF
4T
semiplano esquerdo de s.
(B) uma raiz no semiplano esquerdo e um par de raízes
TQ - TF
T3
sobre o eixo imaginário. (C)
(C) uma raiz no semiplano direito e um par de raízes no TQ
semiplano esquerdo de s.
(D) três raízes no semiplano direito de s. TQ - TF
(D)
KS
(E) três raízes no semiplano esquerdo de s. TF
20
N
(E) TF
Seja o diagrama de blocos para um processo em malha TQ - TF
9Y
bomba,
senta nenhum coeficiente igual a zero e também não 2há mudança de no dentre
sinal qual essa bomba irá ope
4T
isso partimos para a análise do Arranjo de Routh apresentado. operação desse líquido naquela tub
+ Ysp + 1
c K car-se o ponto de trabalho, analise a
Sabemos do Critério de Routh-Hurtwitz que
4s "o
+ 1 número
+ de
Y(s)mudanças de sinal na
KS
(C) mais lenta e mais sensível ao distúrbio que em malha (B) I e IV.
aberta (C) II e III.
T3
5
ENGENHEIRO(A) DE PROC
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
Q F
Estabilidade de Routh leva a afirmar que, para esse siste-
ma, há TQ + TF
(A) um par de raízes no semiplano direito e uma raiz no (B)
TF
semiplano esquerdo de s.
(B) uma raiz no semiplano esquerdo e um par de raízes
CONTROLE LINEAR www.concursopetrobraseng.com.br
sobre o eixo imaginário. TQ - TF 39
(C)
(C) uma raiz no semiplano direito e um par de raízes no TQ
semiplano esquerdo de s.
Questão 27 (D) três raízes no semiplano direito de s. TQ - TF
(D)
(E) três raízes noJrsemiplano
(Eng. Processamento esquerdo
- Petrobras 2010/1de) s. TF
20 TF
(E)
Seja o diagrama de blocos para um processo em malha TQ - TF
fechada com um controlador P exibido a seguir.
L(s)
22
Ponto de trabalho é o ponto da curva
bomba, no qual essa bomba irá ope
2
4s + 1 em uma tubulação. Esse ponto forne
pela bomba ao líquido em escoam
KS
operação desse líquido naquela tub
Ysp + Kc 1 +
car-se o ponto de trabalho, analise a
N
4s + 1 + Y(s)
9Y
I - Fechar parcialmente uma válv
II - Mudar a pressão no reservató
J5
está sendo bombeado.
R
Para degraus em L(t), afirma-se que, em malha fechada III - Instalar a bomba em um nível
4T
com o controlador P (Kc>0), a resposta Y(t) será IV - Aumentar a rotação do rotor d
(A) mais rápida e menos sensível ao distúrbio que em
T3
malha aberta.
São corretas APENAS as ações
(B) mais rápida e mais sensível ao distúrbio que em malha
aberta. (A) I e II.
(C) mais lenta e mais sensível ao distúrbio que em malha (B) I e IV.
KS
aberta (C) II e III.
(D) mais lenta e menos sensível ao distúrbio que em
(D) III e IV.
malha aberta.
N
(E) tão rápida e tão sensível quanto em malha aberta. (E) I, II e IV.
9Y
J5
5
Resolução: ENGENHEIRO(A) DE PROC
R
4T
K
G(s) =
τs + 1
N
1
Logo percebemos que a função de transferência(FT) da planta, 4s+1
, já está no
J5
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 40
KS
1+Kc
N
9Y
4
Ou seja, τM F = 1+Kc
.
Como Kc > 0, fica claro que τM F < τM A . Ou seja, em malha fechada a resposta
J5
do sistema à refência é mais rápida.
R
4T
Agora vamos analisar a questão da rejeição de perturbação (L(t)). Para o
T3
sistema em MA, temos que:
KS
Y (s) 2
=
L(s) M A 4s + 1
N
Onde vemos que, para uma entrada degrau em L(t), o ganho estático será igual a
9Y
2 (ou seja, KLM A = 2). Logo um degrau unitário em L(t) causa na saída Y (t) um
J5
degrau de amplitude 2.
R
2
Y (s)
= 4s+1Kc
L(s) M F 1 + 4s+1
KS
Y (s) 2
=
L(s) M F 4s + (1 + Kc )
N
2
Y (s)
9Y
1+Kc
= 4
L(s) M F 1+Kc
s+ 1
J5
2
Onde vemos que o ganho estático KLM F é igual a . Como sabemos que
R
1+Kc
Kc > 0, fica claro que KLM F < KLM A , ou seja, o sistema em Malha Fechada é
4T
Alternativa (A)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
térmica é menor, para uma mesma quantidade de (D) 1 e 2
calor trocado. (E) 2 e 2
KS
para o qual a saída Y(t)
50% de propano e 50% de butano.
(A) começou a responder. operacionais durante a produção,
(B) sofreu aproximadamente 63% da variação total até o
N
mistura constituída de 52% de propa
estado estacionário final. ambos expressos em % de quantida
9Y
(C) sofreu aproximadamente 87% da variação total até o é a composição mássica aproximada
estado estacionário final. (A) 38,2% propano e 61,8% butano.
J5
(D) sofreu aproximadamente 99% da variação total até o (B) 45,1% propano e 54,9% butano.
estado estacionário final.
R
(C) 49,5% propano e 50,5% butano.
(E) alcançou ±5% do seu estacionário final e nele perma- (D) 50,0% propano e 50,0% butano.
4T
neceu. (E) 52,0% propano e 48,0% butano.
T3
7
Resolução: ENGENHEIRO(A) DE PROCE
KS
Admitindo um sistema de primeira ordem na forma padrão:
N
9Y
Y (s) K
=
U (s) τs + 1
J5
Y (s) K
=
U (s) τs + 1
T3
K
Y (s) = × U (s)
τs + 1
K 1
KS
Y (s) = ×
τs + 1 s
N
9Y
−t
y(t) = K(1 − e τ )
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 42
KS
N
Ou seja, para um entrada unitária, após τ segundos, o valor da saída será igual
9Y
a aproximadamente 63% do valor de regime permanente. Com a notação que
J5
utilizamos aqui: y(∞) = K → y(τ ) = 0, 63K.
R
4T
Alternativa (B)
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 43
Questão 29
(Eng. Processamento Jr - Petrobras 2010/1 )
30
trocadores de calor, dois métodos podem 1 2
o método da média logarítmica da diferença G1(s) = e G2 (s) = foram conectados conforme
s +1 5s + 1
a (MLDT) e o método da efetividade-NUT.
mostrado abaixo.
esses dois métodos, analise as afirmativas
G1(s)
e o trocador seja viável economicamente, a
vidade deve ser maior do que 2. +
KS
maior o número de unidades de transferên- U(s) +
Y(s)
or é a área de um trocador.
N
lo da média logarítmica da diferença de G2(s)
9Y
tura é o mesmo, independente do tipo de
de calor e da orientação das correntes
J5
orrente ou cocorrente). Y(s)
A função de transferência G(s) = , representada no
mperaturas das correntes fria e quente esti- U(s)
R
m graus Celsius, a MLDT será dada tam- diagrama de blocos acima, apresenta polinômios em s, no
4T
graus Celsius, tendo-se de adicionar 273,15 numerador e no denominador, de ordens, respectivamente,
nsformá-la em Kelvin. (A) 0 e 1
T3
se preferir trocadores que operem em (B) 0 e 2
rrente, visto que a área requerida de troca (C) 1 e 1
é menor, para uma mesma quantidade de (D) 1 e 2
KS
cado. (E) 2 e 2
Da álgebra de orgânico.
blocos Sabendo-se
tiramos queque:foram introduzidos 100 kmol de
etanol como carga do reator, conclui-se que, na reação
R
envolvida no processo,
4T
=G 1 (s) + G2 (s)
sua saída Y(s) e sua entrada U(s), expres- (C) foram produzidos
U (s) 100 kmol de gás carbônico.
(D) foram produzidos 120 kmol de água.
eis-desvio. Admitindo-se que uma perturba- Y (s) 1 2
(E) a quantidade (kmol)
= de gás + carbônico produzido é
grau unitário foi aplicada em U, em t = 0, a
U oxigênio
igual à de (s) sconsumido.
+ 1 5s + 1
KS
oximadamente 87% da variação total até o é a composição mássica aproximada dessa mistura?
acionário final.
4T
Y(A)
(s) 38,2% propano e 61,8% butano.
Logo, vemos
oximadamente 99% da variação total até que
o U(B)
tem numerador de ordem 1 e denominador de ordem 2.
(s) 45,1% propano e 54,9% butano.
T3
7
ENGENHEIRO(A) DE PROCESSAMENTO JÚNIOR
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 44
Questão 30
(Eng. Processamento Jr - Petrobras 2010/1 )
49 51
Quando submetido a um degrau unitário, em t = 0, na sua Um extrator recebe 100 kg/h de uma
entrada U(t), um dado sistema apresentou a resposta Y(t) 2% de soluto. Essa solução deve apa
mostrada na figura abaixo. 1% de soluto. O solvente empregado
1.4 considerados imiscíveis. A consta
1.2
4 (kg soluto / kg solvente) / (kg soluto /
do-se a fração 98/0,99 para 99, o val
1 zão necessária de solvente, em kg/h,
KS
(A) 21
Y(t)
0.8
(B) 22
N
0.6
(C) 23
(D) 24
9Y
0.4
(E) 25
0.2
J5
0
0 2 4 6 8 10 12 14 16 18 20
52
R
t Um reator de volume conhecido con
4T
gás ideal a uma pressão de 105 Pa
T1. Se, nessas condições, a variaçã
T3
volume para esse gás vale 100 J.m.
Se esse sistema apresenta função de tranferência
universal dos gases pode ser c
Y(s) 2 8,3 J.mol −1. K−1, a temperatura T1 d
=
U(s) s2 + 2xs + 1 , conclui-se, com base na resposta (A) 100
KS
(B) 200
(C) 273
N
53
(C) 0 < x < 1
Definindo-se (Cp) e (Cv) como as ca
R
g -1
mento. A relação solvente líquido / solvente gasoso é 2. A razão
molar de amônia no produto líquido e a vazão do solvente, g -1
J5
P2 V2 - P1V1
logo 0 < ξ < 1 e o sistema é 85
(B) 0,077 e subamortecido. (D)
(g - 1)g
T3
11
ENGENHEIRO(A) DE PROC
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
27 30
Em um sistema de controle em malha fechada, a planta é Para uma entrada degrau unitário,
CONTROLE LINEAR o elemento que possui a(s) variável(eis)
www.concursopetrobraseng.com.br ma atinge a condição
45 de regime pe
(A) manipulada(s) aproximadamente igual a
(B) medida(s) (A) 2τ
(C) erro (B) 5τ
Questão 31 (D) a ser(em) controlada(s)
(Eng. de (C) 20 τ
(E)Automação Jr - Transpetro 2011)
de referência
(D) 2K
28 (E) 5K
31
O valor inicial, em t = 0, do sinal de
quando se aplica um impulso unitári
KS
(B)
(A)
N
(B) (C)
9Y
(C)
(D)
J5
(D) (E)
R
4T
27 30
Em um sistema de controle em malha fechada, a planta é
32
(E) Para uma entrada degrau unitário, a saída
o elemento que possui a(s) variável(eis) Um ruído
ma atinge de alta
a condição de frequência, em s
regime permanente
T3
(A) manipulada(s) mento ou controle,
aproximadamente igual a que corrompe um
(B) medida(s)
Considere o enunciado a seguir para responder às (A) 2nuado
τ por um filtro
(C) erro de nos 29 a 31.
questões (B) τ passa-tudo
5(A)
(D) a ser(em) controlada(s)
Resolução: (C) 20 τ
KS
A função de transferência no domínio de Laplace de um
(E) de referência (B) passa-alta
(D) 2K
sistema linear é dada por
28 (E) 5(C)
K passa-baixa
N
32 (A) atuação
(E)
9Y
7 passa-não-passa
(D)
ENGENHEIRO(A) JÚNIOR
(E)Alternativa
passa-passa (B)
T3
onde Y(s) é a variável de saída e R(s), a variável de entrada. Considere o enunciado a seguir para re
Nos três itens a seguir, considere as condições iniciais
questões de nos 33 e 34.
NULAS.
O acelerômetro é um componente eletrom
29 pode ser empregado em diferentes aplicaçõ
O valor da resposta em regime permanente desse siste- nharia, entre elas, nos sistemas de control
ma, para uma entrada tipo degrau unitário, é fechada.
(A) K
33
(B)
No caso de sistemas de controle, o acelerôm
(C) lado no subsistema de
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
(A) atuação
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(D) (B) geração do sinal erro
(C) instrumentação
(C)
(C)
(D)
(D) (E)
CONTROLE LINEAR www.concursopetrobraseng.com.br 46
32
(E) Um ruído de alta frequência, em s
Questão 32 mento ou controle, que corrompe um
(Eng. de Automação Jr - Transpetro 2011)
Considere o enunciado a seguir para responder às nuado por um filtro
questões de nos 29 a 31. (A) passa-tudo
A função de transferência no domínio de Laplace de um (B) passa-alta
sistema linear é dada por (C) passa-baixa
(D) passa-não-passa
(E) passa-passa
onde Y(s) é a variável de saída e R(s), a variável de entrada. Considere o enunciado a seguir
Nos três itens a seguir, considere as condições iniciais
questões de nos 33 e 34.
NULAS.
KS
O valor da resposta em regime permanente desse siste- O acelerômetro é um componente
29 pode ser empregado em diferentes
ma, para uma entrada tipo degrau unitário, é
N
nharia, entre elas, nos sistemas d
9Y
(A) K (D) fechada.
(B)
J5
(E) 33
(C) No caso de sistemas de controle, o
R
lado no subsistema de
4T
(A) atuação
(B) geração do sinal erro
T3
Resolução: (C) instrumentação
(D) manipulação
Aplicando o Teorema do Valor Final para uma entrada degrau(E) referência
temos:
KS
K 1
y(∞) = lim s × × =K 7
N
ENGENHEIRO(A) JÚNIOR
s→0 τs + 1 s
9Y
Alternativa (A)
J5
R
4T
30
ma de controle em malha fechada, a planta é Para uma entrada degrau unitário, a saída desse siste-
T3
(B) 5τ
controlada(s)
(C) 20 τ
ncia
(D) 2K
N
(E) 5K
9Y
31
J5
Resolução:
4T
(E)
32
Um ruído
Material de uso exclusivo do Comprador deT34TRJ59YNKS.
Cód. alta frequência, em vedada,
Sendo sistemas
porde monitora-
quaisquer meios e a qualquer título, a sua
mento ou controle,
reprodução, cópia, divulgação e distribuição. que ocorrompe
Sujeitando-se um sinal, pode civil
infrator à resposabilização ser eate-
criminal.
enunciado a seguir para responder às nuado por um filtro
CONTROLE LINEAR www.concursopetrobraseng.com.br 47
K 1
Y (s) = ×
τs + 1 s
−t
y(t) = K(1 − e τ )
KS
Para sabermos a amplitude de y(t) após 5τ segundos, basta fazermos t = 5τ :
N
9Y
−5τ
y(5τ ) = K(1 − e τ )
J5
y(5τ ) = K(1 − e−5 )
R
y(5τ ) ≈ 0.993K
4T
y(5τ ) ≈ 0.993y(∞)
T3
30
ma de controle em malha fechada, a planta é Para uma entrada degrau unitário, a saída desse siste-
ue possui a(s) variável(eis) Ou seja, ma atinge
vemos que oa condição
valor de de regime
regime permanente num tempo
permanente é atingido muito proxi-
da(s) aproximadamente igual a
KS
) mamente a t = 5τ . (A) 2τ
(B) 5τ
N
controlada(s)
(C) 20 τ
ncia Alternativa (B)
9Y
(D) 2K
(E) 5K
J5
31
R
Resolução:
J5
32
Y (s) K
4T
variável de saída e R(s), a variável de entrada. Considere o enunciado a seguir para responder às
s a seguir, considere as condições iniciais
questões de nos 33 e 34.
K −t
y(t) = eτ
τ
Para sabermos o valor inicial da saída y(t) basta fazermos t = 0 na equação
acima:
KS
K 0
N
y(0) = e
9Y
τ
K
y(0) =
J5
τ
R
Alternativa (A)
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
A figura acima mostra o gráfico que corresponde a um
(E) 1,8
sinal periódico de tensão medido na tela de um osciloscó-
pio. Aplicando-se esse sinal de tensão sobre um resistor
38
de 100 Ω, a potência média, em W, dissipada no resistor é
(A) 1,50
CONTROLE LINEAR www.concursopetrobraseng.com.br 49
(B) 5,60
(C) 10,50
(D) 15,25
Questão 33 (E) 25,40
(Eng. de Automação Jr - Transpetro 2011)
36
KS
Considere o sistema de controle configurado na figura
N
acima, onde a planta G(s) é INSTÁVEL, e deseja-se es-
tabilizá-la e controlá-la com ajuda de um compensador do
9Y
tipo H(s).
Usa-se a técnica de cancelamento de polos da planta
J5
para reduzir a ordem do sistema.
R
O engenheiro projetista achou, em seu cálculo, o ganho O pulso retangular da Figura 1 tem
K = 125. Assim, os polos do sistema em malha fechada
4T
quência, em módulo, mostrado na Fi
estarão posicionados em dados mostrados na figura, o valor d
T3
(A) -2 + j5 (A) 10
(B) -2 + j8 (B) 8
(C) -2 + j4 (C) 6
(D) -1 e -8 (D) 4
KS
(E) -2 e -6 (E) 2
N
Resolução:
J5
Y (s) G(s)H(s)
4T
=
R(s) 1 + G(s)H(s)
T3
Y (s) K(s + 8)
= 2
R(s) (s − 64)(s + 12) + K(s + 8)
Y (s) K(s + 8)
KS
=
R(s) (s + 8)(s − 8)(s + 12) + K(s + 8)
Y (s) K
N
=
9Y
s2 + 4s + 29 = 0
s = −2 ± 5j
Alternativa (A)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(B)
Considere z uma variável complexa
composta na forma z = x + jy, x e
(C) gráfico acima mostra o plano compl
círculo centrado em z = 2 e de raio ig
CONTROLE LINEAR www.concursopetrobraseng.com.br O lugar geométrico50da região sombr
(D)
borda (circunferência), é expresso p
(E)
(A) Iz − 2I = 3
Questão 34
(Eng. de Automação Jr - Transpetro 2011)
(B) Iz + 2I < 3
(C) Iz2I < 92
(D) x +y <9
57 (E) x2 + y2 −4x < 5
Um sinal v(t) é expresso, no domínio de Laplace, por
60
Considere que x(t) é um sinal que evo
No domínio do tempo, quando t tende para infinito, o sinal po de acordo com a equação difere
v(t) tende para um valor estacionário, constante e igual a tada por , onde
(A) 240
KS
(B) 180 Considerando e ,a
(C) 60
(D) 12 ção, válida unicamente para ,é
N
(E) 9 t 2t
(A) 2e - e
9Y
(B) 4e−4t - 5e−5t
58 (C) 5e−4t - 4e−5t
J5
Um sistema de controle utiliza um sensor eletromagnético
(D) 5(e−4t - e−5t)
Resolução: não linear que converte uma corrente elétrica i em força F. A
R
função não linear, que converte corrente [A] em força [N], é (E) 10(e−5t - e−4t)
4T
Aplicando o Teorema do Valor
F(i) Final para uma entrada impulso
= 5i2 - 18i 61 unitário
Um sistema linear é representado em
T3
temos: Deseja-se linearizar essa função no ponto nominal de cor- pelas equações:
rente i0 = -4, obtendo-se para este
180(s2 +ponto
5s +de4)operação, a
função=
v(∞) linear
lim s × 3 ×1
s→0 (s(i) =+K9s 2 + 20s)(s + 3) e
F i + K
KS
L 1 2
180(s3 + 5s2 + 4s)
Os valores
v(∞) = limde K1×
e K2, respectivamente, são Os polos desse sistema são
N
(B) -58 e 80
(C) -35 e 120 0 (C) -2 e -1
Porém, como
(D) esse
-35 e limite
12 resulta em , vamos aplicar o(D)Teorema
-2 e -3 de
J5
0
(E) -78 e -160 (E) -1 e -4
L’Hopital (derivar numerador e denominador):
R
4T
15 ENGENHEIRO(A) JÚNIOR
2
180(3s + 10s + 4)
T3
v(∞) = lim
s→0 4s3 + 36s2 + 94s + 60
180 × 4
v(∞) =
KS
60
v(∞) = 12
N
9Y
Alternativa (D)
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
60
Considere que x(t) é um sinal que evo
No domínio do tempo, quando t tende para infinito, o sinal po de acordo com a equação difere
v(t) tende para um valor estacionário, constante e igual a tada por , onde
CONTROLE LINEAR (A) 240 www.concursopetrobraseng.com.br 51
(B) 180 Considerando e ,a
(C) 60
(D) 12 ção, válida unicamente para ,é
Questão 35 t 2t
(E)Automação
(Eng. de 9 Jr - Transpetro 2011) (A) 2e - e
(B) 4e−4t - 5e−5t
58 (C) 5e−4t - 4e−5t
Um sistema de controle utiliza um sensor eletromagnético
não linear que converte uma corrente elétrica i em força F. A (D) 5(e−4t - e−5t)
função não linear, que converte corrente [A] em força [N], é (E) 10(e−5t - e−4t)
KS
e
FL(i) = K1i + K2
N
Os valores de K1 e K2, respectivamente, são Os polos desse sistema são
9Y
(A) -58 e -80 (A) -3 e -3
(B) -58 e 80 (B) -3 e -5
(C) -35 e 120 (C) -2 e -1
J5
(D) -35 e 12 (D) -2 e -3
R
(E) -78 e -160 (E) -1 e -4
4T
15 ENGENHEIRO(A) JÚNIOR
T3
Resolução:
caso ficará:
R
|{z} |{z}
K1 K2
9Y
J5
Alternativa (A)
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 52
Questão 36
(Eng. de Automação
Considere Jr - Transpetro
o enunciado 2011)
a seguir para responder às 68
questões de nos 65 e 66.
Um sistema discreto tem como entr
que se relacionam pelas equações d
KS
guração em malha fechada. Sabe-se que , (A)
N
(B)
9Y
65 A Função de Transferência que relaciona Y(s) com R(s) é
J5
(A) (C)
R
4T
(B) (D)
T3
(C)
(E)
KS
(D)
69
O modelo em função de transferência
N
Resolução: 66
O valor de K que garante os polos em malha fechada po-
4T
(A) 10,2
(B) Y8,4
(s) G(s) o tempo de acomodação (settling tim
(C) 6,2
= × H(s)
R(s) 1 + G(s)K
(D) 5,0 5 culado por , onde ς é a razã
KS
(E) Y1,2
(s) s(s+5) 10 e ωn é a frequência natural não am
= 5 ×
R(s) 1+ × K s + 10 contínuo.
N
67 s(s+5)
Y (s) do denominador 5da×função 10 de transferência
9Y
3 2
partirR(s)
do qual ossistema
+ 15svai + (50a instabilidade
para + 5K)s + é50K estado estacionário, partindo do inst
4T
17 ENGENHEIRO(A) JÚNIOR
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(D)
69
O modelo em função de transferência
(E) contínuo é dado por
KS
s3 + 9s2 + 23s + 15 + K
Primeiramente encontraremos
Variando K positivamenteaaequação
partir de K =característica quantaseamostras
0, o valor de K a desejada depoislevará o sistema d
N
partirado qual o sistema vai para a instabilidade é estado estacionário, partindo do inst
a compararemos com equação característica encontrada na questão anterior. A
9Y
(A) 235 (A) 250
equação caracterísca desejada será:
(B) 192 (B) 150
J5
(C) 185 √ √ (C) 100
(s(D) 10)(s + 2, 5 − j2, 5 5)(s + 2, 5 + j2, 5 5) =
+ 150 0 (D) 80
R
(E) 120 √ (E) 50
4T
(s + 10)((s + 2, 5)2 − (j2, 5 5)2 ) = 0
T3
(s + 10)(s2 + 5s + 2, 52 + 2, 52 × 5) =
170 ENGENHEIRO(A) JÚNIOR
3 2 2 2 2
s + 5s + 6 × 2, 5 s + 10s + 50s + 10 × 6 × 2, 5 = 0
KS
s3 + 15s2 + (50 + 6 × 2, 52 )s + 60 × 2, 52 = 0
N
50K = 60 × 2, 52 50 + 5K = 50 + 6 × 2, 52
T3
6 × 2, 52 5K = 37.5
K=
5
K = 7, 5
KS
K = 7, 5
N
9Y
Observe que esta resposta (K = 7, 5) não aparece nas alternativas, por este
motivo esta questão foi anulada.
J5
R
Resposta: 7,5
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
66 Para esse sistema, foi obtido um mode
O valor de K que garante os polos em malha fechada po-
to, com zero order hold, usando um pe
sicionados em -10, (-2,5 + j2,5 ) e (-2,5 - j2,5 )é
CONTROLE LINEAR (A) 10,2www.concursopetrobraseng.com.br de 10 ms. Considere54
que, para uma en
(B) 8,4 o tempo de acomodação (settling tim
(C) 6,2
Questão 37 (D) 5,0 culado por , onde ς é a razã
(Eng. de
(E)Automação
1,2 Jr - Transpetro 2011)
e ωn é a frequência natural não am
67 contínuo.
O polinômio do denominador da função de transferência Supondo que o sistema discretizado
de um sistema em malha fechada é dado por
sempenho que o sistema contínuo
s3 + 9s2 + 23s + 15 + K
Variando K positivamente a partir de K = 0, o valor de K a quantas amostras levará o sistema d
partir do qual o sistema vai para a instabilidade é estado estacionário, partindo do inst
(A) 235 (A) 250
(B) 192 (B) 150
KS
(C) 185 (C) 100
(D) 150 (D) 80
N
(E) 120 (E) 50
9Y
J5
17 ENGENHEIRO(A) JÚNIOR
Resolução:
R
4T
Basta aplicarmos o Critério de Estabilidade de Routh-Hurwitz na equação
característica s3 + 9s2 + 23s + 15 + K = 0:
T3
KS
s3 1 23
s2 9 (15 + K)
N
1 9×23−15−K
0
9Y
s 9
s0 (15 + K) 0
J5
9 × 23 − 15 − K
>0
9
N
9 × 23 − 15 + K > 0
9Y
K < 9 × 23 − 15
J5
K < 192
R
4T
Alternativa (B)
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(C)
(E)
Questão 38
(Eng. de Automação Jr - Transpetro 2011)
69
O modelo em função de transferência de um sistema linear
contínuo é dado por
KS
-10, (-2,5 + j2,5 ) e (-2,5 - j2,5 )é
de 10 ms. Considere que, para uma entrada degrau unitário,
N
o tempo de acomodação (settling time) da resposta é cal-
9Y
culado por , onde ς é a razão de amortecimento,
ωn é a frequência natural não amortecida do sistema
J5
e
contínuo.
R
o denominador da função de transferência Supondo que o sistema discretizado tenha o mesmo de-
4T
em malha fechada é dado por
sempenho que o sistema contínuo, aproximadamente,
s3 + 9s2 + 23s + 15 + K
T3
itivamente a partir de K = 0, o valor de K a quantas amostras levará o sistema discreto para atingir o
sistema vai para a instabilidade é estado estacionário, partindo do instante inicial?
(A) 250 (B) 150 (C) 100 (D) 80 (E) 50
KS
N
Resolução:
9Y
função de transferência
17 de segunda ordem:
ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO
R
100 Kωn2
4T
G(s) = =
s2 + 10s + 100 s2 + 2ζωn s + ωn2
T3
2ζωn = 10 → ζωn = 5
N
9Y
TS = = = 1s
ζωn 5
R
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
onde o vetor de estados é definido por .
Esse sistema tem três polos reais, cujos valores são:
CONTROLE LINEAR www.concursopetrobraseng.com.br
(A) −1, −1 e −2
56
(B) 0, −2 e −3
(C) 0, −1 e −3
coeficiente complexoQuestão 39Fou-
da série de (D) 0, 1 e −12
(E) 1, −6 e −12Jr Eletrônica - Petrobras 2011)
(Eng. Equipamentos
da integral onde 25
Um sistema de controle linear e contínuo, com realimenta-
m-se , o valor do duty ção de saída, apresenta uma estrutura de compensação
na malha direta, em série com a planta, cuja função de
pela relação ,é
transferência é .
KS
(A) PD
(B) P I
N
(C) P I D
9Y
(D) Lead - Leg
(E) Avanço de fase
J5
7
R
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
Resolução: ELETRÔNICA
4T
U (s)
Como o controlador está em série com a planta, temos que H(s) =
T3
E(s)
sendo U (s) o sinal de controle e E(s) o erro (referência menos sinal medido).
H(s) = = Kp 1 +
E(s) Ti s
9Y
U (s) Kp 1
= Kp +
J5
E(s) Ti s
R
Kp E(s)
U (s) = Kp E(s) +
4T
Ti s
T3
Como podemos ver, o sinal de controle U (s) tem uma parcela proporcional
KS
ao erro (Kp E(s)) e outra parcela proporcional à integral do erro ( KTip E(s)
s
). Logo,
N
Alternativa (B)
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 57
Questão 40
(Eng. Equipamentos Jr Eletrônica - Petrobras 2011)
Considere o enunciado a seguir para responder às questões de nos 26 e 27.
O diagrama em blocos acima mostra o modelo simplificado de um motor DC. A saída é a velocidade angular [rad/s] repre-
KS
sentada pelo sinal Ω(s), e as entradas são: tensão na armadura V(s) e torque de carga T(s). Com base nesse diagrama:
26
Aplicando o princípio da superposição, qual a função de transferência que liga a tensão da armadura V(s) à velocidade
N
angular Ω(s)?
9Y
(A) (C) (E)
J5
Considere o enunciado a seguir para responder às questões de nos 26 e 27.
R
(B) (D)
4T
T3
Resolução:
KS
O diagrama em blocos acima mostra o modelo simplificado de um motor DC. A saída é a velocidade angular [rad/s] repre-
sentada pelo sinal Ω(s), e as entradas são: tensão na armadura V(s) e torque de carga T(s). Com base nesse diagrama:
26 5K 1
Ω(s)
Aplicando o princípio da superposição, qual a função de(s+8) (s+3) que liga a tensão da armadura V(s) à velocidade
transferência
N
angular Ω(s)?
= 5K 1
V (s) 1 + (s+8)
9Y
(s+3)
(A) Ω(s)
(C) 5K (E)
=
J5
V (s) (s + 8)(s + 3) + 5K
27
R
Aplicando
(B) o princípio da superposição, qualΩ(s)
a(D) 5K
função de transferência que liga o torque de carga T(s) à velocidade angular Ω(s)?
= 2
4T
V (s) s + 11s + 24 + 5K
(A)
T3
(B)
Alternativa (C)
KS
(C)
N
9Y
(D)
J5
27
R
Aplicando
(E) o princípio da superposição, qual a função de transferência que liga o torque de carga T(s) à velocidade angular Ω(s)?
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 58
Resolução:
1
Ω(s) (s+3)
= 5K 1
T (s) 1+ (s+8) (s+3)
Ω(s) s+8
=
T (s) (s + 8)(s + 3) + 5K
KS
Ω(s) s+8
= 2
T (s) s + 11s + 24 + 5K
N
9Y
J5
Alternativa (A)
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
K (s + 2) K
(A) (B)
b2 b (s + 9)
(C) e
a 2 + b2 a
K (s + 15)
(C) (D)
CONTROLE LINEAR b www.concursopetrobraseng.com.br
b (s + 3,5) 59
(D) a e a + b
K (s+10)
(E)
2 (s+15)
1.2 Controle Linear b b
(E) - Contínuo
e - Lugar das Raízes
2 a a
55
Questão 41 Considere a figura e os dados abaixo para responder
Considere que tenha sido utiliza
(Eng. de
às Equipamentos
questões de nosJr53
Eletrônica
a 55. - Petrobras 2010/1)
KS
dos em u(t), caso seja aplicado um s
N
H(s) entrada u(t).
(B) degrau em u(t), a saída y(t) irá ras
9Y
tante a entrada em u(t).
(C) degrau em u(t), a saída y(t) não c
J5
A figura ilustra uma planta industrial controlada por meio entrada em u(t).
(D) rampa em u(t), a saída y(t) irá ras
R
de um compensador H(s). O modelo da planta está repre-
entrada em u(t).
sentado na figura por sua função de transferência.
4T
(E) parábola em u(t), a saída y(t) irá r
53 a entrada em u(t).
T3
near e discreto é modelado em espaço de Se for utilizado um compensador estático, isto é, H(s) = K,
seguintes equações: com K > 0, então a planta
(A) não poderá ser estabilizada, tendo em vista que a fun- 17
ENGENHEIRO(A) DE EQU
ção de transferência da planta apresenta um par de
a
KS
0 1 polos no semiplano s direito.
+ 1) = X( k ) + u ( k)
a b b (B) não poderá ser estabilizada, pois mesmo variando-se
o ganho K do compensador, ainda restarão polos de
N
ja equação é dada por: (D) poderá ser estabilizada a partir de certo valor de ganho
K positivo, tendo em vista que a função de transferên-
R
54
KS
(A) (B)
b K temos: (s + 9) (s + 13)
a
J5
Y (s) K (s +2(s
15) + 4) K (s -15) 2(s + 4)
= 2(C) =
(D)
U (s) +
s − 6s + 13 + 2K(s + 4) 2
s (s
+-2)(2K − 6)s + (13 + 8K)
R
(s 3,5)
4T
K (s+10)
(E) o critério de estabilidade de Routh-Hurwitz para a equação
Agora aplicamos (s+15)
T3
53
near e discreto é modelado em Logo,
espaço para
de oSe
sistema serum
for utilizado estável temos
compensador que ter:
estático, isto é, H(s) = K,
seguintes equações: com K > 0, então a planta
(A) não poderá ser estabilizada, tendo em vista que a fun-
ção de transferência
2K − 6 > da 0planta
→ Kapresenta
> 3 um par de
0 1 a polos no semiplano s direito.
+1 ) = X ( k ) + u ( k)
a b b (B) não poderá ser estabilizada, pois mesmo variando-se
e também: o ganho K do compensador, ainda restarão polos de
y ( k ) = [1 0] X( k ) malha fechada no semiplano s direito.
(C) poderá ser estabilizada para qualquer 13 valor de ganho
não controlável nos pontos de uma reta do 13 + 8K > 0 → K > −
K positivo.
ja equação é dada por: 8 de ganho
(D) poderá ser estabilizada a partir de certo valor
KS
K positivo, tendo em vista que a função de transferên-
a = Mb + N
Ou seja, para satisfazer as duas inequações
cia de malha aberta possui grau
K > 3 . e apresenta
relativo 1
N
um zero no semieixo real negativo do plano s.
M e N são, respectivamente, (E) poderá ser estabilizada, tendo em vista que, a partir de
9Y
certo valor de ganho K positivo, os polos de malha fecha- Alternativa (D)
da seguirão duas assintotas no semiplano s esquerdo.
J5
54
R
Para estabilizar a planta e fazer com que o lugar das raízes
4T
(root locus) passe em s = - 3, o compensador utilizado de-
verá ser:
T3
K (s + 2) K (s + 5)
(A) (B)
b (s + 9) (s + 13)
a
K (s + 15)
KS
K (s -15)
(C) (D)
(s + 3,5) (s -2)
N
K (s+10)
9Y
(E)
(s+15)
J5
55
Resolução:
R
de nos 53 a 55.
Lugar das Raizes para H(s)=K
8
5(s + 10) . Com relação à capacidade da saída y(t)
T3
H(s) =
Planta s
K=3
6
2(s 4) y(t) de o sistema em malha fechada rastrear os sinais aplica-
(s 2 - 6s + 13)
KS
Compensador 2 (A) degrau em u(t), a saída y(t) irá rastrear com erro nulo a
9Y
0
(B) degrau em u(t), a saída y(t) irá rastrear com erro cons-
tante a entrada em u(t).
J5
-2
uma planta industrial controlada por meio
(D) rampa em u(t), a saída y(t) irá rastrear com erro nulo a
4T
a entrada em u(t).
-6
K=3
-8 17
-14 -12 -10 ENGENHEIRO(A)
-8 -6 DE EQUIPAMENTOS
-4 -2 JÚNIOR
0 2 4
Eixo Real
ELETRÔNICA
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 61
nicas para esboçar o lugar das raízes de um sistema. Para detalhes, consultar a
bibliografia.
Na figura acima vemos o diagrama do Lugar das Raízes para H(s) = K, como
proposto na questão anterior. Podemos ver assinalado na figura a posição dos
pólos para K = 3, ou seja, para este valor de K vemos que os pólos estão sobre o
eixo imaginário, logo o sistema está no limite da estabilidade (como comprovamos
na questão anterior). Neste caso vemos que um pólo vai ao encontro do zero em
KS
s = −4 e o outro pólo vai para o infinito a medida que aumentamos o valor de K.
N
O jeito mais simples de fazer o Lugar das Raízes passar por s = −3 como pede
9Y
a questão, é fazendo com que o controlador insira um zero à direita de s = −4, e
J5
um pólo afastado da origem. A única alternativa que adiciona um zero à direita de
R
s = −3 é a alternativa A. Para verificar, abaixo está traçado o Lugar das Raízes
4T
K(s+2)
para H(s) = (s+9)
:
T3
Lugar das Raizes para H(s) = K(s+2)/(s+9)
4
KS
3
N
9Y
2
J5
1
Eixo Imaginario
0
-9 -4 -2
4T
-1
T3
-2
-3
KS
-4
N
-15 -10 -5 0 5
Eixo Real
9Y
J5
Alternativa (A)
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
K (s + 15) K (s -15)
(C) (D)
(s + 3,5) (s -2)
K (s+10)
(E)
(s+15)
CONTROLE LINEAR www.concursopetrobraseng.com.br 62
55
igura e os dados abaixo para responder
Considere que tenha sido utilizado o compensador
de nos 53 a 55.
Compensador (A) degrau em u(t), a saída y(t) irá rastrear com erro nulo a
H(s) entrada u(t).
KS
(B) degrau em u(t), a saída y(t) irá rastrear com erro cons-
tante a entrada em u(t).
(C) degrau em u(t), a saída y(t) não conseguirá rastrear a
N
uma planta industrial controlada por meio entrada em u(t).
9Y
(D) rampa em u(t), a saída y(t) irá rastrear com erro nulo a
nsador H(s). O modelo da planta está repre-
entrada em u(t).
ura por sua função de transferência. (E) parábola em u(t), a saída y(t) irá rastrear com erro nulo
J5
a entrada em u(t).
R
4T
17
Resolução: ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
T3
ELETRÔNICA
1
N
E(s) =
9Y
1 + G(s)H(s)
J5
s→0
1
e(∞) = lim s × U (s)
s→0 1 + G(s)H(s)
KS
!
1 1
e(∞) = lim s 5(s+10)
×
s→0 1 + G(s) s s
N
9Y
s
e(∞) = lim
s→0 1 + G(s)5(s + 10)
J5
e(∞) = 0
R
4T
5(s+10)
Ou seja, provamos que o controlador H(s) = s
é capaz de fazer a saída y(t)
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
20 V 9V
_ _
Z
KS
Q QA
J A Considere o sistema de controle em malha fechada ilus-
trado na figura acima, onde K > 0 representa o ganho a ser
N
K
ajustado no compensador. Pelo compensador adotado e
9Y
levando-se em conta o diagrama do lugar das raízes (root
ito ilustrado na figura acima estiver no esta- locus) desse sistema, conclui-se que o sistema será
J5
com Y = 1, os flip-flops B e A executarão, (A) estável para qualquer valor de K > 0.
te, as operações de (B) estável, mas somente para K > 10.
R
(B) set e hold. (C) estável, mas somente para K > 30.
et. (D) reset e toggle. (D) estável, mas somente para 10 > K > 50.
4T
set. (E) instável para qualquer valor de K > 0.
T3
8
Resolução:
A) DE TERMELÉTRICA JÚNIOR (ELETRÔNICA)
KS
Ao esboçarmos o Lugar das Raízes para esta estrutura de controle (se você
N
8
4T
6
T3
2
Eixo Imaginario
0
KS
−2
−4
N
−6
9Y
−8
−10
J5
−3 −2 −1 0 1 2 3
Eixo Real
R
4T
sistema nunca se deslocará para o semiplano esquerdo, pois este vai ao encontro
do zero em s = 1. Ou seja, este sistema será sempre instável, independente do
ganho K.
Alternativa (E)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
28
Um determinado circuito monofásico que alimenta um motor
RT
S elétrico, cujo esquema de aterramento adotado é o TN-C,
tem o seu neutro rompido. A máxima tensão que pode existir
CONTROLE LINEAR entre a carcaça do motor e o terra é igual a:
www.concursopetrobraseng.com.br 64
S1 (A) tensão entre fase e neutro.
C1 C2 C3
LA (B) tensão entre duas fases.
S2 (C) zero.
C2 LB (D) tensão entre neutro e terra.
S3 Questão 43 (E) duas vezes a e
tensão entre fase e neutro.
LC
(Eng. Jr Áreas Elétrica Eletrônica - Transpetro 2006)
C2
C3
29
C2 C1 C1
C1 Imag
C3 C3 C2
C1 C2 C3
KS
As lâmpadas LA e LB podem acender simultaneamen- 0 Real
te, sendo que nessa condição as máquinas comanda-
das pelos contatores C2 e C3 deverão estar desligadas.
N
A lâmpada LC somente acende quando a máquina co-
9Y
mandada pelo contator C1 entra em operação.
As máquinas não podem funcionar simultaneamente.
Ao ser acionada a chave S, todas as máquinas são
J5
desenergizadas e, após a chave S retornar à sua posi-
ção de repouso, as lâmpadas LA e LB acendem.
O gráfico mostrado na figura acima ilustra o diagrama do
R
Ao ser acionada a chave S1, as máquinas comandadas
Lugar das Raízes de um sistema de 3ª ordem, com três
pelos contatores C2 e C3 são desenergizadas e as lâm-
4T
pólos, nenhum zero finito e com realimentação de saída.
padas LA, LB e LC se acendem.
Com base nas informações contidas no gráfico, o valor do
verdadeiras as afirmações: ganho K ≥ 0 que posiciona os pólos de malha fechada no
T3
e II, apenas. (B) II, III e V, apenas.
limiar da instabilidade é:
, III e V, apenas. (D) II, III, IV e V, apenas.
(A) 40 (B) 64 (C) 120 (D) 160 (E) 240
, II, III, IV e V.
30
KS
componentes de seqüência negativa de um sistema De acordo com a Primeira Lei da Termodinâmica, com rela-
Resolução:
sico equilibrado consistem de três fasores iguais em ção às transformações isotérmicas de um gás ideal, é corre-
N
com a mesma defasagem e a mesma seqüência de fase (C) variação da energia interna do gás é igual à quantidade
dos fasores originais. característica do sistema, como
de calor segue
trocada com o meio exterior.
R
com defasagem nula entre si. (D) temperatura final do gás é sempre maior que a inicial.
(E) pressão do gás permanece constante durante toda a
4T
7 (sPROVA
+ 8)(s + 2)s + K = 0
37 - ENGENHEIRO(A) JÚNIOR
ÁREAS ELÉTRICA E ELETRÔNICA
s3 + 10s2 + 16s + K = 0
KS
sobre o eixo imaginário. E os valores dos pólos nesta posição estão identificados
9Y
característica temos:
R
s3 + 10s2 + 16s + K = 0
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 65
Questão 44
(Eng. de Equipamentos Jr Eletrônica - Termoaçu 2008/1)
37
sistema elétrico que tem v e (t ) como tensão
e tem v 1 (t ) e v 2 (t ) como tensões medidas
e cuja resposta depende das seguintes
dv 1
(t )+ 5v 2 (t ) = 0 v& 1 (t ) = No sistema de controle da figura acima, com K real não
onde dt
)+ 6 v 2 (t ) = 4 v e (t )
KS
dv 2
v& 2 (t ) = negativo, o lugar das raízes passa em
dt
(A) 2 e as assíntotas possuem ângulos ±36, ±108 e 180°.
N
éx ù (B) 1,5 e as assíntotas possuem ângulos ±45°, ±135° e
se um vetor de estados X(t ) = ê 1 ú , onde
9Y
ëx 2 û 180°.
J5
= v 2 , a matriz A do modelo em espaço de (C) 0,5 e as assíntotas possuem ângulos ±60° e 180°.
(D) 0,5 e o ponto de encontro das assíntotas é 1.
R
& (t ) = A X(t )+ B u(t ) é
or X
(E) ±j e o ponto de encontro das assíntotas é 1,25.
4T
1,00ù é - 0,20 - 1,00 ù
(B) ê
1,40úû ë 0,80 - 0,60û
ú
T3
38
,00 ù é - 2,00 Resolução:
- 5,00 ù Um encoder digital é um sensor utilizado em instrumentação
(D) ê
,60úû ú
ë - 8,00 - 6,00û para medir diretamente a posição ou o deslocamento
KS
Nesta questão utilizaremos
angular técnicas
de um equipamento. parapode
O encoder esboçar o Lugar das Raízes de
ser encontrado
0,25ù um sistema. Primeiramente observamos
em tornos CNC, o robóticos,
manipuladores Númerodentre
de Pólos(N
outros. p ) e o Número de
N
0,80úû
Suponha
Zeros(Nz ) da função um encoder digitaleconectado
da transferência, vemos aque:
um conversor D/A,
9Y
de de freqüência f, sem nível DC
de de freqüência f, com nível DC utilizamos é conectada a um amplificador operacional, conforme pode
Agora a seguinte expressão para calcular os ângulos das cinco assín-
T3
2i + 1SAÍDA
ão está ligada ao estudo, desenvolvimento e φ=
q (8 bits) × 180◦
0 A 2mA
strumentos eletrônicos que têm como função
ENCODER Np − Nz
CONVERSOR - VSAÍDA
N
DIGITAL D/A +
ção de alguma grandezaPara físicai ou
=0 elétrica.
temos:
9Y
entrada.
de é a consistência de uma medição quando (A) 75 e 4,18
Para i = 1 temos:
T3
9
ENGENHEIRO DE EQUIPAMENTOS JÚNIOR (ELETRÔNICA)
www.pciconcursos.com.br
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 66
Para i = 2 temos:
4+1
φ(i=2) = × 180◦
5−0
φ(i=2) = 108◦
KS
resposta correta é a alternativa (A).
Como o sistema apresenta cinco pólos, sendo dois complexos conjugados, dois
N
9Y
na origem e um em s = −1, percebemos que todo o eixo real à esquerda de -1
pertence ao lugar das raízes, logo -2 pertence ao lugar das raízes, como afirma a
J5
alternativa (A).
R
Para resolver esta questão não é necessário traçar o Lugar das Raízes, porém se
4T
você o esboçar, ele deve ficar semelhante ao que segue:
6
9Y
4
J5
2
R
Eixo Imaginario
4T
0
-1
T3
−2
−4
KS
−6
N
9Y
−8
−10 −5 0 5
J5
Eixo Real
R
4T
Alternativa (A)
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 67
ELETRONUCLEAR
Questão 45
(Eng. Eletrônica Eletrobrás - Eletronuclear 2010)
56
+
K C(s) G(s)
_
1
Uma planta com função de transferência G(s) = está sujeita à malha de realimentação unitária indicada na figura
s -1
s+5
acima, em que C(s) = é um compensador e K é um ganho real positivo. Sobre o lugar das raízes do sistema em
s +1
KS
malha fechada, fazendo K variar de 0 até infinito, afirma-se que
N
I - o ponto s = 0,5 está no lugar das raízes;
9Y
II - o ponto s = -5 + 2 6 é o ponto em que o lugar das raízes deixa o eixo real;
J5
III - as assíntotas do lugar das raízes possuem ângulos ±45º e ±135º;
R
IV - o lugar das raízes cruza o eixo imaginário em s = ±j;
4T
V - o valor do ganho K, quando o lugar das raízes cruza o eixo imaginário, é 1/5.
T3
Estão corretas APENAS as afirmativas
(A) I, II e V.
(B) I, III e IV.
KS
(C) I, III e V.
(D) II, III e IV.
(E) II, IV e V.
N
9Y
57
Considere um medidor de vazão do tipo placa de orifício, em uma tubulação onde o fluido é considerado incompressível e
Resolução:
da gravidade é aproximada para 10m/s2. A área do orifício é 5x102m2, o coeficiente funcional da placa é 0,6,
J5
a aceleração
a massa específica do fluido é 400kg/m3 e a vazão é 1,8x102 m3/s. Qual a pressão diferencial entre os pontos a montante
R
e a jusante da placa,questão
Nesta em Pa? faremos todos os passos necessários para traçarmos o
(A) 0,9
4T
Lugar
(B) 1,8 das Raízes deste sistema, então analisaremos os itens.
(C) 3,6
T3
Primeiramente,
(D) 7,2 percebemos que G(s) adiciona um pólo em s = 1, enquanto C(s)
(E) 10,5
adiciona um pólo em s = −1 e um zero em s = −5. Com isso já sabemos que a
região
58 do eixo real entre -1 e 1, assim como a região a esquerda de -5 pertencem
KS
O acessório para válvulas de controle cuja função é permitir a manipulação da válvula de forma manual e independente do
ao
sinallugar dasé o(a)
de controle raízes, pois nessas regiões o número de pólos menos o número de
N
(A) volante.
zeros a direita são ímpares.
9Y
(B) solenoide.
caso já é óbvio que a única assíntota será em 180◦ , porém conferimos
(C) posicionador.
Neste
J5
2i + 1 ◦ 0+1
φi=0 = × 18017= × 180◦ = 180◦ ENGENHEIRO(A)
Np − N z 2−1
T3
ELETRÔNICA
Agora vamos achar os pontos em que o Lugar das Raízes sai/entra do/no
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 68
eixo real:
d 1
=0
ds C(s)G(s)
d (s + 1)(s − 1)
=0
ds s+5
d 2
(s − 1)(s + 5)−1 = 0
ds
2s s2 − 1
KS
− =0
s + 5 (s + 5)2
N
2s2 + 10s − s2 + 1
=0
9Y
(s + 5)2
J5
s2 + 10s + 1 = 0
√ √
R
Cujas raízes são : s1 = −5 + 2 6 e s2 = −5 − 2 6
4T
T3
Agora vamos achar o(s) ponto(s) onde o Lugar das Raízes cruza o eixo
imaginário, assim como o valor de K neste ponto. Para isso achamos a FT de
malha fechada do sistema:
KS
Y (s) KC(s)G(s)
=
N
U (s) 1 + KC(s)G(s)
9Y
Y (s) K(s + 5)
=
U (s) (s + 1)(s − 1) + K(s + 5)
J5
Y (s) K(s + 5)
R
= 2
U (s) s + Ks + (5K − 1)
4T
T3
s2 + Ks + (5K − 1) = 0
N
→
T3
Kω = 0 ω=0
1
5K − ω 2 − 1 = 0 → K=
5
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR
_
www.concursopetrobraseng.com.br
3
Root Locus Editor for Open Loop 1 (OL1)
69
-5+2v6
1 -5-2v6
Imag Axis
0
-5 -1 +1
KS
−1
−2
N
−3
9Y
−4
J5
−5
−12 −10 −8 −6 −4 −2 0 2
Real Axis
R
4T
Agora finalmente podemos analisar os itens propostos:
T3
I - Verdadeiro. Pois 0,5 está entre -1 e +1.
√ √
KS
II - Verdadeiro. O RL deixa o eixo real em −5 + 2 6 e volta a ele em −5 − 2 6.
N
Alternativa (A)
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 70
Questão 46
(Profissional Jr Eng. Eletrônica - Petrobras Distr. 2008)
39
e um atuador robótico do tipo moto-redutor
inte aproximação linear:
J+C=Tm
omento de inércia do sistema, C é o coefici-
Tm é o torque e é a posição angular, função
2
d d
= e = 2
KS
dt dt
N
9Y
Tm=Kp(r)Kd
J5
antes.
às exigências do projeto, o sistema em malha
R
posicionar pólos complexos com razão de
4T
to = 0,8 e freqüência natural não amor- A figura acima apresenta o diagrama do lugar das raízes,
para o ganho K > 0, de uma planta de terceira ordem,
T3
0 rad/s. As expressões de Kp e Kd são:
J realimentada por um compensador de primeira ordem.
e K d 100J 1,8C
Considerando 1 , 2 valores reais positivos tais que 1 > 2 > 0,
KS
é correto afirmar, a partir do diagrama, que a planta em
C e K d 18C J
malha
N
e K d 18J C (D) fechada somente é estável na faixa de ganho 2 > K > 1.
(E) fechada é estável para todo valor de ganho K > 0.
R
4T
s oscilações amortecidasAberta
até alcançar o valor
apresenta dois pólos no semiplano s direito, logo é instável em malha
manente. Neste caso, o comportamento da T(t) = 5[i(t)] 2 + 2i(t)-24
aberta. Desse modo já eliminamos as alternativas (A), (B) e (E), lembrando que
N
s no semiplano s direito.
do sistema estão notorque
ecido, e os pólos do modelo estão localizados
TL(t) é: s esquerdo durante um intervalo do valor de K, já
semiplano
R
(A) 22i(t) - 44
mi-eixo real negativo. que para K = 0 há dois pólos instáveis, e quando K é muito grande, estes pólos
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
do ponto de corrente i0 = 5A, obtém-se a seguinte relação onde Q(y) é a função que arredonda o
inteiro mais próximo. Este sistema ap
linear: . Os valores de M e B são, respectivamente: (A) apenas um ciclo limite de amplitud
(A) 120 e 5 (B) apenas um ciclo limite de amplitud
(C) apenas um ciclo limite de amplitud
CONTROLE LINEAR (B) 120 ewww.concursopetrobraseng.com.br
43 (D) dois ciclos limites,71
um de amplitude 1
(C) 95 e 43 (E) nenhum ciclo limite e yk converge
(D) 43 e −95 zero.
Questão 47 (E) 43 e −120
(Eng. de Equipamentos Pleno Eletrônica - Petrobras 2006) 30
Observe a figura a seguir.
28
A dinâmica de um satélite, em relação a um dos seus eixos
de rotação, pode ser aproximada pela função de transferên-
cia (G), relacionando a posição angular (Θ) e o torque de
posicionamento (T).
KS
Considere a malha de controle abaixo.
N
9Y
J5
Obs.: N
R
que armazena amostras para a geraç
4T
onda senoidal. Sabe-se que as 256 po
a exatamente 1 período da senóide e q
T3
são varridas no processo de conversão
Um regulador C(s) que estabiliza o posicionamento do saté- A taxa de amostragem do conversor D
lite é do tipo: segundo, de acordo com a escala
(A) P osciloscópio da figura, é:
KS
(B) I (A) 2048
(C) “Lag”ou atrasador de fase (B) 512
(C) 256
N
(D) PI
(D) 128
9Y
(E) PD (E) 19
J5
8
ENGENHEIRO(A) DE EQUIPAMENTOS PLENO
R
Resolução: ELETRÔNICA
4T
1
Como a planta apresenta dois pólos na origem (G(s) = s2
), é lógico que
T3
1
R
0.5
4T
Eixo Imaginario
0
T3
−0.5
−1
−1.5
−0.2 −0.15 −0.1 −0.05 0 0.05 0.1 0.15
Eixo Real
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 72
Para estabilizarmos este sistema, devemos "puxar"o lugar das raízes para
o semiplano complexo esquerdo, e para isso devemos adicionar um zero neste
semiplano. Deste modo, nosso lugar das raízes ficarará semelhante a:
KS
1
N
0.5
9Y
Eixo Imaginario
J5
−0.5
R
4T
−1
T3
−1.5
−4 −3.5 −3 −2.5 −2 −1.5 −1 −0.5 0 0.5
Eixo Real
KS
Kd (1 + Td s), ou seja, este controlador adiciona um zero ao lugar das raízes, sendo
9Y
−1
este zero igual a s = . Portanto, a alternativa correta é a letra (E).
J5
Td
Controladores P ou I (alternativas (A) e (B)) não movem os dois pólos de G(s) de
R
KS
Alternativa (E)
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR
A figura acima forma de pulsos limitados no tempo. Considere que a transformada de Fourier de73
mostra dois sinais, nawww.concursopetrobraseng.com.br
v(t) é dada pela expressão, na forma polar, V(ω)=|V(ω)|e jφ(ω). Com base nas propriedades da transformada de Fourier e
considerando as semelhanças e simetrias entre os dois pulsos, a expressão da transformada de w(t) é
1.3 Controle Linear Discreto
(A) W(ω) = 2|V(ω)| (B) W(ω) = 2|V(ω)|cos[φ(ω)]
22
KS
N
9Y
J5
R
4T
Um sistema discreto de 2a ordem é composto por dois polos complexos, conjugados, que estão representados no diagra-
T3
ma de polos e zeros da figura acima. O círculo unitário está traçado com linha pontilhada. A resposta ao impulso desse
sistema gera um sinal, discreto, senoidal amortecido e que oscila na frequência de 25π rad/s. Nessas condições, o período
de amostragem, em ms, usado na discretização desse sistema, é
(A) 5,0
KS
(B) 10,0
(C) 12,0
(D) 15,5
N
(E) 20,2
9Y
6
J5
z = esT
z = e(σ+jω)T
KS
z = e(σT +jωT )
N
z = eσT ejωT
9Y
(1.14)
J5
Também sabemos que da equação 1.14 podemos tirar o módulo e a fase do pólo,
R
sendo:
4T
|z| = eσT
T3
φ(z) = ωT
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 74
φ(z) = ωT
π
= 25πT
4
1
T = = 10ms
100
KS
Alternativa (B)
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 75
Questão 49
23
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/2)
KS
N
9Y
J5
R
O modelo discreto de um sistema, em malha aberta, é representado pela função de transferência .
4T
A figura acima mostra o esboço do lugar das raízes, no plano Z, para esse sistema, em malha fechada, com realimentação
T3
de saída e com o ganho variando no intervalo . O circulo unitário está traçado com linha pontilhada. O valor
24
N
9Y
Resolução:
Um sistema de 2a ordem é dado pela sua função de transferência . Sabe-se que o tempo de subida,
J5
Aplicando
medido sobre a curva deum ganho
resposta K na
ao degrau realimentação
aplicado de
nesse sistema, é saída
dado por deste sistema
, onde obtemos a
R
função
•
de transferência de malha fechada:
é a razão de amortecimento; e
4T
z 2 − 1, 5z + 0, 5 + Kz + K
Para discretizar esse sistema e aplicar um controle digital, o período de amostragem deve ser tal que ocorram 10 amostras
De onde
durante tiramos
o tempo aOEquação
de subida. Característica:
valor aproximado desse período é z 2 + (K − 1, 5)z + (0, 5 + K) = 0.
KS
1 (K-1,5) (0,5+K)
9Y
(0,5+K)
Da
(E) última coluna tiramos que 1 < 1 para o sistema ser estável, ou seja,
4T
K < 0, 5.
7
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 76
Questão 50
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1)
47 49
Um sinal discreto e causal é representado por uma Um sinal de 3 MHz de banda será tra
sequência x(n) que, no domínio da variável z, é represen- um cabo coaxial, cuja atenuação, nest
tada pela função: é de 4 dB/km. A potência do transm
receptor tem sensibilidade de recepção
5z2 - 7z
X (z ) =
abaixo desta potência o receptor não
z2 - 3z + 2 base nesses dados, qual a distância m
medida em km, em que o receptor de
que ocorra a recepção do sinal?
Os três primeiros valores da sequência x(n), ou seja, x(0),
KS
(A) 5,0
x(1) e x(2), respectivamente, são
(B) 6,5
(A) 0, 5 e 8
(C) 9,0
N
(B) 0, 8 e 14
(D) 12,5
(C) 5, 7 e 14
9Y
(E) 24,0
(D) 5, 8 e 14
(E) 8, 14 e 26
J5
Considere os dados a seguir, para
tões de nos 50 e 51.
48
R
Um sistema linear apresenta a segui
4T
Resolução: Y(z) malha fechada:
X(z)
5 + +
T3
A maneira mais simples de resolvermos uma -1questão deste R(s)
-1 tipo é execu- K
z z s(s+10)
tando uma divisão polinomial entre o numerador e denominador da função de
+
KS
transferência, como segue: 3 -3
N
-1 -1
z z Aplicando um impulso unitário na entr
sinal y(t) de saída será da forma:
9Y
2 -8
(5z 2 − 7z ) ÷ (z 2 − 3z + 2) = 5 + 8z −1 + 14z −2 + . . .
y(t) = Me-s t sen(w
J5
−5z 2 +
O 15z
diagrama
− 10em blocos da figura acima mostra um filtro
digital, tendo X(z) como entrada e Y(z) como saída. A ex-
0 + 8z − 10
R
50
−8z 16z −1de transferência H (z) = Y (z) é
+ 24da−função Considerando que w = 4 rad/s, o valo
4T
pressão
X (z ) (A) 85
0 + 14 − 16z −1
T3
(B) 50
−14 + 42z −1 − 28z −2 (C) 45
0 + 26z z2 −1
+ 3z 2 −2
−+ 28z z2 + 3z + 8 (D) 41
(A) H (z ) = 2 (B) H (z ) = 2 (E) 25
.. + 8
z + 3z 5z + 3z + 2
KS
.
51
O valor da constante M na expressão
N
X(z) K (1.15)
(B)
K - 25
R
K - 25
∞
5z2 + 3z
−k+ 2
X
(E) =H (z ) = x(k)z = x(0) + x(1)z −1 + x(2)z −2 + ... (D) K
T3
X(z) (1.16)
2
k=0 z + 3z + 8 1
(E)
K
16
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
ELETRÔNICA
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 77
x(0) = 5
x(1) = 8
x(2) = 14
Alternativa (D)
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
entrada é
(D)
29
KS
As primeiras três amostras da resposta ao impulso desse
sistema, g(0), g(1) e g(2), são
(E)
N
(A) 0, 2 e 3
9Y
(B) 0, 2 e 8
(C) 1, 2 e 3 32
J5
(D) 1, 5 e 6 Um sistema de controle discreto,
(E) 2, 3 e 5
R
de saída, apresenta uma estrutu
4T
na malha direta, em série com a p
T3
Resolução: 30 transferência do compensador é
A expressão da resposta ao impulso desse sistema, válida
apenas
Resolveremos estapara n 0, é do mesmo modo que a anterior,Trata-se
questão por serdo tipo compensador
muito
KS
(A) g(n) = (2)n (A) de Avanço de Fase
mais simples e rápida que uma solução
n
por frações parciais e posteriormente por
(B) de Atraso de Fase
(B) g(n) = 1 + (2)
um anti-transformada
(C) Z.
g(n)Portanto,
= (2)n + (3)nvamos executar a divisão polinomial:
N
(C) Derivativo
(D) g(n) = 2 − (2)n
9Y
(2z 2 − 3z ) ÷ (z 2 − 3z + 2) = 2 + 3z −1 + 5z −2 +
9 ...
R
ENGENHEIRO(A) DE EQU
−2z 2 + 6z − 4
4T
0 + 3z − 4
T3
−3z + 9 − 6z −1
0 + 5 − 6z −1
−5 + 15z −1 − 10z −2
KS
0 + 9z −1 − 10z −2
..
N
.
9Y
Como desejamos apenas os três primeiros valores, podemos parar a divisão neste
J5
ponto. Logo:
R
Alternativa (E)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
(C) 1, 2 e 3 32
(D) 1, 5 e 6 Um sistema de controle discreto,
(E) 2, 3 e 5
de saída, apresenta uma estrutu
CONTROLE LINEAR www.concursopetrobraseng.com.br 79
na malha direta, em série com a p
30 transferência do compensador é
A expressão da resposta ao impulso desse sistema, válida
apenas para n 0, é Trata-se do tipo compensador
n (A) de Avanço de Fase
(A) g(n) = (2)
(B) g(n) = 1 + (2)n (B) de Atraso de Fase
(C) g(n) = (2)n + (3)n (C) Derivativo
(D) g(n) = 2 − (2)n (D) Proporcional Integral
(E) g(n) = 2 (2)n−1 −1 (E) PID
9 ENGENHEIRO(A) DE EQU
Resolução:
KS
O método da divisão polinomial utilizado na questão anterior, apesar se ser
N
9Y
muito mais prático que os outros métodos para resolver este tipo de questão, não
nos fornece a anti-transformada Z da função de transferência. Porém isso não
J5
é problema em provas de multipla escolha, pois já temos as possíveis soluções
R
nas alternativas. Logo, basta testarmos qual das alternativas que nos fornece os
4T
valores corretos de g(0), g(1) e g(2). Ao analisarmos, vemos que a alternativa
T3
correta é a letra (B) (g(n) = 1 + 2n ), pois:
g(0) = 1 + 20 = 1
KS
g(1) = 1 + 21 = 3
N
g(2) = 1 + 22 = 5
9Y
J5
estes três primeiros valores (o que é muito improvável), bastava continuar a divisão
4T
da questão anterior para mais um ou dois termos, e então voltar nesta questão para
T3
conferir os resultados.
O método “mais correto” (mas menos esperto) para resolver esta questão
KS
seria:
N
9Y
3. Aplicar a Anti-Transformada Z.
4T
T3
Para treinar, o leitor poderia resolver estas duas questões por este método
e conferir os resultados.
Alternativa (B)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
na segunda instrução, o endereço de memória é 00345h II O erro de quadro temporal acumu
e o registrador é de 32 bits. entre os relógios de recepção e
no start bit.
Portanto, o número de ciclos de barramento gastos em cada
uma das instruções é III A tolerância na diferença entre os
CONTROLE LINEAR www.concursopetrobraseng.com.br
(A) 1 para a primeira instrução e 2 para a segunda instrução e transmissão 80
está na casa dos
(B) 1 para a primeira instrução e 3 para a segunda instrução
(C) 2 para a primeira instrução e 2 para a segunda instrução
(D) 2 para a primeira instrução e 3 para a segunda instrução É(São) verdadeira(s) APENAS a(s) af
Questão 52
(E)Equipamentos
(Eng. de 2 para a primeira
Jrinstrução e 4 para
Eletrônica a segunda
- Termoaçu instrução
2008/1) (A) I
(B) II
45
(C) III
Um sinal discreto e causal é formado por uma seqüência
infinita, cuja expressão é (D) I e II
KS
definidas, necessárias à comunicaçã
z
(B) X(z )= (A) o protocolo TCP espera que os
N
z -1 sejam confirmados pela máqu
9Y
z2
(C) X(z )= garantir a entrega dos dados, sen
z2 - 1 não for confirmada dentro de um
J5
z
(D) X(z )= máquina na origem retransmite o
z2 - 1
R
mado.
4T
z2
(E) X(z )= (B) o protocolo TCP tem como uma
z 2 - 2z + 1 dades atribuir o endereço IP pa
T3
que pertencem a uma determinad
(C) o IP é um protocolo de transporte
Resolução: 46 que confirma o recebimento dos
KS
ìïAe - a t p / t ³ 0
Da definição Considere a função f (t )Z
de Transformada = ítemos: , onde A e são origem e o destino e entre as má
ïî 0 p / t < 0
N
n=0
Sendo a Transformada de Fourier de f(t) calculada pela quando um segmento (PDU do U
0 −1 −2 −3 −4
X(z) = x(0)z + x(1)z + x(2)z + x(3)z + x(4)z + ...fica-se a que conexão está assoc
R
¥
fórmula F(w) = ò f (t )e - jw t dt , a expressão de F(0) é
4T
X(z) = 1 + 0 × z −1 + -¥ 1 × z
−2
+ 0 × z −3 + 1 × z −4 + ... (E) os protocolos da camada de aplic
A ços oferecidos pelos protocolos da
(B) aA (E) 2A
T3
11
Logo percebemos que X(z) é um Progressão Geométrica de primeito termo igual
KS
ENGENHEIRO DE EQUIPAMENTOS J
a 1 (a1 = 1) e razão igual a z −2 (q = z −2 ). É sabido que o somatório de uma PG é
N
a1
J5
S=
1−q
R
a1 1 1 z2 z2
T3
X(z) = = = × =
1−q 1 − z −2 1 − z −2 z 2 z2 − 1
Alternativa (C)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
O determinante da matriz é
63
A famosa sequência de Fibonacci pode ser definida como o sinal x(n), discreto, causal e infinito, cujas primeiras amostras são
A sua lei de formação para pode ser expressa como x(n + 2) = x(n + 1) + x(n).
Aplicando a Transformada Z no sinal x(n), resulta a expressão
(A) (C)
KS
(E)
N
(B) (D)
9Y
J5
Resolução:
R
Aplicando a Transformada Z na lei de formação x(n + 2) = x(n + 1) + x(n) e
4T
percebendo que x(0) = x(1) = 1 (ou seja, as condições iniciais não são nulas)
T3
temos:
64
Costuma-se aproximar a variável complexa z, usada em sistemas discretos (digitais), pela variável também complexa w
KS
para sistemas contínuos, através da relação de transformação , onde T (real positivo) é o período de amostragem
usado na discretizaçãozdo
2 sinal contínuo. −1
(X(z) − x(0) − x(1)z ) = z(X(z) − x(0)) + X(z)
N
Sabe-se que a região de estabilidade para sistemas contínuos é o SPE, ou seja, o Semiplano da Esquerda do plano com-
9Y
z 2definida
plexo da variável w, que pode ser (X(z)por 1 − <1z0.−1 ) = z(X(z) − 1) + X(z)
−Re[w]
J5
O lugar geométrico, no plano da variável z, dos2pontos2 em que Re[w] < 0, é a região interior ao círculo de raio igual a
X(z)z − z − z = X(z)z − z + X(z)
(A) 1 e centro na origem
R
z2 − z − 1
(D) 1 e centro no ponto (1, 0)
(E) 2 e centro no ponto (0, 1)
Alternativa (E)
KS
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 82
Questão 54
(Eng. de Automação Jr - Transpetro 2011)
64
Costuma-se aproximar a variável complexa z, usada em sistemas discretos (digitais), pela variável também complexa w
para sistemas contínuos, através da relação de transformação , onde T (real positivo) é o período de amostragem
usado na discretização do sinal contínuo.
Sabe-se que a região de estabilidade para sistemas contínuos é o SPE, ou seja, o Semiplano da Esquerda do plano com-
plexo da variável w, que pode ser definida por Re[w] < 0.
O lugar geométrico, no plano da variável z, dos pontos em que Re[w] < 0, é a região interior ao círculo de raio igual a
(A) 1 e centro na origem
KS
(B) e centro no ponto
N
(C) e centro na origem
9Y
(D) 1 e centro no ponto (1, 0)
(E) 2 e centro no ponto (0, 1)
J5
R
ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO 16
Resolução:
4T
z−1
A aproximação w = é chamada de aproximação por Backward Dif-
T3
Tz
ferences. Para analisarmos o que esta aproximação representa em questão de
estabilidade faremos um pequeno trabalho algébrico:
KS
z−1
w=
N
Tz
9Y
T zw = z − 1
J5
z(T w − 1) = −1
R
1
z=
4T
Tw − 1
1 1 1
+ −
T3
z=
Tw − 1 2 2
1 2 − (1 − T w)
z= +
2 2(1 − T w)
KS
1 1 (T w + 1)
z= +
N
2 2 (1 − T w)
9Y
1 1 (T (jω) + 1)
z− =
2 2 (1 − T (jω))
J5
z − 1 = 1 (T (jω) + 1)
R
2 2 (1 − T (jω))
4T
z − = 1 × 1
1
T3
2 2
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 83
Questão 55
(Eng. de Automação Jr - Transpetro 2011)
enunciado a seguir para responder às 68
os
65 e 66.
Um sistema discreto tem como entrada r(n) e saída y(n),
que se relacionam pelas equações de diferenças
KS
m blocos da figura acima mostra uma confi-
N
(D)
K é uma constante positiva.
9Y
(B)
ransferência que relaciona Y(s) com R(s) é (E)
J5
R
(C)
4T
T3
Resolução:
−1
culado por − 0,ς5z
X(z)(1, onde ) = de
é a razão R(z)
amortecimento,
(Y (z)e−ω0,é a −1
n 8z
frequência
Y (z))(1natural
− 0, 5znão
−1amortecida do
) = R(z) sistema
N
contínuo.
9Y
(B) 150 = 2
R(z) z − 1, 3z + 0, 4
(C) 100
(D) 80
(E) 50
Alternativa (E)
17 ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 84
Questão 56
(Eng. de Automação Jr - Transpetro 2011)
70
KS
(B) y(n) = 5n-1 (E) y(n) = 3(5)n
(C) y(n) = 5-n
N
9Y
Resolução:
J5
Primeiramente, para acharmos o valor de y(0) utilizamos a equação para
R
4T
n = 0:
T3
y(n) − 5y(n − 1) = 1
y(0) − 5y(0 − 1) = 1
KS
y(0) − 5 × 0 = 1
H
N
N
y(0) = 1
U
9Y
C
S
Vemos então que a condição inicial não é nula. Aplicando a Transformada
J5
A
Z na equação para n ≥ 0 temos:
R
R
4T
y(n) − 5y(n − 1) = 0
T3
y(n + 1) − 5y(n) = 0
H
Y (z)z − z − 5Y (z) = 0
9Y
z
R
Y (z) = (1.20)
z−5
J5
R
y(n) = 5n
Alternativa (A)
ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO 18
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 85
Questão 57
(Eng. Equipamentos Jr Eletrônica - Petrobras 2011)
31
KS
O diagrama em bloco acima mostra o modelo de um
sistema linear, discreto e causal, no domínio da variável
N
ma mostra a curva de módulo do diagrama complexa z.
9Y
A função de transferência que relaciona a saída com a
unção de transferência . entrada é
J5
se gráfico, o valor do ganho K é
(A) (D)
R
4T
T3
(B) (E)
(C)
N
Resolução:
R
inferior do diagrama:
1 z
H(z) = −1
=
32 1 − az z−a
KS
de saída, apresenta
9Y
na malha direta,
Y (z)em=série com
bU (z) +aH(z)U
planta, (z)
cuja função de
J5
transferênciaYdo compensador
(z) é
= (b + H(z))U (z) .
a resposta ao impulso desse sistema, válida
R
0, é Trata-se do Y (z)
tipo compensador z
=b+
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
seguinte função de transferência:
32
Um sistema de controle discreto, com realimentação
transferência do compensador é .
a resposta ao impulso desse sistema, válida
KS
0, é Trata-se do tipo compensador
(A) de Avanço de Fase
N
n (B) de Atraso de Fase
(2)
9Y
+ (3)n (C) Derivativo
(2)n (D) Proporcional Integral
J5
)n−1 −1 (E) PID
R
4T
9
Resolução: ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
ELETRÔNICA
T3
Sabemos que controladores integradores discretos devem apresentar o
termo (z − 1) no denominador da função de transferência (assim como os inte-
KS
gradores contínuos devem apresentar o termo s no denominador), como isso não
é observado na função de transferência apresentada, podemos descartar as al-
N
9Y
Atraso de Fase.
4T
T3
Alternativa (A)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
algoritmo de busca depht first num grafo direcio
2 mH IS
+ 1 mH + cedimento principal dfs(N,Adj) recebe com
12 V 5 mF 7V inteiro N e a matriz Adj, de dimensões NxN. Ad
- 40 W - senta o elemento da linha u e coluna v da m
40 W
procedimento dfs(N,Adj) faz a chamada recu
CONTROLE LINEAR www.concursopetrobraseng.com.br 87
A figura acima apresenta um circuito de corrente contínua cedimento dfs-visit(u), onde u é um intei
funcionando em regime permanente com a chave S1 aberta. Ao término dos dois procedimentos, os veto
Em determinado instante, a chave S1 é fechada. Imediata- indexados pelos inteiros u de 1 até N, são pre
1.4 Controle Linear - Espaço de Estados
mente em seguida, o módulo da corrente IS, em ampères, acordo com a regra de busca prevista no algori
que atravessa a chave S1, é, aproximadamente,
(A) 0,8 (B) 0,7
dfs(N,Adj)
(C) 0,6 (D) 0,5
Questão 59 (E) 0,4
Para u de 1 até N
(Eng. de Automação Jr - Transpetro 2008) cor[u] ¬ branco
31 b[u] ¬ 0
Fim-Para
Para u de 1 até N
r(t) u(t) X(t) y(t) Se cor[u] = branco
M + A, B C
dfs_visit(u)
Fim-Se
Fim-Para
-K Fim
KS
dfs-visit(u)
A figura acima apresenta o diagrama de um sistema de con- cor[u] ¬ cinza
trole cujas equações sob a forma de espaço de estado são: Para v de 1 até N
N
Se (Adj(u,v) = 1) e (cor[v]
X(t)=AX(t)+Bu(t)
A y(t)=CX(t)
9Y
com a lei de controle u(t)=-KX(t)+Mr(t) b[v] ¬ u
dfs_visit(v)
Fim-Se
J5
onde
Fim-Para
é 0 1ù é0ù é ù é ù
cor[u] ¬ preto
A=ê ú B=ê ú C = êë10 0 úû e K = êë 6 3 úû
R
ë- 8 - 6 û ë1û Fim
4T
O resultado do vetor b após a aplicação do pr
Quando uma entrada r(t) do tipo degrau for aplicada, qual o
valor do ganho M para que o erro de estado estacionário seja principal para N=6
T3
NULO ?
(A) 5,00 (B) 3,65
(C) 2,48 (D) 1,40 é0 1 1 0 0 0ù
ê ú
(E) 0,80 ê0 0 1 0 0 0ú
KS
ê0 0 0 1 0 0ú
32 e Adj = ê ú é
ê0 1 0 0 0 0ú
Um conjunto x(n) de 400 amostras resultou da discretização ê0 0 0 0 1 1ú
N
Substituindo a X(k),de
lei onde k representa
controle a freqüência
u(t) discreta. A que freqüên-
= −KX(t)+M r(t) na (B) b = [2 de
equação 1 4estados
2 5 6]
cia, em Hz, corresponde a amostra X(k) para k=64?
R
(C) b = [2 1 3 0 5 4]
do sistema: (A) 420 (B) 640
4T
9
Ẋ(t) = AX(t) + Bu(t) ENGENHEIRO JÚNIOR - ÁREA: AU
KS
= (A − BK)X(t) + BM r(t)
J5
ẋ2 −8 −6 1 x2 (t) 1
" # " # " #! " # " #
ẋ1 0 1 0 0 x1 (t) 0
= − + M r(t)
ẋ2 −8 −6 6 3 x2 (t) 1
" # " #" # " #
ẋ1 0 1 x1 (t) 0
= + M r(t)
ẋ2 −14 −9 x2 (t) 1
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 88
KS
N
0 = x 2 + 0
0
9Y
0 = −14x − 9x + M
10 20
J5
M
R
Que resulta em x10 = 14
e x20 = 0. Como o erro deve ser nulo para o
4T
sistema cujos estados estão estacionários, temos que ter y(t) = r(t) = 1, ou seja:
T3
y = CX
" #
h i x
10
y = 10 0
KS
x20
N
1 = 10x10
9Y
1
x10 =
J5
10
R
M
Como x10 = 14
, temos:
4T
M
T3
x10 =
14
1 M
=
10 14
KS
14
M =
10
N
M = 1, 4
9Y
J5
Alternativa (D)
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
onde u(t) e y(t) representam, respectivamente, os sinais de entrada e de saída do sistema. A função de transferência G(s) =
U(s)
deste sistema é
20 s 2 + 14 s + 2 s2 + 4 s + 3
(A)
(A) (B)
(B)
2
s + 4s + 3 2 s 2 + 14 s + 20
CONTROLE LINEAR www.concursopetrobraseng.com.br 89
2 s 2 + 14 s + 20 3 s2 + 4 s + 1
(C)
(C) (D)
(D)
s2 + 4 s + 3 2 s 2 + 14 s + 20
20 s 2 + 14
Questão 60s + 2
(E)
(E) (Eng. de Automação Jr - Transpetro 2008)
3 s2 + 4 s + 1
37
Um sistema dinâmico em malha fechada pode ser modelado sob a forma de espaço de estado através das seguintes
equações:
ìé x1( t ) ù é- 5 6ù é x1( t ) ù é1ù
ïê ú=ê úê ú + ê ú u( t )
ïë x 2 ( t )û ë - 1 0û ë x 2 ( t )û ë3û
í
ïy( t ) = éê2 0ùú é x1( t ) ù
ûê ú
ï ë
ë x 2 ( t )û
î
As posições dos pólos no plano s da função de transferência deste sistema são
(A) s1 = 2 e s2 = 3 (B) s1 = 1 e s2 = 3
KS
(C) s1 = 1 e s2 = 2 (D) s1 = 2 e s2 = 4
(E) s1 = 3 e s2 = 5
N
38
9Y
Os CLPs da Figura 1 estão conectados numa rede do tipo mestre-escravo. O CLP mestre M realiza uma varredura cíclica a
todos os CLPs escravos Ei (i =1..n) para realizar o intercâmbio de dados. A comunicação por rede permite que os CLPs
Resolução:
J5
compartilhem variáveis. O ciclo de varredura da rede é independente do ciclo de varredura interno dos CLPs, este composto por
três etapas: (i) atualização da memória de entrada e saída local; (ii) atualização da memória de dados referentes à rede; e (iii)
execução do programa de aplicação do usuário. Na etapa (ii), os dados recebidos por uma comunicação de rede são atualizados
R
Como o sistema já está na forma Ẋ(t) = AX(t) + Bu(t), basta calcular-
na memória interna e os dados referentes aos outros CLPs são repassados para transmissão. O intercâmbio de dados entre
4T
diferentes estações escravas Ei é feito por intermédio do CLP mestre M.
mos os autovalores de A, que estes serão os pólos da função de transferência.
código no CLP M: código no CLP E1: código no CLP E2:
T3
Portanto: | C01 C21 | | X11 C01 | | C21 |
CLP M CLP E1 CLP E2 CLP En |---| |---( )---| |---| |---( )---| |---| |--- ... |
| | | | | |
det(sI − A) = 0
.... .... ....
Figura 2
KS
Figura 1
" # " #!
Instância de comunicação entre
CLPs interconectados em rede
1 0 −5 6 estações
det s − = 0 Escravo-Escravo
N
0 12, o CLP −1
Numa comunicação escravo-escravo, ilustrada na Figura 0 um estímulo na entrada correspondente ao ponto
E1 recebe
9Y
.11
De onde tiramos s1 = −2 e s2 = −3
T3
www.pciconcursos.com.br
Alternativa (A)
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 90
Questão 61
(Eng. Jr Áreas Elétrica e Eletrônica - Transpetro 2006)
31 33
R O homem vem utilizando os diversos recursos
L ao longo de sua evolução, sem ter tido a preocu
meio ambiente ou com o esgotamento desses r
+ + dias atuais, a questão ambiental caminha lado
questão energética, a fim de otimizar a utilizaçã
e diminuir a agressão ao meio ambiente. A re
C
temática, considere as seguintes afirmações:
I - a utilização da energia solar é limpa em todo o
II - o protocolo de Kioto, que regula as obrigaçõ
KS
teção do meio ambiente, foi assinado por t
O circuito RLC da figura é visto como um sistema elétrico cipais países do mundo;
N
III - as fontes alternativas de energia tendem a
cuja entrada é a tensão ei(t) e a saída a tensão eo(t). É de-
ticipação maior no balanço energético mun
9Y
signado o seguinte vetor de estados:
A(s) afirmação(ões) correta(s) é(são) apenas:
onde x1t e o t (A) I (B) II
J5
x
xt 1 de o (C) III (D) I e II
x 2 x 2 t e& o t
dt (E) II e III
R
4T
O modelo deste sistema em espaço de estado será repre- 34
sentado pela seguinte equação de estado: As termelétricas a gás natural, por diversas raz
tornando uma opção interessante para os inv
T3
área da energia. Entretanto, os investimentos n
inciativa privada, não foram o esperado. A re
temática, considere as seguintes afirmações:
KS
As expressões de a e b, em função dos componentes, são, I - O livre mercado de energia dificulta a i
respectivamente: termelétricas para o novo modelo competiti
vista que o custo da energia das hidrelétric
N
1 R www.pciconcursos.com.br
1
ẋ2 (t) = − x1 (t) − x2 (t) + e1 (t) (1.21)
LC L LC
Do modelo apresentado:
" # " #" # " #
ẋ1 (t) 0 1 x1 (t) 0
= + ei (t)
ẋ2 (t) −a −b x2 (t) a
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 91
Tiramos:
ẋ2 (t) = −ax1 (t) − bx2 (t) + ae1 (t) (1.22)
KS
b=
L
N
9Y
Alternativa (D)
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 92
Questão 62
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/2)
os
Considere
Considereas
asinformações
informaçõesaaseguir
seguirpara
pararesponder
responderàs
àsquestões
questõesde
dennos25
25ee26.
26.
OOcontrole
controlede
deatitude
atitudede
deum
umsatélite
satéliteem
emórbita
órbitaconsiste
consisteem
emajustar,
ajustar,automaticamente,
automaticamente,ooângulo
ângulode
deseu
seueixo,
eixo,de
demodo
modo
aadirecionar
direcionarsua
suaantena
antenapara
paraaaregião
regiãodesejada
desejadana
nasuperfície
superfícieda
daTerra.
Terra.OOmodelo
modelosimplificado
simplificadodesse
dessesistema
sistemaconsta
consta
de
deum
umsinal
sinalde
deentrada,
entrada,que torqueτ(t),
queééootorque τ(t),eede
deum
umsinal
sinalde
desaída,
saída,que ânguloθ(t).
queééooângulo θ(t).Considerando
Considerandooovetor
vetorde
de
estado
estado definido
definidocom
com , ,posição
posiçãoangular
angularee , ,aavelocidade
velocidadeangular,
angular,obtém-se
obtém-se
ooseguinte
seguintemodelo
modeloem
emespaço
espaçode
deestado.
estado.
KS
ee
N
9Y
25
25
Aplicando
Aplicandouma
umarealimentação
realimentaçãode
deestado,
estado,com
comaaleileide
decontrole
controledada
dadapor , ,oovalor
valordo
dovetor
J5
por vetor
de ganhos K, que conduz os polos em malha fechada para as posições−2
de ganhos K, que conduz os polos em malha fechada para as posições −2ee−3,
−3,éé
R
(A)
(A)[5[5 6]6] (B)
(B)[2[2 3]3] (C)
(C)[6[6 5]5] (D) [−5 −6]
(D)[−5 −6] (E) [−2 −3]
(E)[−2 −3]
4T
26
26
T3
Discretizando
Discretizandoeste
estemodelo,
modelo,pelo
pelométodo
métodoZOH,
ZOH, com
comooperíodo
períodode
deamostragem
amostragemT,T,obtém-se
obtém-seoomodelo
modelodiscreto
discretodado
dadopor:
por:
Resolução:
ee
matrizΦΦéé
AAmatriz
KS
A fim de encontrarmos a equação característica em função de K1 e K2,
desenvolvemos
(A)
(A) a(B)
expressão:
(B) (C)
(C) (D)
(D) (E)
(E)
N
9Y
27
27 ẋ(t) = Ax(t) + Bτ (t)
J5
det(sI − A + BK) = 0
9Y
OOgráfico
gráficoda
dafigura
figuraacima
acimacorresponde ààresposta ao degrau unitário aplicado na
naentrada de um sistema de 2a ordem, cuja
corresponde" resposta
# ao"degrau#unitário " aplicado
# ! de um sistema de 2a ordem, cuja
entrada
função
funçãode
detransferência
transferênciaéé
1 0. .Com 0 1nosdados
Combase
basenos
0 dahfigura,
dadosda figura,os
ospolos
i desse sistema são complexos,
J5
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 93
os
Considere as informações a seguir para responder às questões de n 25 e 26.
Agora que encontramos a Equação Característica 1.23 em função de k1 e k2 , mon-
O controle de atitude de um satélite em órbita consiste em ajustar, automaticamente, o ângulo de seu eixo, de modo
tamos a Equação Característica Desejada (com pólos s1 = −2 e s2 = −3):
a direcionar sua antena para a região desejada na superfície da Terra. O modelo simplificado desse sistema consta
(s − s1 )(s
de um sinal de entrada, que é o torque τ(t), e de um
−s )=0
sinal de2saída, que é o ângulo θ(t). Considerando o vetor de
KS
Comparando as equações e e 1.24, concluimos então que k1 = 6
h características
i 1.23
e k2 = 5, ou seja, K = 6 5 .
N
25
9Y
Aplicando uma realimentação de estado, com a lei de controle dada por , o valor do vetor
de ganhos K, que conduz os polos em malha fechada para as posições −2 e −3, é Alternativa (C)
J5
(A) [5 6] (B) [2 3] (C) [6 5] (D) [−5 −6] (E) [−2 −3]
R
4T
26
Discretizando este modelo, pelo método ZOH, com o período de amostragem T, obtém-se o modelo discreto dado por:
T3
e
A matriz Φ é
KS
(A) (B) (C) (D) (E)
N
27
9Y
Resolução:
J5
como segue:
4T
T3
Φ = I + AT φ
Γ = φT B
KS
φ = I + AT + A2 T 2
2! 3!
+ ...
N
a
O gráfico da figura acima corresponde à resposta ao degrau unitário aplicado na entrada de um sistema de 2 ordem, cuja
Então calculamos primeiro φ:
9Y
função de transferência é . Com base nos dados da figura, os polos desse sistema são complexos,
conjugados e iguais a
J5
0 1 0 0 2 0 0 0 0 6
4T
| {z }
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR 8 Zero
T3
ELETRÔNICA
" #
T
1 2
φ=
0 1
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 94
Φ = I + AT φ
" # " #" #
T
1 0 0 1 1 2
Φ= + T
0 1 0 0 0 1
" # " #
1 0 0 T
KS
Φ= +
0 1 0 0
N
" #
1 T
9Y
Φ=
0 1
J5
R
Alternativa (A)
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 95
Questão 63
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1)
43 45
Seja um sistema linear e invariante no tempo definido pelo p(t)
seu modelo em espaço de estados:
é x&1 ù é -3 1 ù é x1 ù é 1ù 1
êx ú = ê ú ê ú + ê úu
ë & 2 û ë -2 1,5 û ë x 2 û ë 4 û
éx ù
y = [1 0]ê 1 ú -1 1
ë x2 û
-1
KS
A função de transferência Y(s)/U(s) é
Considere o pulso p(t) mostrado na f
N
s + 2,5 s + 3,5 formada de Fourier deste pulso é da
(A) (B)
9Y
s2 + 1,5 s + 3,5 s2 + 1,5 s - 2,5 pressão:
é æw
J5
s + 2,5 s + 1,5 K ê sen ç
ë è2
(C) (D) P (w ) =
R
s2 + 3,5 s + 1,5 s2 + 3,5 s - 2,5
w
4T
O valor da constante K é:
s + 1,5 (A) 4
T3
(E) (B) – j4
s2 + 3,5 s + 1,5
(C) j4
(D) 2
KS
(E) j2
Resolução: 44
5 46
N
Y (s) v(t)
Para encontrarmos a função de a partir de um modelo de espaço de
9Y
U (s)
u(t) y(t)
+
estados, temos que calcular: ò ò +
T
A
T
J5
Y (s) -t 0 t
R
-3 = C(sI − A)−1 B
U (s)
4T
-A
-2
Porém imediatamente percebemos que isso dará muito trabalho, e consumirá
T3
muito tempo em uma prova de concurso. Por este motivo é melhor tentarmos
Considere o sinal periódico v(t) most
O diagrama em blocos da figura acima mostra um sistema Os pulsos têm amplitude A, largura
linear, dea 2equação
primeiro calcular apenas a característica
ordem, composto da função deperíodo
de dois integradores, transferência
T em segundos.
KS
a expressão supracitada. Então, para calcular a EC fazemos: I - O valor médio de v(t) é zero.
II - Os coeficientes da série com
9Y
Y (det
s)
1 0 −3 1
5s + 1 Y (s) 5s0+ 1
R
= s − =
1,(s5) = s2 + 3s + 2
É(São) correta(s) a(s) afirmativa(s)
(C) U (s) s - 3s - 2
2 0 1 (D)
−2 U
4T
(A) I, apenas.
(B) I e II, apenas.
" #!
Y (s) (s + 3) −1
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
0 1 a
X ( k + 1) = X( k ) + u(
a b b
y ( k ) = [1 0] X( k )
a = Mb + N
Questão 64
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1) As constantes M e N são, respectivamente
52 53
Um sistema linear e discreto é modelado em espaço de b2
Se for utilizado um compensadorb estático, isto é, H(s) = K,
estado com as seguintes equações: com K > 0, então(A)a planta e
a 2 a
(A) não poderá ser estabilizada, tendo em vista que a fun-
ção de transferência da planta apresenta um par de
0 1 a b2 b
X ( k + 1) = X( k ) + u ( k) polos no semiplano -
(B) 2 sedireito.
a b b a
(B) não poderá ser estabilizada, a pois mesmo variando-se
o ganho K do compensador, ainda restarão polos de
y ( k ) = [1 0] X( k )
b2
malha fechada no semiplano bs direito.
(C) 2
(C) poderá ser estabilizada e qualquer valor de ganho
para
a + b2 a
Este sistema é não controlável nos pontos de uma reta do K positivo.
KS
plano a x b, cuja equação é dada por: (D) poderá ser estabilizada a partir de certo valor de ganho
b b
K positivo, tendo
(D) aeme vista que a função de transferên-
a + b grau relativo 1 e apresenta
N
a = Mb + N cia de malha aberta possui
um zero no semieixo real negativo do plano s.
9Y
As constantes M e N são, respectivamente, b
(E) poderá ser estabilizada, b2
tendo em vista que, a partir de
- e
certo valor de(E)
ganhoaK positivo,
a2 os polos de malha fecha-
J5
da seguirão duas assintotas no semiplano s esquerdo.
b2 b
(A) e Considere a figura e os dados abaixo p
Resolução:
a2 a 54
R
às questões de nos 53 a 55.
Para estabilizar a planta e fazer com que o lugar das raízes
4T
b2 b (root locus) passe em s = - 3, o compensador utilizado de-
Um sistema
(B) 2 eé - Não-Controlável se a matriz de controlabilidade
a
verá ser: (Co ) deste
a Planta
T3
sistema for não-inversível, ou seja, se det(Co ) = 0. K (s + 2) K (s + 5)
(A) u(t) (B) 2(s 4)
b2 b (s + 9) (s + 13)
Para um sistema
(C) 2de 2ordem
a +b
e
a n representado no espaço de estados, sabemos que a (s 2 - 6s + 13)
K (s + 15) K (s -15)
KS
matriz de controlabilidade é dada por: (C) (D)
b b (s + 3,5) (s -2)
(D) a e a + b Compensador
K (s+10) H(s)
N
2 n−1
Co 2= [B AB AB ... A (s+15)
(E) B]
b b
9Y
(E) - e 2
a a
Como nesta questão o sistema é de segunda ordem (n 55 = 2), temosA que: figura ilustra uma planta industrial cont
J5
Co = [B AB]
4T
u(t) 2(s β4) a b y(t) β de o sistema em malha fechada rastrear os sinais aplica-
(s 2"
- 6s + 13) #
α β dos em u(t), caso seja aplicado um sinal do tipo
Co =
KS
β (αa
Compensador + βb) (A) degrau em u(t), a saída y(t) irá rastrear com erro nulo a
H(s) entrada u(t).
(B) degrau em u(t), a saída y(t) irá rastrear com erro cons-
N
a entrada em u(t).
α2 a + αβb − β 2 = 0
4T
β β2
17
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
100.000(s - 1) 10.000(s + 1)
(A) (B)
s 2 + 50s + 10.000 s 2 + 200s + 10.000
10.000(s - 1) 100.000(s + 1)
(C) (D)
s 2 + 200s + 10.000 s 2 + 50s + 10.000
CONTROLE LINEAR www.concursopetrobraseng.com.br 97
1.000(s + 1)
(E)
s 2 + 200s + 10.000
Questão 65
(Eng. de Equipamentos Jr Eletrônica - Termoaçu 2008/1)
32 33
Considere o sistema linear abaixo, descrito por seu modelo Um circuito oscilador gera uma onda-
em espaço de estados. com 50% de ciclo ativo e sem nível D
senóide de 30 MHz a partir deste si
apenas um filtro, é estritamente nece
seja um
(A) passa-altas que rejeite 10 MHz e
KS
(B) passa-altas que rejeite 20 MHz e
Os pólos do sistema são (C) passa-baixas que rejeite 40 MHz
N
(A) 1 e 2,5
(D) passa-banda que rejeite 20 MHz
9Y
(B) 2 e 1
(C) 3 e 1,5 30 MHz
J5
(D) 1,5 e 3 (E) passa-banda que rejeite 10 MHz
(E) 2,5 e 1 30 MHz
R
4T
8
ENGENHEIRO DE EQUIPAMENTOS JÚNIOR (ELETRÔNICA)
T3
Resolução:
www.pciconcursos.com.br
Como o sistema já está na representação padrão de Espaço de Estados
KS
(ẋ = Ax + B e y = Cx), os pólos do sistema são iguais aos autovalores de A, logo:
N
det(sI − A) = 0
9Y
" " # #!
1 0 −3 1
J5
det s − =0
0 1 −2 1, 5
R
" #!
4T
(s + 3) −1
det =0
2 (s − 1, 5)
T3
(s + 3)(s − 1, 5) + 2 = 0
s2 + 1, 5s − 2, 5 = 0
KS
N
De onde tiramos
9Y
J5
s1 = −2, 5 e s2 = 1
R
4T
Alternativa (E)
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 98
Questão 66
(Eng. de Equipamentos Jr Eletrônica - Termoaçu 2008/1)
34 37
Considere um sistema elétrico que tem v e (t ) como tensão
de entrada, que tem v 1 (t ) e v 2 (t ) como tensões medidas
internamente e cuja resposta depende das seguintes
equações:
dv 1
5 v& 1 (t )+ 2 v 1 (t )+ 5v 2 (t ) = 0 v& 1 (t ) = No sistema de controle da figura ac
onde dt
10 v& 2 - 8v& 1 (t )+ 6 v 2 (t ) = 4 v e (t )
KS
dv 2
v& 2 (t ) = negativo, o lugar das raízes passa em
dt
(A) 2 e as assíntotas possuem ângu
N
éx ù (B) 1,5 e as assíntotas possuem ân
Considerando-se um vetor de estados X(t ) = ê 1 ú , onde
9Y
ëx 2 û 180°.
J5
x1 = v 1 e x 2 = v 2 , a matriz A do modelo em espaço de (C) 0,5 e as assíntotas possuem âng
(D) 0,5 e o ponto de encontro das ass
R
& (t ) = A X(t )+ B u(t ) é
estado dado por X
(E) ±j e o ponto de encontro das assín
4T
é - 0,20 - 1,00ù é - 0,20 - 1,00 ù
(A) ê ú (B) ê ú
ë - 0,32 - 1,40û ë 0,80 - 0,60û
T3
38
é 0,20 1,00 ù é - 2,00 - 5,00 ù Um encoder digital é um sensor utilizad
(C) ê ú (D) ê ú
ë - 0,80 0,60û ë - 8,00 - 6,00û para medir diretamente a posição
KS
angular de um equipamento. O encode
é - 1,00 - 0,25ù em tornos CNC, manipuladores robó
N
(E) ê ú
ë 0,60 - 0,80û Suponha um encoder digital conectado
9Y
Um sistema eletrônico não linear tem uma resposta em do conversor D/A utilizado é na forma d
tensão tal que eleva ao quadrado o valor do sinal de entrada.
Resolução: Ao entrar com uma onda senoidal pura de freqüência f, a varie de 0mA para a entrada binária 0
R
x2 = v2 e u = ve , basta deixarmos
(C) uma as duas2f,equações
senóide de freqüência com nível DC dadas no seguinte
ser vistoformato:
no diagrama a seguir.
(D) duas senóides de freqüências f e 2f, sem níveis DC
(E) duas senóides de freqüências f e 2f, com níveis DC
v̇1 = f (v1 , v2 , ve )
KS
36 SAÍDA
A Instrumentação está v̇2 = f (vao1 ,estudo,
ligada v2 , ve )desenvolvimento e q (8 bits) CONVERSOR 0 A 2mA
ENCODER
aplicação de instrumentos eletrônicos que têm como função
N
DIGITAL D/A
básica a medição de alguma grandeza física ou elétrica.
E então teremos um sistema na forma Ẋ(t) = AX(t) + Bu(t). Ao analisarmos o
9Y
variável
10vde entrada.
˙2 (t) − 8v˙1 (t) + 6v2 (t) = 4ve (t) (1.27)
(C) repetibilidade é a consistência de uma medição quando (A) 75 e 4,18
T3
9
ENGENHEIRO DE EQUIPAMENTOS JÚ
www.pciconcursos.com.br
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 99
ve . Logo:
KS
10v˙2 (t) − 8v˙1 (t) + 6v2 (t) = 4ve (t)
N
10v˙2 (t) − 8(−0, 4v1 (t) − v2 (t)) + 6v2 (t) = 4ve (t)
9Y
10v˙2 (t) + 3, 2v1 (t) + 8v2 (t) + 6v2 (t) = 4ve (t)
J5
v˙2 (t) = −0, 32v1 (t) − 1, 4v2 (t) + 4ve (t)
R
x˙2 (t) = −0, 32x1 (t) − 1, 4x2 (t) + 4u(t) (1.30)
4T
T3
Como agora já temos expressões para x˙1 (t) e x˙2 (t) em função das variáveis de
estado desejadas (equações 1.29 e 1.30), podemos representar o sistema de
forma matricial:
KS
N
x˙1 (t) = −0, 4x1 (t) − x2 (t)
9Y
x˙ (t)
2 = −0, 32x1 (t) − 1, 4x2 (t) + 4u(t)
J5
R
= + u(t)
x˙2 (t) −0, 32 −1, 40 x2 (t) 4
T3
| {z } | {z } | {z } |{z}
Ẋ(t) A X(t) B
porém vemos que o primeiro valor da matriz A é −0, 40 e não −0, 20 como apre-
N
J5
Alternativa (A)
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 100
TERMOCEARÁ LTDA.
Questão 67
(Eng. de Termelétrica Jr Eletrônica - Termoceará 2009)
32 34
Considere o modelo de um sistema linear dado pela se- Z
guinte representação em espaço de estado:
1,4
é -11 -60 ù é 1ù Rx
X& (t ) = ê X (t ) + ê ú u (t )
A
ú
ë 1 5 û ë0 û
y (t ) = [1 15] X (t ) X
KS
C
N
(A) 5,0 e 1,0 (B) 2,0 e 4,0 1,5 V
9Y
(C) - 2,0 e - 4,0 (D) - 5,0 e -1,0
(E) -11,0 e 5,0 Deseja-se efetuar a medida de uma re
J5
cida (Rx), improvisando-se o process
33 acima. Usa-se uma pilha de 1,5 V alim
R
Considere a arquitetura implementada a seguir. de fio resistivo homogêneo de 50 cm
Resolução:
4T
uma régua milimetrada (segmento XY
entity CONTADOR is
tém contato com o fio resistivo e o am
port (CLK, EN, CLR, LOAD, UP: in std_logic;
T3
Como o sistema já está na representação padrão de
D: in std_logic_vector (3 downto 0); presença
espaço de corrente entre os ponto
de estados,
Q: out std_logic_vector (3 downto 0)); consiste em deslocar o cursor sobre o
basta acharmos os autovalores de A, que estes serão os pólos do asistema.
end CONTADOR; corrente noLogo:
amperímetro. Feita a e
se que a distância YC foi de 35 cm. O
KS
architecture comportamento of CONTADOR is
det(sI − A) = 0 (A) 150 (B) 200 (C) 300
signal CONT: std_logic_vector (3 downto 0);
begin " # " #!
N
process 1 0
(CLK) −11 −60 35
detbegin
s − =0
9Y
elsif (s(LOAD
+ 11)= ‘1’)60then CONT <= D;
elsif (EN = ‘1’ and UP = ‘1’ segmentação realizado pelo siste
det = and
0
R
−1 CONT
(s − 5)= “1001”) then (B) o PCI de 33 MHz e 32 bits tem um
CONT <= “0000”; cação maior que a do USB 2.0.
4T
elsif (EN = ‘1’ and UP = ‘1’) then (C) o termo on die é atribuído ao cach
CONT(s<= 11)(s+ −“0001”;
+ CONT 5) + 60 = 0
mo substrato semicondutor do nú
T3
end process;
Q <= s 1 = −5
CONT; e s2 = −1 Um sinal de tensão, obtido através de
9Y
(B) binário, crescente-decrescente e com clear assíncrono. amostragem, em kHz, usada na conve
4T
Digital)?
(C) binário, crescente-decrescente e com clear síncrono.
(A) 1.000 (B)
T3
9
ENGENHEIRO(A) DE TERMELÉTRICA J
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
Considerando e , a solução dessa equa-
e
FL(i) = K1i + K2
KS
0 (B) -3 e -5
0 (C) -2 e -1
2 (D) -2 e -3
N
0 (E) -1 e -4
9Y
15
J5
ENGENHEIRO(A) JÚNIOR - ÁREA AUTOMAÇÃO
Resolução:
R
Como o sistema já está na forma Ẋ(t) = AX(t) + Bu(t), basta calcular-
4T
mos os autovalores de A, que estes serão os pólos da função de transferência.
T3
Portanto:
KS
det(sI − A) = 0
N
9Y
" # " #!
1 0 −4 2
det s − =0
−1 −1
J5
0 1
" #!
R
s + 4 −2
det =0
4T
1 s+1
T3
(s + 4)(s + 1) + 2 = 0
s2 + 5s + 6 = 0
KS
Alternativa (D)
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
pulsos ou estalos de curta duração e de amplitude
relativamente grande presente nos sinais que
mostra o sinal periódico ν(t), formado por trafegam nos sistemas de comunicações.
a de pulsos retangulares, de amplitude A,
arados por um períodoCONTROLE
T. Está correto APENAS o que se afirma em
LINEAR www.concursopetrobraseng.com.br 102
(A) I
(B) II
(C) III
o valor médio desse sinal é
Questão 69 (D) I e III
(Eng. Equipamentos
(E) II e III Jr Eletrônica - Petrobras 2011)
24
KS
onde o vetor de estados é definido por .
Esse sistema tem três polos reais, cujos valores são:
N
(A) −1, −1 e −2
9Y
(B) 0, −2 e −3
(C) 0, −1 e −3
J5
coeficiente complexo da série de Fou- (D) 0, 1 e −12
(E) 1, −6 e −12
R
4T
da integral onde 25
Um sistema de controle linear e contínuo, com realimenta-
T3
Resolução:
m-se , o valor do duty ção de saída, apresenta uma estrutura de compensação
Como o sistema já está
na malha direta, na forma
em série comẊ(t) = AX(t)
a planta, + Bu(t),
cuja função de basta calcular-
pela relação ,é
KS
mos os autovalorestransferência
de A, que é estes serão os
. pólos da função de transferência.
Portanto:
N
(A) PD
(B) P I det(sI − A) = 0
(C) P I
D
J5
1 0 0
(D) Lead - Leg 0 0 −1
(E) Avanço
de fase
R
det s 0 1 0 − 0 1 1 = 0
4T
7 0 0 1 0 −12 −6
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
T3
ELETRÔNICA
s 0 1
det
0 (s − 1) −1 = 0
KS
0 12 (s + 6)
N
s(s2 + 5s + 6) = 0
R
s(s + 3)(s + 2) = 0
4T
T3
Alternativa (B)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 103
Questão 70
(Eng. de Automação Jr - Transpetro 2006)
38
Diagrama de Bode
Módulo (dB)
KS
N
9Y
Freq.(rad/s)
10- 2 10- 1 10 0 10 1
J5
Fase (graus)
R
4T
T3
KS
Freq.(rad/s)
10- 2 10- 1
0 11
10 10
10
N
9Y
As figuras apresentam os diagramas de Bode para a função de transferência em malha aberta de um determinado sistema
físico. A margem de ganho, em dB, e a margem de fase, em graus, valem, respectivamente:
J5
(A) 80 e 100
(B) 20 e 140
R
(C) 70 e 60
4T
(D) 40 e 80
(E) 60 e 120
T3
Resolução:
KS
◦
13 −180 determina a margem de ganho.
frequência(ω1 ) onde o gráfico de Fase vale
9Y
M G = 0 − ganho(ω1 ) = 0 − (−40) = 40
M F = f ase(ω2 ) + 180 = −100 + 180 = 80
Alternativa (D)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 104
Questão 71
(Eng. de Equipamentos Jr Eletrônica - Petrobras 2010/1)
41
H(jw)
dB
40
KS
10-1 100 10 1 10 2 w [rad/s]
N
A figura acima mostra diagramas de Bode em amplitude para uma função de transferência arbitraria H(s) de 2ª ordem. As
9Y
três curvas foram obtidas pela variação de um dado parâmetro do sistema. Sobre este diagrama, considere as seguintes
afirmativas:
J5
I - a curva que apresenta o pico máximo tem a menor razão de amortecimento;
R
II - a amplitude de 0 dB ocorre na frequência de 100 rad/s, para todas as curvas;
III - o sistema, cujo diagrama apresenta o pico máximo, tem os pólos sobre o eixo imaginário;
4T
IV - a Função de Transferência obedece ao seguinte limite: lim [H(s)] = 40, para todas as curvas.
s 0
T3
É(São) correta(s) APENAS a(s) afirmativa(s)
(A) I e II. (B) II e III. (C) III e IV. (D) I, II e III. (E) I , II e IV.
42
KS
30
Resolução:
Módulo [dB]
20
N
9Y
0
I - Verdadeiro. Quanto maior o pico, menor a razão de amortecimento.
-20
J5
180
Fase [Graus]
90
Logo, se na frequência de 10rad/s o módulo valia 40dB, na frequência de
T3
0
100rad/s (uma década depois) este valerá 0dB.
-90
0,01 0,1 1 10 100
Frequência [rad/s]
III - Falso. Para um sistema que possui pólos sobre o eixo imaginário, o valor do
KS
Considere os diagramas de Bode em Módulo e Fase, mostrados nas figuras acima. A função de transferência, cuja respos-
ta
IVem- frequência
Falso.mais
limse aproxima do diagrama, é
9Y
10 (s + 1) 10 (s - 1)
J5
s +1 s -1 10
(A) (B) (C) (D) (E) 2
2
s + 0,5s + 1 2
s + 2s + 10 2
s + 2s + 1 2
s + 0,5s + 1 Alternativa
s + 0,5s +(A)
1
R
4T
14
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
A figura acima mostra diagramas de Bode em amplitude para uma função de transferência arbitraria H(s) de 2ª ordem. As
três curvas foram obtidas pela variação de um dado parâmetro do sistema. Sobre este diagrama, considere as seguintes
afirmativas:
42
30
Módulo [dB]
20
-20
0,01 0,1 1 10 100
180
KS
Fase [Graus]
90
N
0
9Y
-90
0,01 0,1 1 10 100
J5
Frequência [rad/s]
R
4T
Considere os diagramas de Bode em Módulo e Fase, mostrados nas figuras acima. A função de transferência, cuja respos-
ta em frequência mais se aproxima do diagrama, é
T3
s +1 s -1 10 (s + 1) 10 (s - 1) 10
(A) (B) (C) (D) (E)
s2 + 0,5s + 1 s2 + 2s + 10 s2 + 2s + 1 s2 + 0,5s + 1 s2 + 0,5s + 1
KS
N
Resolução: 14
ENGENHEIRO(A) DE EQUIPAMENTOS JÚNIOR
9Y
ELETRÔNICA
Primeiramente analisamos o diagrama de fase. Como podemos ver, este
J5
que cada pólo do sistema contribui com −90◦ no diagrama de fase, cada zero no
4T
semiplano esquerdo contribui com +90◦ e cada zero no semiplano direito (fase não
T3
mínima) contrinui com −90◦ , concluímos que este sistema é de terceira ordem ou é
de segunda ordem com fase não mínima. Como todas as opções são de sistemas
KS
de segunda ordem, só nos resta concluir que o sistema é mesmo de segunda or-
dem com fase não mínima, ou seja, alternativas B ou D.
N
20Log|K| = 20
R
4T
|K| = 10
T3
Alternativa (D)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 106
Questão 73
(Eng. de Equipamentos Jr Eletrônica - Termoaçu 2008/1)
31
Módulo (dB)
Freqüência [rad/s]
KS
N
9Y
Fase (graus)
J5
R
4T
Freqüência [rad/s]
T3
Considerando os diagramas de Bode de módulo e de fase para um determinado sistema linear, a função de transferência H(s)
do sistema é
100.000(s - 1) 10.000(s + 1)
KS
(A) (B)
s 2 + 50s + 10.000 s 2 + 200s + 10.000
10.000(s - 1) 100.000(s + 1)
N
(C) (D)
s 2 + 200s + 10.000 s 2 + 50s + 10.000
9Y
1.000(s + 1)
(E)
J5
s 2 + 200s + 10.000
R
4T
32 Resolução: 33
Considere o sistema linear abaixo, descrito por seu modelo Um circuito oscilador gera uma onda-quadrada de 10 MHz
T3
em espaço de estados.
Primeiramente observamos o gráficocom
de50% de ciclode
Bode ativoFase.
e sem nível
NeleDC. Para obter-se uma
percebemos
senóide de 30 MHz a partir deste sinal gerado e usando
que há uma variação de fase de −270◦ (−90 − 180 = −270), logo sabemos que a
apenas um filtro, é estritamente necessário que este filtro
KS
alternativas possuem
Os pólos do sistema são sistemas de segunda(C)ordem, sabemos
passa-baixas que rejeiteentão
40 MHz que H(s)
e passe deve
30 MHz
(A) 1 e 2,5
ser de (D) passa-banda que rejeite 20 MHz e 40 MHz e passe
e 1fase não-mínima, o que nos deixa apenas com as alternativas (A) e (C).
J5
(B) 2
(C) 3 e 1,5 30 MHz
R
MHz
Agora verificamos qual alternativa, (A) ou (C), possui ganho estático igual a ±10.
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 107
Aplicando o Teorema do Valor Final fica fácil ver que a alternativa correta é a letra
(A). Conferindo:
100.000(s − 1) 1
K = lim s 2 × = −10
s→0 s + 50s + 10.000 s
KS
Fazendo o mesmo para a alternativa (C) chegamos a K = −1, que não é o valor
correto.
N
9Y
Alternativa (A)
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
KS
N
9Y
J5
R
4T
T3
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
_
função G s
Questão
estrutura de 74
(A) 5,6
63
10
s s 1
onde
-
Um Disk-driver magnético requer um motor para posicionar a cabeça de leitura do disco, cujo sistema é modelado pela
CONTROLE LINEAR www.concursopetrobraseng.com.br
=0,25 segundo. Considerando um compensador do tipo H s
Magnitude (dB)
50
50
(C) 38,4- Petrobras Distr. 2008)
(D) 384,0
do ganho K no limiar para a instabilidade?
Diagrama de Bode
30 dB
K
s8
(E) 820,0
108
e usando a
KS
100
N
9Y
150
90
J5
R
135
Fase (graus)
75
4T
180
T3
225
KS
270
1 2
10
2
10
1
10
0
10 10
N
Freqüência (rad/s)
9Y
Analisando o Diagrama de Bode da função de transferência em malha aberta de um sistema de 3a ordem com fase mínima
apresentado acima, pode-se afirmar que a margem de
J5
(D) fase é -75° e a margem de ganho é 30 dB, portanto, o sistema em malha fechada é instável.
4T
(E) fase é 75° e a margem de ganho é 30 dB, portanto, o sistema em malha fechada é estável.
T3
17
PROFISSIONAL JÚNIOR
Resolução: FORMAÇÃO: ENGENHARIA ELETRÔNICA
www.pciconcursos.com.br
KS
tiva.
R
4T
Alternativa (E)
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.
CONTROLE LINEAR www.concursopetrobraseng.com.br 109
Questão 75
(Eng. Equipamentos Jr Eletrônica - Petrobras 2011)
28
31
KS
O diagrama em bloco acima mos
N
sistema linear, discreto e causal, no
9Y
O gráfico acima mostra a curva de módulo do diagrama complexa z.
A função de transferência que rela
J5
de BODE da função de transferência . entrada é
R
Com base nesse gráfico, o valor do ganho K é
4T
(A) 100 (A)
(B) 80
T3
(C) 60
(D) 50
(E) 30
(B)
KS
Considere o enunciado a seguir para responder às
Resolução: questões de nos 29 e 30.
N
(C)
9Y
(D)
4T
K 1
y(∞) = lim s × 2 ×
s→0 s + 5s + 6 s
T3
29
As primeiras trêsK amostras da resposta ao impulso desse
y(∞) = (E)
sistema, g(0), g(1)6e g(2), são
KS
(A) 0, 2 e 3
Agora, pelo (B)
diagrama 32 o valor de
0, 2 e 8 de módulo de Bode, observamos que
Um sistema de controle discreto,
N
(C) 1, 2 e 3
regime permanente corresponde a 20dB (valor do módulo quando ω → 0), logo:
9Y
K transferência do compensador é
A expressão da resposta
Logao impulso
= 1desse sistema, válida
4T
Material de uso exclusivo do Comprador Cód. T34TRJ59YNKS. Sendo vedada, por quaisquer meios e a qualquer título, a sua
reprodução, cópia, divulgação e distribuição. Sujeitando-se o infrator à resposabilização civil e criminal.