A: N, N, N: Pplications Anodevices Anoelectronics AND Anosensors

Download as pdf or txt
Download as pdf or txt
You are on page 1of 20

77

Chapter 6

APPLICATIONS: NANODEVICES, NANOELECTRONICS, AND NANOSENSORS


Contact Persons: J. Jasinski, IBM; P. Petroff, University of California, Santa Barbara

6.1 VISION

In the broadest sense, nanodevices are the critical enablers that will allow mankind to
exploit the ultimate technological capabilities of electronic, magnetic, mechanical, and
biological systems. While the best examples of nanodevices at present are clearly
associated with the information technology industry, the potential for such devices is
much broader. Nanodevices will ultimately have an enormous impact on our ability to
enhance energy conversion, control pollution, produce food, and improve human health
and longevity.

6.2 CURRENT SCIENTIFIC AND TECHNOLOGICAL ADVANCEMENTS

Current Scientific Advances

In the past decade, our ability to manipulate matter from the top down, combined with
advances and in some cases unexpected discoveries in the synthesis and assembly of
nanometer-scale structures, has resulted in advances in a number of areas. Particularly
striking examples include the following:

• The unexpected discovery and subsequently more controlled preparation of carbon


nanotubes and the use of proximal probe and lithographic schemes to fabricate
individual electronic devices from these materials (Iijimi 1991; Guo et al. 1995; Tans
et al. 1997; Bockrath et al. 1997; Collins et al. 1997; Martel et al. 1998)
• The ability in only the last one or two years to begin to place carefully engineered
individual molecules onto appropriate electrical contacts and measure transport
through the molecules (Bumm et al. 1996; Reed et al. 1997)
• The explosion in the availability of proximal probe techniques and their use to
manipulate matter and thereby fabricate nanostructures (Stroscio and Eigler 1991;
Lyo and Avouris 1991; Jung et al. 1996; Cuberes et al. 1996; Resch et al. 1998)
• The development of chemical synthetic methods to prepare nanocrystals, and
methods to further assemble these nanocrystals into a variety of larger organized
structures (Murray et al. 1995)
• The introduction of biomolecules and supermolecular structures into the field of
nanodevices (Mao et al. 1999)
• The isolation of biological motors, and their incorporation into nonbiological
environments (Noji et al. 1997; Spudich et al. 1994)
78 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Current Technological Advances

A number of examples of devices in the microelectronics and telecommunications


industries rely on nanometer-scale phenomena for their operation. These devices are, in a
sense, “one-dimensional” nanotechnologies, because they are micrometer-scale objects
that have thin film layers with thicknesses in the nanometer range. These kinds of
systems are widely referred to in the physics and electronics literature as two-dimensional
systems, because they have two classical or “normal” dimensions and one quantum or
nanoscale dimension. In this scheme, nanowires are referred to as one-dimensional
objects and quantum dots as zero-dimensional. In this document, and at the risk of
introducing some confusion, we have chosen to categorize nanodevices by their main
feature nanodimensions rather than by their large-scale dimensions. Thus, two-
dimensional systems such as two-dimensional electron gases and quantum wells in our
notation are one-dimensional nanotechnologies, nanowires are two-dimensional
nanotechnologies, and quantum dots are three-dimensional nanotechnologies. Examples
include high electron mobility transistors, heterojunction bipolar transistors, resonant
tunneling diodes, and quantum well optoelectronic devices such as lasers and detectors.
The most recent success story in this category is that of giant magnetoresistance (GMR)
structures. These structures can act as extremely sensitive magnetic field sensors. GMR
structures used for this purpose consist of layers of magnetic and nonmagnetic metal
films. The critical layers in this structure have thicknesses in the nanometer range. The
transport of spin-polarized electrons that occurs between the magnetic layers on the
nanometer length scale is responsible for the ability of the structure to sense magnetic
fields such as the magnetic bits stored on computer disks. GMR structures are currently
revolutionizing the hard disk drive magnetic storage industry worth $30-40 billion/year
(Prinz 1998; Disktrend 1998, Gurney and Grochowski 1998; Grochowski 1998). Our
ability to control materials in one dimension to build nanometer-scale structures with
atomic scale precision comes from a decade of basic and applied research on thin film
growth, surfaces, and interfaces.
The extension from one nanodimension to two or three is not straightforward, but the
payoffs can be enormous. Breakthroughs in attempting to produce three-dimensional
nanodevices include the following:

• Demonstration of Coulomb blockade, quantum effect, and single electron memory


and logic elements operating at room temperature (Guo et al. 1997; Leobandung et al.
1995; Matsumoto et al. 1996)
• Integration of scanning probe tips into sizeable arrays for lithographic and mechanical
information storage applications (Lutwyche et al. 1998; Minne et al. 1996)
• Fabrication of photonic band-gap structures (Sievenpiper et al. 1998)
• Integration of nanoparticles into sensitive gas sensors (Dong et al. 1997)

6.3 GOALS FOR THE NEXT 5-10 YEARS: BARRIERS AND SOLUTIONS

In order to exploit nanometer-scale phenomena in devices, we must have a better


understanding of the electronic, magnetic, and photonic interactions that occur on and are
unique to this size scale. This will be achieved through experiment, theory, and modeling
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 79

over the next decade. In addition, new methods to image and analyze devices and device
components will be developed. These might include three-dimensional electron
microscopies and improved atomic-scale spectroscopic techniques.
Over the same time period, we believe that it will become possible to integrate
semiconductor, magnetic, and photonic nanodevices as well as molecular nanodevices
into functional circuits and chips.
The techniques now being developed in biotechnology will merge with those from
nanoelectronics and nanodevices. Nanodevices will have biological components.
Biological systems will be probed, measured, and controlled efficiently with
nanoelectronic devices and nanoprobes and sensors.
There will be significant progress in nanomechanical and nanobiomechanical systems,
which will exhibit properties that are fundamentally different from their macroscopic
counterparts.
There are important applications for instruments that will fly into space: nanocomponents
are needed to achieve overall instrument sizes in the micron or millimeter range
(http://www.ipt.arc.nasa.gov; http://www.cism.jpl.nasa.gov). Some of the same issues
apply to battlefield sensors for situational awareness.
Finally, a significant goal is the development of nanometer-scale objects that manipulate
and perform work on other nanometer-scale objects, efficiently and economically
achieving the same things we currently rely on scanning tunneling microscopy (STM) or
atomic force microscopy (AFM) to carry out. A first step towards this goal might be the
integration of nanometer-scale control electronics onto micromachines.

Paradigm Shifts

In the information technology arena, nanodevices will both enable and require
fundamentally new information processing architectures. Early examples of possible
architectural paradigm shifts are quantum computation (Shor 1994; DiVincenzo 1995;
Gershenfeld and Chuang 1997), quantum dot cellular automata (Lent and Tougaw 1997;
Orlov et al. 1997), molecular electronics (Ellenbogen and Love 1999), and computation
using DNA strands (Adleman 1994; Adleman 1998). Such architectures will
fundamentally change the types of information technology problems that can be attacked.
Effective implementation of these types of architecture will require nanodevices.
Other paradigm shifts include the emergence of quantized magnetic disks (Chou and
Krauss 1996); single photonic systems (Kim et al. 1999) that will allow efficient optical
communication; nanomechanical systems (Gimzewski et al. 1998); a broad class of
structures and devices that merge biological and non-biological objects into interacting
systems (Alivisatos et al. 1996; Mucic et al. 1998); and use of nanocomponents in the
shrinking conventional circuit architectures (Ellenbogen and Love 1999).
Research on nanodevices using nanoscale wiring and molecular logic, as well as new
principles for devices such as spin electronics, have made significant inroads in the past
year or two.
80 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

6.4 SCIENTIFIC AND TECHNOLOGICAL INFRASTRUCTURE

The exploration and fabrication of nanodevices requires access to sophisticated and


sometimes expensive tools. More and better access to such equipment as well as rapid
prototyping facilities is needed. Of equal importance is the recognition that success in
nanodevices will draw upon expertise from a broad range of traditional disciplines.
Therefore, it is imperative that programs be established that facilitate and strengthen
cross-fertilization among diverse disciplines and that allow rapid adoption of new
methods across field boundaries.

6.5 R&D INVESTMENT AND IMPLEMENTATION STRATEGIES

Nanodevices are in some ways the most complicated nanotechnological systems. They
require the understanding of fundamental phenomena, the synthesis of appropriate
materials, the use of those materials to fabricate functioning devices, and the integration
of these devices into working systems. For this reason, success will require a substantial
funding level over a long period of time. There is strong sentiment for single investigator
funding as well as for structured support of interdisciplinary teams.

6.6 CONCLUSIONS AND PRIORITIES


Priorities in Research and Development
• Development of new systems and architectures for given functions
• Study of interfaces and integration of nanostructures into devices and systems
• Multiscale, multiphenomena modeling and simulation of complex systems
Priorities in Modes of Support
• Establishment of consortia or centers of excellence for the research priorities
identified above, by using vertical and multidisciplinary integration from basic
research to prototype development
• Encouragement of system integration at the nanoscale in research and education

6.7 EXAMPLES OF CURRENT ACHIEVEMENTS AND PARADIGM SHIFTS

6.7.1 Organic Nanostructures: The Electrical Conductivity of a Single Molecule


Contact person: H. Goronkin, Motorola

By combining chemical self-assembly with a mechanical device that allows them to


break a thin gold wire with nanometer scale control, researchers have succeeded in
creating a “wire” consisting of a single molecule that can connect two gold leads (Figure
6.1). Using this structure, they have been able to begin to measure and study the
electrical conductivity of a single molecule.
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 81

(A) Goldwireprior tobreakage

AddTHFsolvent & benzene-1,4-dithiol


1 molecule
SAM 0.7 V

(B) Goldwire

SAM
Wirestretched until broken,
resultingin tipformation 9ROWDJH 9

Gold
(C) electrode
Gold
electrode 2 molecules
Au Au
0.7 V

Solvent evaporates, thentipsbrought Current

together until onset of conductance

Gold Gold
(D) electrode electrode
8.46Å 9ROWDJH 9

Figure 6.1. Organic nanostructures: on left, showing self-assembly of benzene-1,4-dithiol onto


Au electrodes; on right, showing room-temperature I-V measurements suggesting
presence of a Coulomb gap (reprinted with permission from Reed et al. 1997, ©1997
American Association for the Advancement of Science).

6.7.2 Molecular Electronics


Contact person: S. Williams, Hewlett-Packard

If the reduction in size of electronic devices continues at its present exponential pace, the
size of entire devices will approach that of molecules within a few decades. However,
well before this happens, both the physics upon which electronic devices are based and
the manufacturing procedures used to produce them will have to change dramatically.
This is because current electronics are based primarily on classical mechanics, but at the
scale of molecules, electrons are quantum mechanical objects. Also, the cost of building
the factories for fabricating electronic devices, or fabs, is increasing at a rate that is much
larger than the market for electronics; therefore, much less expensive manufacturing
process will need to be invented.
Thus, an extremely important area of research is molecular electronics, for which
molecules that are quantum electronic devices are designed and synthesized using the
batch processes of chemistry and then assembled into useful circuits through the
processes of self-organization and self-alignment. If molecular electronics achieves the
ultimate goal of using individual molecules as switches and carbon nanotubes as the
wires in circuits, we can anticipate nonvolatile memories with one million times the bit
area density of today’s DRAMs and power efficiency one billion times better than
conventional CMOS circuitry. Such memories would be so large and power-efficient
that they could change the way in which computation is performed from using processors
to calculate on the fly to simply looking up the answer in huge tables.
A major limitation of any such process is that chemically fabricated and assembled
systems will necessarily contain defective components and connections. This limitation
was addressed in a 1998 paper entitled “A Defect-Tolerant Computer Architecture:
82 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Opportunities for Nanotechnology” (Heath et al. 1998). By describing a silicon-based


computer that was designed to operate perfectly in the presence of huge numbers of
manufacturing defects, researchers from Hewlett-Packard (HP) and the University of
California–Los Angeles (UCLA) presented an architectural solution to the problem of
defects in molecular electronics, as described in Figure 6.2, and thus demonstrated in
principle that manufacture by chemical assembly is feasible.
Front View

Address lines

Memory
Oblique view

Data lines
Regular Tree Fat Tree

a) Tree Architectures b) The Crossbar


Figure 6.2. The logical design of a defect-tolerant circuit: (a) shows a “fat tree” architecture in which every
member of a logical level of the tree hierarchy can communicate with every member at the
next level; in the case of a defective component, this structure enables one to route around and
avoid the defect; (b) shows how this architecture is implemented using cross bars, which are
very regular structures and look like something that can be built chemically. The complexity
required for a computer is programmed into the cross bars by setting the switches to connect
certain elements of the tree together. Using silicon circuitry, two completely separate sets of
wires (address and data lines) are required for the cross bars, and seven transistors are required
for each switch, since a continual application of electrical power is required to hold the sense
of the switches.

In 1999, researchers from HP Labs and UCLA experimentally demonstrated the most
crucial aspect for such a system, an electronically addressable molecular switch that
operates in a totally “dry” environment (Collier et al. 1999). As illustrated in Figure 6.3,
logic gates were fabricated from an array of configurable molecular switches, each
consisting of a monolayer of electrochemically active rotaxane molecules sandwiched
between metal electrodes.

Figure 6.4 illustrates the operation of the switches. In the “closed” state, current flow is
dominated by resonant tunneling through the electronic states of the molecules. The
switches are irreversibly opened by applying an oxidizing voltage across the device. In
this case, since the memory of the molecules is not volatile, only one set of wires is
needed to set and read the state of the molecules, and in principle, one molecule can
replace seven transistors in a conventional silicon circuit. In the demonstration, several
devices were configured together to produce AND and OR logic gates. The high/low
current levels of those gates were separated by factors of 15 and 30, respectively, which
is a significant enhancement over that for conventional wired-logic gates.
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 83

Figure 6.3. The atomic structure of one of the molecular switches used in the devices described above,
which is known as a rotaxane (F. Stoddart, UCLA). This molecule conducts via resonant
tunneling through unoccupied molecular orbitals when it is in its reduced chemical state
(switch closed), but it is a tunneling barrier in its oxidized state (switch open). The switch
can be closed electronically in a solid-state circuit by applying the appropriate voltage across
the molecule (Balzani et al. 1998; Credi et al. 1997).

6 Switch Closed
Current (x 10-10 Amps)

4
Oxidize Rotaxane
(open switch)
2 Switch Open (x 20)

-2 -1 0 1 2
Voltage

Figure 6.4. The current-voltage (I-V) characteristic of a large number of molecular switches is shown
in both the “on” and “off” states. Initially, the molecular switches are closed, and applying
a negative voltage across the molecules results in a “large” current flow that varies
exponentially with the magnitude of the applied voltage. This portion of the I-V curve is
highly reproducible until the potential across the molecule exceeds +1 V. This voltage
irreversibly oxidizes the switches, and after this process, applying a negative voltage
results repeatedly in a “small” current, demonstrating that the switch is open.

6.7.3 Molecular Logic


Contact persons: J.M. Tour, Rice University, and M. Reed, Yale University

Electron transport studies in molecular-scale systems have recently become possible with
the utilization of advanced microfabrication and self-assembly techniques (Aviram and
Ratner 1998; Petty et al. 1995). Investigations are now possible of the electronic
conduction through conjugated molecules that are end-bound onto surfaces; these have
been demonstrated with a scanning tunneling microscope (Bumm et al. 1996), with
micromachined silicon nanopores (Zhou et al. 1997), and with proximal probes (Reed et
84 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

al. 1997; Kergueris 1999). Work on the proximal probes demonstrated that 0.1 microamp
of current can be transported through a single molecule (Reed et al. 1997). However, in
all of the past embodiments, the electronic properties exhibit simple diodic behavior that
is unsuitable for potential circuit applications. Researchers recently observed the first
large and useful reversible switching behavior in an electronic device that utilizes
molecules as the active component. That work is disclosed here (Chen et al. 1999).
The essential feature of the fabrication process is the employment of nanoscale device
area that gives rise to a small number of self-assembled molecules (ca. 1,000), which
eliminates pinhole and other defect mechanisms that hamper through-monolayer
electronic transport measurements. This technique has demonstrated good control over
the device area and intrinsic contact stability and produces a large number of devices with
acceptable yield so that statistically significant results can be produced (Figure 6.5).

[This figure not available online until May 2000; please see printed report or CD-ROM
version.]

Figure 6.5. Schematics of device fabrication: (a) cross section of a silicon wafer with a nanopore etched
through a suspended silicon nitride membrane; (b) Au-SAM-Au junction in the pore area;
(c) blowup of the active SAM region with compound 1c sandwiched in the junction; (d) SEM
micrograph of pyramid Si structure after unisotropic Si etching, i.e., the bottom view of (a);
(e) SEM micrograph of etched nanopore through silicon nitride membrane (reprinted with
permission from Chen et al. 1999, ©1999 American Association for the Advancement of Science).

The active electronic component (synthesis shown in Figure 6.6) was made from an
organic compound upon exposure to Au. Figure 6.7 illustrates the I-V characteristics of
the Au-(1c)-Au devices at 60 K. The I-V is fully reversible upon change in bias sweep
direction. This is the first observation of robust and large negative differential resistance
(NDR) in a device where molecules form the active region with peak-to-valley-ratios
(PVRs); and the PVRs here are >1000:1. The performance exceeds that observed in
typical solid state quantum well resonant tunneling heterostructures. Therefore, in
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 85

addition to the obvious size advantages for scaling, the intrinsic device characteristics
(i.e., valley current shutoff) may be superior to solid state embodiments; present silicon
devices rarely exceed PVRs of 100:1.

NH2 NHAc NHAc


H
1. Ac2O, 88%
Br Br Br Br Br
2. HNO3 H2SO4 Pd(PPh3)2Cl2, PPh3
69% CuI, NEt3, 42%
O2N 3 O2N
2

NH2
1. HCl (3M), THF, 100%
Z
2. AcS H
O2N
Pd(PPh3)2Cl2, PPh3 1a, Z = SCOCH3
CuI, NEt3, 67% NH4OH
1b, Z = SH
1c, Z = S

Figure 6.6. Schematic of the synthesis of the active molecular compound and its precursors (1a-c).

[This figure not available online until May 2000; please see printed report or CD-ROM
version.]

Figure 6.7. I-V characteristics of the Au-(2’-amino-4-ethynylphenyl-4’-ethynylphenyl-5’-nitro-1-


benzenethiolate)-Au devices at 60 K. The peak current density is ~50 A/cm2, the NDR is ~ -
400 µΩ-cm2, and the PVR is 1030:1 (reprinted with permission from Chen et al. 1999,
©1999 American Association for the Advancement of Science).

6.7.4 A Field-Effect Transistor Made from a Single-Wall Carbon Nanotube


Contact person: P. Avouris, IBM Research

Several research groups around the world have succeeded in fabricating electrical
switches such as the field-effect transistor from single-walled carbon nanotubes. In the
case illustrated in Figure 6.8, a single-walled carbon nanotube 1.6 nm in diameter was
manipulated into place using an atomic force microscope. Once placed on the metal
contacts, the semiconducting tube behaved like the channel in a field-effect transistor,
turning on or off depending on the applied gate voltage. Nanotubes hold great promise as
electronic elements for a variety of different nanostructures. Researchers are just
beginning to understand how they conduct electricity and how to place them into
appropriate device structures. It is interesting to note that both the atomic force
microscope used to fabricate this structure and the carbon nanotubes that form the critical
element were developed only in the past decade (Martel et al. 1998).
86 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Figure 6.8. Field-effect transistor based on a single 1.6 nm diameter carbon nanotube (adapted from
Martel et al. 1998, reprinted by permission; ©1998 American Institute of Physics).

6.7.5 A Commercial IBM Giant Magnetoresistance Read Head


Contact person: E. Grochowski, IBM

When certain kinds of materials systems are exposed to a magnetic field, their electrical
resistance changes. This effect, called the magnetoresistive effect, is useful for sensing
magnetic fields such as those in the magnetic bits of data stored on a computer hard
drive. In 1988, the giant magnetoresistance effect was discovered in specially prepared
layers of nanometer-thick magnetic and nonmagnetic films. By 1991, work at the IBM
Almaden Research Center demonstrated that the GMR effect could be observed in easily
made samples and that a special kind of GMR structure, a spin valve, could sense very
small magnetic fields. This opened the door to the use of GMR in the read heads for
magnetic disk drives. IBM first announced a commercial product based on this design in
December 1997. In the spin valve GMR head shown in Figure 6.9, the copper spacer
layer is about 2 nm thick, and the cobalt GMR pinned layer is about 2.5 nm thick. The
thickness of these layers must be controlled with atomic precision.

Figure 6.9. Commercial IBM giant magnetoresistance read head.


6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 87

6.7.6 Nanoelectronic Devices


Contact person: G. Pomrenke, Defense Advanced Research Projects Agency (on detail
from Air Force Office of Scientific Research)

Nanoelectronics offers a broad set of opportunities by focusing on quantum devices and


addressing their potential for high performance through increases in density (factors of
5 to 100), speed (factors of 10 to 100), and reduced power (factors of more than 50) (see
Figure 6.10). Resonant tunneling devices are being explored with demonstrated
successes in multivalued logic and various logic circuits and memory circuits. SET logic
and memory concepts are being explored with focus on memory applications. Molecular
electronics and self-assembly approaches have shown a path towards manufacturing
alternatives and device options for regimes beyond traditional scaling. Spin devices in
the form of nanomagnetics using the magnetoresistive effect in magnetic multilayers
have demonstrated their use for nonvolatile, radiation-hard memory. Quantum cellular
automata and coupled quantum dot technology are being explored and their potential
assessed for transistorless computing. By exploring Si-based heterojunctions, bandgap
engineering, vertical device structures, and quantum devices, inroads are being made into
extending CMOS capabilities. Potential applications are in digital radar, electronic
support measures (ESM) receivers, ATM data stream processing, wide bandwidth
communications, digital image processing, waveform generation, and the broad area of
analog to digital (A/D) applications. Demonstrations have shown the efficacy of resonant
tunneling devices in various network environments. The long-term vision for
nanoelectronics sees the use of quantum devices in other high performance systems
especially in telecommunications for signal processors and electronics for A/D converters
in detectors.
Nano -
magnetics
• A/D converters
• Matched filters
• Adders
• Sample and hold
D1
Vdiff Quantum Dots • Memory
D2

Quantum • HIGH SPEED


Cellular • INCREASED
Automata FUNCTIONALITY • LOW POWER
• HIGH
Polysilicon Dot Single PERFORMANCE •HIGH DENSITY
Silicon Electron
Channel Memory
Extended
CMOS SiGeC Engineering • Radar receivers
Without Sb
• Missile seekers
With Sb
Predeposit Predeposit • Satellite-
communications
Resonant • Network data
Tunneling Diodes
transfer
AcS CH2 CH2 SAc • EW receivers
• Image processing
Molecular Electronics /pattern recognition

Figure 6.10. Nanoelectronics: device and architecture options for high-performance electronics.
88 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

6.7.7 Resonant Tunneling Devices in Nanoelectronics


Contact person: G. Pomrenke, Defense Advanced Research Projects Agency (on detail
from Air Force Office of Scientific Research)

Resonant tunneling and other tunneling devices have had a history spanning almost three
decades; however, it was not until 1997 that these devices could be seriously considered
as part of functional circuits. The crucial technology for advancing these quantum
devices has been epitaxial growth and process control at the nanoscale. This has meant
control at the atomic layer level, resulting in flexible manufacturing, long-term process
repeatability, and first-pass success. The resonant tunneling diode (RTD) consists of an
emitter and collector region, and a double-tunnel barrier structure that contains a quantum
well, as shown in the energy band diagrams of Figure 6.11. This quantum well is so
narrow (5-10 nm) that it can only contain a single so-called “resonant” energy level.

Figure 6.11. Resonant tunneling device (Moffat 1999).

The principle of this device is that electrons can travel from the emitter to the collector
only if they are lined up with this resonant energy level. Initially, with a low voltage
across the device (at point A), the electrons are below the point of resonance, and no
current can flow through the device. As the voltage increases, the emitter region is
warped upwards, and the collector region is warped downwards. Eventually, the band of
electrons in the emitter line up with the resonant energy state and are free to tunnel
through to the right. This gives an increase in the current up to the peak at point B. As
the voltage across the device increases, the electrons are pushed up past the resonant
energy level and are unable to continue tunneling. This can be observed by the drop in
current to the valley at point C. As the voltage continues to increase, more and more
electrons are able to flow over the top of the tunnel barriers, and the current flow rises.
The current-voltage characteristic of this device is similar to that of the Esaki tunnel
diode, in that it exhibits a peak and a valley in the curve. The difference is that RTDs
have a much lower device capacitance, which allows them to oscillate faster, and their
current-voltage characteristics (i.e., the positions of the peak and the valley) can be
shaped with the appropriate bandgap engineering.
DARPA’s Ultra Electronics Program accomplished the invention and simulation of a
compact adder circuit with GHz speeds using redundant digit, multivalued logic, and the
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 89

world’s first demonstration of an integration process for yielding the core circuit elements
needed for adders (see Figure 6.12), signal processors, and multivalued logic circuits.
The technology developed was subsequently transferred into circuit development efforts,
which have led to the demonstration of a 4 bit 2 GHz analog-to-digital converter, 3 GHz
(40 dB spur-free dynamic range) clocked quantizer, 3 GHz sample and hold (55 dB
linearity), clock circuits, shift registers, and ultralow power SRAM (50 nW/bit)
(Seabaugh 1998). The “invention” of functional devices based on quantum confinement
occurred in the early 1980s. In the optoelectronic area a good example is the self-electro-
optic effect device (SEED), based on the quantum-confined Stark effect, for photonic
switching applications. Another example is the vertical cavity surface-emitting laser
(VCSEL), the backbone of optical communications. The technology offers two-fold
speed increases, almost 10 times lower component counts, and 10 to 2,000 times lower
power over conventional devices.

Resonant Tunneling
Adder Core

VDD

D
1RTD

In D Out

S
4RTD

Figure 6.12. Resonant tunneling adder core (Seabaugh 1998).

6.7.8 Nanodevices and Breakthroughs in Space Exploration


Contact person: N.B. Toomarian, Jet Propulsion Laboratory

After more than three decades of exploring space, the National Aeronautics and Space
Administration (NASA) has completed an initial reconnaissance of our solar system. The
next missions will involve sending spacecraft to destinations that are much more difficult
to travel to, like the Sun or Pluto. Also, spacecraft will be required to perform more
difficult tasks, such as landing on a celestial body, collecting a sample of its material, and
returning it to Earth. To carry out such technically challenging missions at an affordable
cost, NASA has created the Deep Space Systems Technology Program, known as X2000.
Every two to three years starting in the year 2000, the program will develop and deliver
advanced spacecraft systems and body structures to missions bound for different areas of
the solar system and beyond. In order to achieve reduction in the size of spacecraft, the
avionics systems of the spacecraft are being reduced in size with each delivery of X2000,
in part by means of integrating nanotechnology with microtechnology. Figure 6.13
attempts to chart the forecasts of the mass, volume, and power of future avionics systems
of spacecraft. The leftmost column shows the Mars Pathfinder spacecraft, which
represents the current state of the art.
90 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Vol.: 50,000 cc Vol.: 10,000 cc Vol.: 1,000 cc Vol.: 10 cc Vol.: 1 cc


Mass: 80 kg (ss) Mass: 40 kg (ss) Mass: 1 kg (ss) Mass: 10 gr Mass: 2 gr
Power: 300 watts Power: 150 watts Power: 30 watts Power: 5 watts Power: 50 mw

Mars X2000
Pathfinder 1st Delivery 3rd Delivery 5th Delivery Future

State of
the Art 2003 2010 2020 2030

Figure 6.13. Avionics roadmap.

The first delivery for X2000 is an integrated avionics system that subsumes the
functionalities of command and data handling, attitude control, power management and
distribution, and science payload interface. Advanced packaging technologies as well as
advanced design automation techniques are used to define a highly integrated, modular,
building-block architecture for highly reliable and long-term survivable deep-space
planetary missions. Advanced low-power techniques and architectures will drastically
reduce overall power consumption compared to currently available flight hardware.
“System On A Chip” (SOAC) will prototype single-chip and multichip module solutions
that lead towards an avionics system on a chip. This chip will integrate the avionics
system that is being developed for the X2000 avionics deliverable. That is, the chip will
include power management, sensor technology, and telecommunications modules,
together with CPU and storage technology. To accomplish this, nanotechnology will be
needed to miniaturize and integrate the different subsystems.
The goal for the year 2020 is to establish and maintain a world-class program to research
revolutionary computing technologies (RCT) that will not only take us beyond the limits
of semiconductor technology scaling but also will enable the vision of a “thinking
spacecraft.” A thinking spacecraft would be a totally autonomous, highly integrated,
extremely capable spacecraft that operates at ultralow power. To achieve this goal,
without a doubt, we need to employ nanoscience. In spite of the phenomenal advances in
digital computing in recent years and those expected in the near future, even future
supercomputers cannot compete with biological systems in performing certain ill-defined
tasks such as pattern recognition, sensor fusion, fault-tolerant control, and adaptation to
the environment. Biological systems address these types of problems with extreme ease
and very low power. The forth column from the left in Figure 6.10 (Fifth Delivery)
depicts two different technologies based on nanoscience that may have a great impact on
the capabilities of our spacecraft by the year 2020:
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 91

• Quantum computing, that is, a joint venture between computer science and quantum
physics. Although, the concept of a quantum computer is simple, its realization is
not. Two issues motivate quantum computing:
- Quantum mechanical concepts must be applied to solve intractable (NP-complete)
computing problems.
- From a computer miniaturization point of view, the size limit of a bit of
information is important. Recently, this issue has attracted increased attention,
due to the current development of nanotechnology and the design problems of
semiconductor and metal devices that are approaching the quantum size limit.
Consequently, the idea of quantum computing, in which the elements that carry
the information are atoms, has attracted the attention of many scientists.

• Biomimetics, that is, systems or technologies inspired by architectures, functions,


mechanisms, and principles found in biological systems, for example:
- One gram of DNA could possibly store all the data in the Library of Congress.
- The human brain contains about 1014 interconnects and operates at 1016 operations
per second, using ultra low power and imprecise computing elements.
- Humans are endowed with an immune system that provides recovery from
illness—a “self-repair system.”
As devices become smaller, lighter, and consume less power, NASA will be able to
design and fly space probes on missions that are not currently possible.

6.7.9 A Biological Nanodevice for Drug Delivery


Contact person: S. Lee, Monsanto Corporation

The nanobiological anticancer agent PK1 (Figure 6.14) exploits the enhanced
permeability and retention (EPR) effect associated with disease tissues with low integrity
vasculature in order to deliver cytotoxin (doxorubicin) to tumors. The synthetic
backbone of PKI (N-2-(hydroxypropyl) methacrylimide or HPMA) gives the complex a
size (diameter in the mid-nanometer range) that makes it unable to extravasate efficiently
into healthy tissues with normal vasculature. Tumor vasculature is abnormally
permeable, allowing preferential accumulation of PK1 in tumor tissue. HPMA-bound
doxorubicin is non-toxic, limiting toxicity to healthy tissue, and active doxorubicin is
released from the complex preferentially in tumor tissues. The labile peptidic linker
tethering doxorubicin to HPMA was selected because it is the substrate for a protease
known to be over-expressed in the target tumor types. PK1 increases the tolerated
doxorubicin dose by more than an order of magnitude by virtue of EPR-based targeting
and its engineered tumor-preferred doxorubicin release properties. It is in human clinical
trial in Europe. Contemplated embellishments to this and similar polymer therapeutics
include use of monodisperse nanopolymers (dendritic polymers) to enhance control of
EPR properties, incorporation of protein docking domains that recognize tumor
associated antigens to tether the complex following its delivery to the tumor, and
incorporation of additional antitumor agents thought to have synergistic effects with
cytotoxins, that is, angiostatic agents, among others (Duncan 1997).
92 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Doxorubicin

Labile
Linker

N-(2-Hydroxypropyl)
methacrylimide (HPMA) backbone
Figure 6.14. The nanobiological anticancer agent PK1 (Lee 1998).

6.7.10 Nanotechnology on a Chip: A New Paradigm for Total Chemical Analysis


Systems
Contact person: T.A. Michalske, Sandia National Laboratories

The ability to make chemical and biological information much cheaper and easier to
obtain is expected to fundamentally change healthcare, food safety, law enforcement,
national security, and many other areas of direct interest to the American public. The
vision of broadly available chemical analysis is fueling an international effort to develop
“µChemLabTM-on-a-chip” technology. Micro-total analysis systems (µ-TAS, as they are
often referred to) are distinguished from simple sensors because they conduct a complete
analysis; a raw mixture of chemicals goes in and an answer comes out. Sandia National
Laboratories is developing a hand-held µChemLabTM demonstrator that will analyze for
air-born chemical warfare agents and liquid-based explosives agents. The µChemLabTM
development project brings together an interdisciplinary team of about 50 staff members
from throughout the laboratory in areas of expertise including microfabrication, chemical
sensing, microfluidics, and information sciences. Although nanotechnology plays an
important role in current µTAS efforts, most µTAS approaches use miniaturized versions
of conventional architecture and components to achieve system tasks. Small valves,
pipes, pumps, separation columns, etc. are patterned after their macroscopic counterparts.
Even though we are finding that these miniaturized components can work as well as (and
sometimes better than) their macroscopic analogs, they simply will not allow for the
vision of chemical laboratories in a grain of sand.
Nanotechnology will enable a completely new architecture, or nano-TAS. The ability to
build materials with switchable molecular functions could provide completely new
approaches to valves, pumps, chemical separations, and detection. For example, fluid
streams could be directed by controlling surface energy without the need for a
predetermined architecture of physical channels. Switchable molecular membranes and
the like could replace mechanical valves. By eliminating the need for complex fluidic
networks and micro-scale components used in current µ-TAS efforts, nano-TAS is a
fundamentally new approach to allow greater function in much smaller, lower power total
chemical analysis systems.
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 93

6.7.11 The Development of Useful Nanotech Robotic Systems


Contact person: M.W. Tilden and T.C. Lowe, Los Alamos National Laboratory

It is potentially feasible to manufacture nano-robots that are capable of sophisticated


symmetric behaviors, either through independent function or by assembling themselves
into collective units. Imagine, for example, high-resolution video screens that can repair
themselves simply by having a microscopic robot at each screen element. These
“pixelbots” would be capable of producing light, but also be smart enough to remove
themselves from the video array should they ever fail. Other pixelbots would sense the
vacancy left by any defective device and reorganize themselves to fill the hole. Another
example is the incorporation of autonomous “nurse” robots into the human body that are
chemically benign but are capable enough to remove cancer cells at the source. Having
the ability to discriminate between healthy and cancerous cells, the “nurse-bots” would
function independently to continuously heal tissue in ways beyond the current ability of
the human body. The same notion can be implemented in self-optimizing silicon
memories or processors, where a blown transistor would mean one just had to wait for the
computer to heal itself.
One key to establishing such capabilities is research into autonomous self-assembled
systems. Researchers at Los Alamos National Laboratory are exploring these systems by
creating very inexpensive macro-scale robots. These sense and adapt to their
environment, including assimilating other robots to execute such tasks as searching for
and marking the location of unexploded land mines (Figure 6.15). The capabilities of
these intelligent cellular systems are readily scaled, providing untapped possibilities for
large numbers of inexpensive nano-machines to become microscopic building blocks for
heretofore unimaginable functions—a form of “nano-Lego” for the new millennium with
novel, untapped market potential.

Figure 6.15. Models for nanoscale: Three-inch-diameter self-assembled robots mark the
spot where an unexploded mine rests under the surface. Such robots are
cheap, solar-powered, and have no processor to make application or
miniaturization difficult.

6.7.12 Integrated Nanotechnology in Microsystems


Contact person: S.T. Picraux, Sandia National Laboratories

Advances in nanotechnology will have a profound effect on the future of integrated


microsystems. The integration of microelectronic, microelectromechanical, optical, and
94 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

chemical microsensors into “systems on a chip” is an area that may involve mechanical,
optical, and/or chemical functions as well. As illustrated in Figure 6.16, these advances
will make possible miniaturized systems that sense, think, talk (communicate), and act.
However, these microscale systems will only become a reality if enabled by the control
of performance at the nanoscale. Thus, for example, advances in micro-
electromechanical systems (MEMS) and photonics shown in the figure depend on
discoveries in nanoscience and nanoscale fabrication.

Sense
smart
sensors
self-assembled
Preconcentrate Separate Sense
monolayers
µChemLab™
Think
radiation
custom
physics
µprocessor

Talk nanosatellites
optical
communication
strained-layer
semiconductors

Act robugs
I-MEMS
atomic actuators
microscopy

Figure 6.16. The control of mechanical, electrical, optical, and chemical properties at the nanoscale
will enable significant improvements in integrated microsystems.

6.8 REFERENCES
Adleman, L. 1994. Molecular computation of solutions to combinatorial problems. Science 266:1021.
_____. 1998. Computing with DNA. Scientific American 279:34.
Alivisatos, A.P., et al. 1996. Organization of ‘nanocrystal molecules’ using DNA. Nature 382:609.
Aviram, A., and M. Ratner, eds. 1998. Molecular electronics: Science and technology. Annals of the New
York Academy of Sciences, Vol. 852. New York: New York Academy of Sciences.
Balzani, V., M. Gomez-Lopez, and J.F. Stoddart. 1998. Molecular machines. Acc. Chem. Res. 31:405.
Bockrath, M., et al. 1997. Single electron transport in ropes of carbon nanotubes. Science 275:1922.
Bumm, L.A., J.J. Arnold, M.T. Cygan, T.D. Dunbar, T.P. Burgin, L. Jones II, D.L. Allara, J.M. Tour, and
P.S. Weiss. 1996. Are single molecular wires conducting? Science 271:1705-1707.
Chen, J., M.A. Reed, A.M. Rawlett, and J.M. Tour. 1999. Large on-off ratios and negative differential
resistance in a molecular electronic device. Science 286:1550-1552.
Chou, S., and P.R. Krauss. 1996. Quantum magnetic disk. J. Magn. Magn. Mater. 155:151.
Collier, C.P., E.W. Wong, M. Belohradský, F.M. Raymo, J.F. Stoddart, P.J. Kuekes, R.S. Williams, and
J.R. Heath. 1999. Electronically configurable molecular-based logic gates. Science 285:391-394.
Collins, P.G., A. Zettl, H. Bando, A. Thess, and R.E. Smalley. Nanotube nanodevice. Science 278:100.
6. Applications: Nanodevices, Nanoelectronics, and Nanosensors 95

Cuberes, M.T., et al. 1996. Room temperature repositioning of individual C60 molecules at Cu steps:
Operation of a molecular counting device. Appl. Phys. Lett. 69:3016.
Credi, A., V. Balzani, S.J. Langford, and J.F. Stoddart. 1997. Logic operations at the molecular level. An
XOR gate based on a molecular machine. J. Am. Chem. Soc. 119:2679.
Disktrend. 1998. http://www.disktrend.com.
DiVincenzo, D. 1995. Quantum computation. Science 270:255.
Dong, L.F., et al. 1997. Gas sensing properties of nano-ZnO prepared by arc plasma method. Nanostruct.
Mater 8:815.
Duncan, R. 1997. Polymer therapeutics for tumor specific delivery. Chemistry and Industry 7:262-264.
Ellenbogen, J.C. and J.C. Love. 1999. Architectures for molecular electronic computers: 1. Logic
structures and an adder built from molecular electronic diodes. McLean, VA: The MITRE
Corporation, Report MP 98W0000183. July. See also references cited in the extensive bibliography in
this work. (Available on the Internet at: http://www.mitre.org/technology/nanotech/.)
Gershenfeld, N., and I.L. Chuang. 1997. Bulk spin resonance quantum computation. Science 275:350.
Gimzewski, J.K., et al. 1998. Rotation of a single molecule within a supramolecular bearing. Science
281:531.
Grochowski, E. 1998. Emerging trends in data storage on magnetic hard disk drives. Datatech, 11.
Guo, L.J., et al. 1997. A single electron transistor memory operating at room temperature. Science
275:649.
Guo, T. et. al. 1995. Catalytic growth of single walled nanotubes by laser vaporization. Chem. Phys. Lett.
243:49.
Gurney, B., and E. Grochowski. 1998. Spin-valve sensors take up where MR heads leave off. Data
Storage, September, 59.
Hasslacher, B., and M.W. Tilden. 1995. Living machines. In Robotics and autonomous systems: The
biology and technology of intelligent autonomous agents. Ed. L. Steels. Elsevier (LAUR-94-2636).
Heath, J.R., P.J. Kuekes, G.S. Snider, and R.S. Williams. 1998. A defect-tolerant computer architecture:
Opportunities for nanotechnology. Science 280:1716-1721.
Iijima, S. 1991. Helical microtubules of graphitic carbon. Nature 354:56.
IPGA. N.d. http://ipga.phys.ucl.ac.uk/research/arrays/rtt-paper.html#21.
IPT. N.d. http://www.ipt.arc.nasa.gov.
Jung, T.A., et al. 1996. Controlled room-temperature positioning of individual molecules: Molecular
flexure and motion. Science 271:181.
Kergueris, C., et al. 1999. Electron transport through a metal-molecule-metal junction. Phys. Rev. B
59:12505.
Kim. J., et al. 1999. A single-photon turnstile device. Nature 397:500.
Lee, S.C. 1998. The nanobiological strategy for construction of nanodevices. In Lee, S.C. and L. Savage
(eds.), Biological molecules in nanotechnology: the convergence of biotechnology, polymer chemistry
and materials science. Southborough, MA: IBC Press.
Lent, C., and D. Tougaw. 1997. A device architecture for computing with quantum dots. In Proc. IEEE
85:541.
Leobandung, E., et al. 1995. Observation of quantum effects and Coulomb blockade in silicon quantum
dot transistors at temperatures over 100K. Appl. Phys. Lett. 67:938.
Lutwyche, M., et al. 1998 . Microfabrication and parallel operation of 5x5 AFM cantilever arrays for data
storage and imaging. In Proc. MEMS98, IEEE 11th Annual International Workshop on MEMS, 8.
96 6. Applications: Nanodevices, Nanoelectronics, and Nanosensors

Lyo, I-.W., and Avouris, P. 1991. Field-induced nanometer to atomic-scale manipulation of silicon
surfaces with the STM. Science 253:173.
Mao, C., et al. 1999. A nanomechanical device based on the B-Z transition of DNA. Nature 397:144.
Martel, R., T. Schmidt, H.R. Shea, T. Hertel and P. Avouris. 1998. Single- and multi-wall carbon
nanotube field effect transistors. Appl. Phys. Lett. 73(17):2447.
Matsumoto, K., et al. 1996. Room temperature operation of a single electron transistor made by the STM
nanooxidation process for the TiOx/Ti system. Appl. Phys. Lett. 68:34.
Minne, S.C., et al. 1996. Independent parallel lithography using the AFM. J. Vac. Sci. Technol B 14:2456.
Moffat, C. 1999. University College, London (personal communication).
Mucic, R.C., et al. 1998. DNA-directed synthesis of binary nanoparticle network materials. J. Amer.
Chem. Soc. 120:12674.
Murray, C.B., et al. 1995. Self organization of CdSe nanoparticles into three dimensional quantum dot
superlattices. Science 270:1335.
Noji, H., et al. 1997. Direct observation of the rotation of F1-ATPase. Nature 386:299.
Orlov, A.O., et al. 1997. Realization of a functional cell for quantum dot cellular automata. Science
277:928.
Petty, M.C., M.R. Bryce and D. Bloor, eds. 1995. An introduction to molecular electronics. New York:
Oxford University Press.
Pomrenke, G.S. N.d. http://web-ext2.darpa.mil/mto/ULTRA.
Prinz, G.A. 1998. Device physics—magnetoelectronics. Science 282:1660.
Reed, M.A., et al. 1997. Conductance of a molecular junction. Science 278:252.
Requicha, A. 1999. Nanorobotics on surfaces. In IWGN Workshop Proceedings, January 27-29, 1999.
(personal communication).
Resch, R., et al. 1998. Manipulation of nanoparticles using dynamic force microscopy: Simulation and
experiment. Appl. Phys. A 67:265.
Seabaugh, A. 1998. http://www.darpa.mil/mto/ultra/98Overview/Raytheon-29.html.
Shor, P. 1994. Algorithms for quantum computation: Discrete logarithms and factoring. In Proc. 35th
Annu. Symp. Foundations of Computer Science, 124.
Sievenpiper, D.F., et al. 1998. 3D metallo-dielectric photonic crystals with strong capacitive coupling
between metallic islands. Phys. Rev. Lett. 80:2829.
Spudich, J.A. 1994. How molecular motors work. Nature 372:515.
Stroscio, J.A., and Eigler, D. 1991. Atomic and molecular manipulation with the STM. Science 254: 1319.
Tans, S.J., et al. 1997. Individual single-wall carbon nanotubes as quantum wires. Nature 386:474.
Tilden, M.W. 1998. Autonomous biomorphic robots as platforms for sensors. Report Number ‘LA-UR--
96-3222. U.S. Information Bridge, DOE Office of Scientific and Technical Information (OSTI).
http://www.doe.gov/EnergyFiles/, Jan 21.
_____. 1999. Analysis of living biomech machines using minimal chaotic control. In AROB 99
proceedings, The Fourth International Symposium on Artificial Life and Robotics. University of
Beppu, Japan, Jan 19: 99-101.
Zhou, C., M.R. Deshpande, M.A. Reed, L. Jones II, and J.M. Tour. 1997. Nanoscale metal/self-assembled
monolayer/metal heterostructures. Appl. Phys. Lett. 71:611.

You might also like