default search action
Song Chen 0001
Person information
- affiliation: University of Science and Technology of China, Department of Electronic Science and Technology, Hefei, China
- affiliation (2005 - 2012): Waseda University, Graduate School of Information, Production and Systems, Kitakyushu, Japan
- affiliation (PhD 2005): Tsinghua University, Department of Computer Science and Technology, Beijing, China
Other persons with the same name
- Song Chen — disambiguation page
- Song Chen 0002 — Nanjing University, Department of Geographic Information Science / Jiangsu Provincial Key Laboratory of Geographic Information Science and Technology, China
- Song Chen 0003 — China University of Geosciences, College of Marine Science and Technology, Wuhan, China
- Song Chen 0004 — Zhejiang Normal University, Institute of Precision Machinery and Smart Structure, Jinhua, China
- Song Chen 0005 — Anhui Jianzhu University, College of Mechanical and Electrical Engineering, Hefei, China
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j59]Haitao Du, Yuhan Qin, Song Chen, Yi Kang:
FASA-DRAM: Reducing DRAM Latency with Destructive Activation and Delayed Restoration. ACM Trans. Archit. Code Optim. 21(2): 34 (2024) - [j58]Wenhao Sun, Zhiwei Zou, Deng Liu, Wendi Sun, Song Chen, Yi Kang:
Bit-Balance: Model-Hardware Codesign for Accelerating NNs by Exploiting Bit-Level Sparsity. IEEE Trans. Computers 73(1): 152-163 (2024) - [j57]Junpeng Wang, Mengke Ge, Bo Ding, Qi Xu, Song Chen, Yi Kang:
NicePIM: Design Space Exploration for Processing-In-Memory DNN Accelerators With 3-D Stacked-DRAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(5): 1456-1469 (2024) - [j56]Qi Xu, Lijie Wang, Jing Wang, Lin Cheng, Song Chen, Yi Kang:
Graph Attention-Based Symmetry Constraint Extraction for Analog Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 71(8): 3754-3763 (2024) - [j55]Yongtian Bi, Qi Xu, Hao Geng, Song Chen, Yi Kang:
AD2VNCS: Adversarial Defense and Device Variation-tolerance in Memristive Crossbar-based Neuromorphic Computing Systems. ACM Trans. Design Autom. Electr. Syst. 29(1): 8:1-8:19 (2024) - [j54]Bo Yang, Qi Xu, Hao Geng, Song Chen, Bei Yu, Yi Kang:
Floorplanning with Edge-aware Graph Attention Network and Hindsight Experience Replay. ACM Trans. Design Autom. Electr. Syst. 29(3): 56:1-56:17 (2024) - [c80]Haodong Han, Junpeng Wang, Bo Ding, Song Chen:
ILP-based Multi-Branch CNNs Mapping on Processing-in-Memory Architecture. AICAS 2024: 179-183 - [c79]Bo Yang, Qi Xu, Hao Geng, Song Chen, Yi Kang:
Miracle: Multi-Action Reinforcement Learning-Based Chip Floorplanning Reasoner. DATE 2024: 1-6 - [c78]Wendi Sun, Wenhao Sun, Yifan Wang, Yi Kang, Song Chen:
Communication Minimized Model-Architecture Co-design for Efficient Convolution Acceleration. ACM Great Lakes Symposium on VLSI 2024: 144-150 - [i17]Mengke Ge, Junpeng Wang, Binhan Chen, Yingjian Zhong, Haitao Du, Song Chen, Yi Kang:
Allspark: Workload Orchestration for Visual Transformers on Processing In-Memory Systems. CoRR abs/2403.15069 (2024) - 2023
- [j53]Qi Xu, Junpeng Wang, Bo Yuan, Qi Sun, Song Chen, Bei Yu, Yi Kang, Feng Wu:
Reliability-Driven Memristive Crossbar Design in Neuromorphic Computing Systems. IEEE Trans Autom. Sci. Eng. 20(1): 74-87 (2023) - [j52]Yongtian Bi, Qi Xu, Hao Geng, Song Chen, Yi Kang:
Resist: Robust Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems. IEEE Trans. Circuits Syst. II Express Briefs 70(6): 2221-2225 (2023) - [j51]Xiaobing Ni, Mengke Ge, Yongjin Tao, Wendi Sun, Feixiang Duan, Xuefei Bai, Qi Xu, Song Chen, Yi Kang:
BusMap: Application Mapping With Bus Routing for Coarse-Grained Reconfigurable Array. IEEE Trans. Circuits Syst. II Express Briefs 70(8): 3054-3058 (2023) - [j50]Bo Ding, Jinglei Huang, Qi Xu, Junpeng Wang, Song Chen, Yi Kang:
Memory-aware Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems. ACM Trans. Design Autom. Electr. Syst. 28(1): 7:1-7:21 (2023) - [j49]Junpeng Wang, Haitao Du, Bo Ding, Qi Xu, Song Chen, Yi Kang:
DDAM: Data Distribution-Aware Mapping of CNNs on Processing-In-Memory Systems. ACM Trans. Design Autom. Electr. Syst. 28(3): 36:1-36:30 (2023) - [j48]Bo Ding, Jinglei Huang, Junpeng Wang, Qi Xu, Song Chen, Yi Kang:
Task Modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems with Heterogeneous Resources. ACM Trans. Design Autom. Electr. Syst. 28(6): 103:1-103:26 (2023) - [j47]Wenhao Sun, Deng Liu, Zhiwei Zou, Wendi Sun, Song Chen, Yi Kang:
Sense: Model-Hardware Codesign for Accelerating Sparse CNNs on Systolic Arrays. IEEE Trans. Very Large Scale Integr. Syst. 31(4): 470-483 (2023) - [c77]Wenbing Fang, Zihao Xuan, Song Chen, Yi Kang:
An 1.38nJ/Inference Clock-Free Mixed-Signal Neuromorphic Architecture Using ReL-PSP Function and Computing-in-Memory. BioCAS 2023: 1-5 - [c76]Bin Zhang, Haitao Du, Song Chen, Yi Kang:
GGPA: A General Graph Processing Architecture with Flexible Execution Paradigm. CF 2023: 33-41 - [c75]Ziyi Wang, Siting Liu, Yuan Pu, Song Chen, Tsung-Yi Ho, Bei Yu:
Restructure-Tolerant Timing Prediction via Multimodal Fusion. DAC 2023: 1-6 - [c74]Zetao Guo, Junpeng Wang, Song Chen, Yi Kang:
A Lightweight Stereo Matching Neural Network Based on Depthwise Separable Convolution. ICTA 2023: 122-123 - [c73]Shahzad Haider, Song Chen:
Granular Transistor-Level Approaches for QDI Asynchronous Crossbar Switches. MWSCAS 2023: 556-560 - [c72]Shahzad Haider, Junhao Liang, Song Chen:
Efficient Transistor-Level QDI Asynchronous Switch for Neuromorphic Systems. NEWCAS 2023: 1-5 - [c71]Shahzad Haider, Ke Hu, Song Chen:
Fine-Grained Transistor-Level QDI Asynchronous Crossbar Switch. SOCC 2023: 1-5 - [i16]Wenhao Sun, Zhiwei Zou, Deng Liu, Wendi Sun, Song Chen, Yi Kang:
Bit-balance: Model-Hardware Co-design for Accelerating NNs by Exploiting Bit-level Sparsity. CoRR abs/2302.00201 (2023) - [i15]Junpeng Wang, Mengke Ge, Bo Ding, Qi Xu, Song Chen, Yi Kang:
NicePIM: Design Space Exploration for Processing-In-Memory DNN Accelerators with 3D-Stacked-DRAM. CoRR abs/2305.19041 (2023) - [i14]Xiaobing Ni, Jiaheng Ruan, Mengke Ge, Wendi Sun, Song Chen, Yi Kang:
BandMap: Application Mapping with Bandwidth Allocation forCoarse-Grained Reconfigurable Array. CoRR abs/2310.06613 (2023) - [i13]Zihao Xuan, Song Chen, Yi Kang:
AiDAC: A Low-Cost In-Memory Computing Architecture with All-Analog Multi-Bit Compute and Interconnect. CoRR abs/2312.11836 (2023) - [i12]Wenhao Sun, Wendi Sun, Song Chen, Yi Kang:
IOPS: An Unified SpMM Accelerator Based on Inner-Outer-Hybrid Product. CoRR abs/2312.12766 (2023) - [i11]Qi Xu, Lijie Wang, Jing Wang, Song Chen, Lin Cheng, Yi Kang:
Graph Attention-Based Symmetry Constraint Extraction for Analog Circuits. CoRR abs/2312.14405 (2023) - 2022
- [j46]Ke Hu, Wenhao Sun, Zhongbo Nie, Ran Cheng, Song Chen, Yi Kang:
Real-time infrared small target detection network and accelerator design. Integr. 87: 241-252 (2022) - [j45]Qi Xu, Wenhao Sun, Song Chen, Yi Kang, Xiaoqing Wen:
Cellular Structure-Based Fault-Tolerance TSV Configuration in 3D-IC. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(5): 1196-1208 (2022) - [j44]Qi Xu, Hao Geng, Tianming Ni, Song Chen, Bei Yu, Yi Kang, Xiaoqing Wen:
Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3182-3187 (2022) - [j43]Qi Xu, Hao Geng, Song Chen, Bo Yuan, Cheng Zhuo, Yi Kang, Xiaoqing Wen:
GoodFloorplan: Graph Convolutional Network and Reinforcement Learning-Based Floorplanning. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10): 3492-3502 (2022) - [j42]Mengke Ge, Xiaobing Ni, Song Chen, Yi Kang:
Generating Brain-Network-Inspired Topologies for Large-Scale NoCs on Monolithic 3D ICs. IEEE Trans. Circuits Syst. II Express Briefs 69(3): 1552-1556 (2022) - [j41]Zhimin Lu, Jue Wang, Zhiwei Li, Song Chen, Feng Wu:
A Resource-Efficient Pipelined Architecture for Real-Time Semi-Global Stereo Matching. IEEE Trans. Circuits Syst. Video Technol. 32(2): 660-673 (2022) - [j40]Mengke Ge, Xiaobing Ni, Qi Xu, Song Chen, Jinglei Huang, Yi Kang, Feng Wu:
Synthesizing Brain-network-inspired Interconnections for Large-scale Network-on-chips. ACM Trans. Design Autom. Electr. Syst. 27(1): 9:1-9:30 (2022) - [c70]Qiaojun Wu, Yuan Li, Song Chen, Yi Kang:
DRGS: Low-Precision Full Quantization of Deep Neural Network with Dynamic Rounding and Gradient Scaling for Object Detection. DMBD (1) 2022: 137-151 - [c69]Yifan Song, Shunpeng Zhao, Song Chen, Yi Kang:
SAUST: A Scheme for Acceleration of Unstructured Sparse Transformer. ICTA 2022: 56-57 - [c68]Bin Zhang, Haitao Du, Song Chen, Yi Kang:
PCFBCD: An Innovative Approach to Accelerating Collaborative Filtering. ISCAS 2022: 2675-2679 - [c67]Yuan Li, Qiaojun Wu, Song Chen, Yi Kang:
Multi-scale Lightweight Neural Network for Real-Time Object Detection. PRICAI (3) 2022: 199-211 - [i10]Wenhao Sun, Deng Liu, Zhiwei Zou, Wendi Sun, Junpeng Wang, Yi Kang, Song Chen:
Sense: Model Hardware Co-design for Accelerating Sparse Neural Networks. CoRR abs/2202.00389 (2022) - [i9]Bo Ding, Jinglei Huang, Junpeng Wang, Qi Xu, Song Chen, Yi Kang:
Task modules Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems Based on Modern Heterogeneous FPGAs. CoRR abs/2212.05397 (2022) - 2021
- [c66]Mingjian Sun, Yuan Li, Song Chen, Yi Kang:
A Low Power Branch Prediction for Deep Learning on RISC-V Processor. ASAP 2021: 203-206 - [c65]Shahzad Haider, Song Chen:
Effects of using Multi Voltage Threshold Transistors in Asynchronous Circuits. ASICON 2021: 1-4 - [c64]Qi Xu, Junpeng Wang, Hao Geng, Song Chen, Xiaoqing Wen:
Reliability-Driven Neuromorphic Computing Systems Design. DATE 2021: 1586-1591 - [c63]Lei Ding, Haitao Du, Song Chen, Yi Kang:
A Low-latency NoC Router Priority Scheme for BFS algorithm. ICTA 2021: 271-272 - [c62]Qiqiao Wu, Wenhao Sun, Junpeng Wang, Xuefei Bai, Feng Zhang, Song Chen, Yi Kang:
A Non-volatile Computing-in-Memory ReRAM Macro using Two-bit Current-Mode Sensing Amplifier. NVMSA 2021: 1-6 - [i8]Mengke Ge, Xiaobing Ni, Qi Xu, Song Chen, Jinglei Huang, Yi Kang, Feng Wu:
Synthesizing Brain-Network-Inspired Interconnections for Large-Scale Network-on-Chips. CoRR abs/2108.01298 (2021) - 2020
- [j39]Qi Xu, Song Chen, Hao Geng, Bo Yuan, Bei Yu, Feng Wu, Zhengfeng Huang:
Fault tolerance in memristive crossbar-based neuromorphic computing systems. Integr. 70: 70-79 (2020) - [j38]Song Chen, Jinglei Huang, Xiaodong Xu, Bo Ding, Qi Xu:
Integrated Optimization of Partitioning, Scheduling, and Floorplanning for Partially Dynamically Reconfigurable Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(1): 199-212 (2020) - [j37]Song Chen, Mengke Ge, Zhigang Li, Jinglei Huang, Qi Xu, Feng Wu:
Generalized Fault-Tolerance Topology Generation for Application-Specific Network-on-Chips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(6): 1191-1204 (2020) - [j36]Qi Xu, Hao Geng, Song Chen, Bei Yu, Feng Wu:
Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC. ACM Trans. Design Autom. Electr. Syst. 25(1): 8:1-8:19 (2020) - [c61]Yuting Wu, Bo Ding, Qi Xu, Song Chen:
Fault-Tolerant-Driven Clustering for Large Scale Neuromorphic Computing Systems. AICAS 2020: 238-242 - [c60]Mengke Ge, Qi Xu, Huajie Ruan, Xiaobing Ni, Song Chen, Yi Kang:
Synthesizing A Generalized Brain-inspired Interconnection Network for Large-scale Network-on-chip Systems. ACM Great Lakes Symposium on VLSI 2020: 303-308 - [c59]Xun Yuan, Song Chen:
SaD-SLAM: A Visual SLAM Based on Semantic and Depth Information. IROS 2020: 4930-4935 - [c58]Junpeng Wang, Qi Xu, Bo Yuan, Song Chen, Bei Yu, Feng Wu:
Reliability-Driven Neural Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems. ISCAS 2020: 1-4 - [i7]Xun Yuan, Ke Hu, Song Chen:
Realtime CNN-based Keypoint Detector with Sobel Filter and CNN-based Descriptor Trained with Keypoint Candidates. CoRR abs/2011.02119 (2020)
2010 – 2019
- 2019
- [j35]Nan Wang, Song Chen, Zhiyuan Ma, Xiaofeng Ling, Yu Zhu:
Integrating operation scheduling and binding for functional unit power-gating in high-level synthesis. Integr. 65: 308-321 (2019) - [j34]Jinglei Huang, Xiaodong Xu, Nan Wang, Song Chen:
Reconfigurable topology synthesis for application-specific NoC on partially dynamically reconfigurable systems. Integr. 65: 331-343 (2019) - [j33]Yan Li, Zhiwei Li, Chen Yang, Wei Zhong, Song Chen:
High throughput hardware architecture for accurate semi-global matching. Integr. 65: 417-427 (2019) - [j32]Song Chen, Qi Xu, Bei Yu:
Adaptive 3D-IC TSV Fault Tolerance Structure Generation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(5): 949-960 (2019) - [c57]Baicheng Liu, Song Chen, Yi Kang, Feng Wu:
An Energy-Efficient Systolic Pipeline Architecture for Binary Convolutional Neural Network. ASICON 2019: 1-4 - [c56]Tianzhi Xue, Baicheng Liu, Wenhao Sun, Song Chen, Yi Kang, Feng Wu:
Customizing CMOS/ReRAM Hybrid Hardware Architecture for Spiking CNN. ASICON 2019: 1-4 - [c55]Jue Wang, Zhiwei Li, Lan Yao, Song Chen, Feng Wu:
Low-Resource Hardware Architecture for Semi-Global Stereo Matching. ISCAS 2019: 1-4 - [i6]Song Chen, Mengke Ge, Zhigang Li, Jinglei Huang, Qi Xu, Feng Wu:
Generalized Fault-Tolerance Topology Generation for Application Specific Network-on-Chips. CoRR abs/1908.00165 (2019) - 2018
- [j31]Nan Wang, Song Chen, Jianmo Ni, Xiaofeng Ling, Yu Zhu:
Security-Aware Task Scheduling Using Untrusted Components in High-Level Synthesis. IEEE Access 6: 15663-15678 (2018) - [j30]Jinglei Huang, Wei Zhong, Zhigang Li, Song Chen:
Lagrangian relaxation-based routing path allocation for application-specific network-on-chips. Integr. 61: 20-28 (2018) - [j29]Nan Wang, Wei Zhong, Song Chen, Zhiyuan Ma, Xiaofeng Ling, Yu Zhu:
Power-gating-aware scheduling with effective hardware resources optimization. Integr. 61: 167-177 (2018) - [c54]Qi Xu, Song Chen, Bei Yu, Feng Wu:
Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC. ACM Great Lakes Symposium on VLSI 2018: 451-454 - [c53]Nan Wang, Manting Yao, Dongxu Jiang, Song Chen, Yu Zhu:
Security-Driven Task Scheduling for Multiprocessor System-on-Chips with Performance Constraints. ISVLSI 2018: 545-550 - [i5]Song Chen, Qi Xu, Bei Yu:
Adaptive 3D-IC TSV Fault Tolerance Structure Generation. CoRR abs/1803.02490 (2018) - [i4]Song Chen, Jinglei Huang, Xiaodong Xu, Qi Xu:
Integrated Optimization of Partitioning, Scheduling and Floorplanning for Partially Dynamically Reconfigurable Systems. CoRR abs/1803.03748 (2018) - 2017
- [j28]Gan Feng, Lan Yao, Song Chen:
AutoNFT: Architecture synthesis for hardware DFT of length-of-coprime-number products. Integr. 58: 339-347 (2017) - [j27]Qi Xu, Song Chen:
Fast thermal analysis for fixed-outline 3D floorplanning. Integr. 59: 157-167 (2017) - [j26]Qi Xu, Song Chen, Xiaodong Xu, Bei Yu:
Clustered Fault Tolerance TSV Planning for 3-D Integrated Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(8): 1287-1300 (2017) - [c52]Zhigang Li, Jinglei Huang, Qi Xu, Song Chen:
Integer linear programming based fault-tolerant topology synthesis for application-specific NoC. ASICON 2017: 96-99 - [c51]Zhiwei Li, Yan Li, Song Chen, Feng Wu:
A fully pipelined hardware architecture for convolutional neural network with low memory usage and DRAM bandwidth. ASICON 2017: 237-240 - [c50]Mengting Li, Wenhao Sun, Zhimin Lu, Song Chen, Feng Wu:
Memristor-based material implication logic design for full adders. ASICON 2017: 271-274 - [c49]Yan Li, Chen Yang, Wei Zhong, Zhiwei Li, Song Chen:
High throughput hardware architecture for accurate semi-global matching. ASP-DAC 2017: 641-646 - [c48]Xiaodong Xu, Qi Xu, Jinglei Huang, Song Chen:
An Integrated Optimization Framework for Partitioning, Scheduling and Floorplanning on Partially Dynamically Reconfigurable FPGAs. ACM Great Lakes Symposium on VLSI 2017: 403-406 - [c47]Jinglei Huang, Xiaodong Xu, Lan Yao, Song Chen:
Reconfigurable topology synthesis for application-specific noc on partially dynamically reconfigurable FPGAs. SLIP 2017: 1-8 - 2016
- [j25]Qi Xu, Song Chen, Bin Li:
Combining the ant system algorithm and simulated annealing for 3D/2D fixed-outline floorplanning. Appl. Soft Comput. 40: 150-160 (2016) - [j24]Jinglei Huang, Song Chen, Wei Zhong, Wenchao Zhang, Shengxi Diao, Fujiang Lin:
Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips with RF-Interconnect. ACM Trans. Design Autom. Electr. Syst. 21(3): 40:1-40:23 (2016) - [j23]Nan Wang, Wei Zhong, Cong Hao, Song Chen, Takeshi Yoshimura, Yu Zhu:
Leakage-Power-Aware Scheduling With Dual-Threshold Voltage Design. IEEE Trans. Very Large Scale Integr. Syst. 24(10): 3067-3079 (2016) - [c46]Chen Yang, Yan Li, Wei Zhong, Song Chen:
Real-Time Hardware Stereo Matching Using Guided Image Filter. ACM Great Lakes Symposium on VLSI 2016: 105-108 - 2015
- [c45]Jinglei Huang, Zhigang Li, Wei Zhong, Song Chen:
Lagrangian relaxation based topology synthesis for Application-Specific Network-on-Chips. ASICON 2015: 1-4 - [c44]Wenchao Zhang, Song Chen, Xuefei Bai, Dajiang Zhou:
A full layer parallel QC-LDPC decoder for WiMAX and Wi-Fi. ASICON 2015: 1-4 - [c43]Zhen Meng, Song Chen, Lu Huang:
Irregularly shaped voltage islands generation with hazard and heal strategy. ISQED 2015: 310-315 - 2014
- [j22]Nan Wang, Song Chen, Cong Hao, Haoran Zhang, Takeshi Yoshimura:
Leakage Power Aware Scheduling in High-Level Synthesis. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 97-A(4): 940-951 (2014) - [j21]Nan Wang, Song Chen, Wei Zhong, Nan Liu, Takeshi Yoshimura:
Mobility Overlap-Removal-Based Leakage Power and Register-Aware Scheduling in High-Level Synthesis. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 97-A(8): 1709-1719 (2014) - [i3]Bei Yu, Sheqin Dong, Yuchun Ma, Tao Lin, Yu Wang, Song Chen, Satoshi Goto:
Network flow-based simultaneous retiming and slack budgeting for low power design. CoRR abs/1402.2460 (2014) - [i2]Bei Yu, Sheqin Dong, Song Chen, Satoshi Goto:
Floorplanning and Topology Generation for Application-Specific Network-on-Chip. CoRR abs/1402.2462 (2014) - [i1]Bei Yu, Sheqin Dong, Song Chen, Satoshi Goto:
Voltage and Level-Shifter Assignment Driven Floorplanning. CoRR abs/1402.3149 (2014) - 2013
- [j20]Nan Liu, Song Chen, Takeshi Yoshimura:
Resource-Aware Multi-Layer Floorplanning for Partially Reconfigurable FPGAs. IEICE Trans. Electron. 96-C(4): 501-510 (2013) - [j19]Wei Zhong, Song Chen, Bo Huang, Takeshi Yoshimura, Satoshi Goto:
Floorplanning and Topology Synthesis for Application-Specific Network-on-Chips. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 96-A(6): 1174-1184 (2013) - [c42]Cong Hao, Nan Wang, Song Chen, Takeshi Yoshimura, Min-You Wu:
Interconnection allocation between functional units and registers in High-Level Synthesis. ASICON 2013: 1-4 - [c41]Haoran Zhang, Cong Hao, Nan Wang, Song Chen, Takeshi Yoshimura:
Power and resource aware scheduling with multiple voltages. ASICON 2013: 1-4 - [c40]Wei Zhong, Song Chen, Yang Geng, Takeshi Yoshimura:
Lagrangian relaxation based pin assignment and Through-Silicon Via planning for 3-D SoCs. ASICON 2013: 1-4 - [c39]Cong Hao, Song Chen, Takeshi Yoshimura:
Network simplex method based Multiple Voltage Scheduling in Power-efficient High-level synthesis. ASP-DAC 2013: 237-242 - [c38]Bo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura:
Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis. ISCAS 2013: 1732-1735 - [c37]Nan Wang, Song Chen, Yuhuan Sun, Takeshi Yoshimura:
Mobility overlap-removal based leakage power aware scheduling in high-level synthesis. ISCAS 2013: 1745-1748 - [c36]Jianchang Ao, Sheqin Dong, Song Chen, Satoshi Goto:
Delay-driven layer assignment in global routing under multi-tier interconnect structure. ISPD 2013: 101-107 - [c35]Nan Wang, Song Chen, Takeshi Yoshimura:
Min-cut based leakage power aware scheduling in high-level synthesis. ISQED 2013: 164-169 - 2012
- [j18]Wei Zhong, Takeshi Yoshimura, Bei Yu, Song Chen, Sheqin Dong, Satoshi Goto:
Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips. IEICE Trans. Electron. 95-C(4): 534-545 (2012) - [j17]Nan Liu, Song Chen, Takeshi Yoshimura:
Floorplanning for High Utilization of Heterogeneous FPGAs. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 95-A(9): 1529-1537 (2012) - [j16]Haiqi Wang, Sheqin Dong, Tao Lin, Song Chen, Satoshi Goto:
Novel Voltage Choice and Min-Cut Based Assignment for Dual-VDD System. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 95-A(12): 2208-2219 (2012) - [c34]Tao Lin, Sheqin Dong, Song Chen, Satoshi Goto:
Linear optimal one-sided single-detour algorithm for untangling twisted bus. ASP-DAC 2012: 151-156 - [c33]Song Chen, Xiaolin Zhang, Takeshi Yoshimura:
Practically scalable floorplanning with voltage island generation. ISLPED 2012: 27-32 - [c32]Bo Huang, Song Chen, Wei Zhong, Takeshi Yoshimura:
Application-Specific Network-on-Chip synthesis with topology-aware floorplanning. SBCCI 2012: 1-6 - [c31]Cong Hao, Song Chen, Takeshi Yoshimura:
Port assignment for interconnect reduction in high-level synthesis. VLSI-DAT 2012: 1-4 - 2011
- [c30]Jianchang Ao, Sheqin Dong, Song Chen, Satoshi Goto:
Through-Silicon-Via assignment for 3D ICs. ASICON 2011: 353-356 - [c29]Song Chen, Yuan Yao, Takeshi Yoshimura:
Mobility overlap-removal based timing-constrained scheduling. ASICON 2011: 417-420 - [c28]Xiaolin Zhang, Zhi Lin, Song Chen, Takeshi Yoshimura:
An effecient level-shifter floorplanning method for Multi-voltage design. ASICON 2011: 421-424 - [c27]Bei Yu, Sheqin Dong, Yuchun Ma, Tao Lin, Yu Wang, Song Chen, Satoshi Goto:
Network flow-based simultaneous retiming and slack budgeting for low power design. ASP-DAC 2011: 473-478 - [c26]Wei Zhong, Song Chen, Fei Ma, Takeshi Yoshimura, Satoshi Goto:
Floorplanning driven Network-on-Chip synthesis for 3-D SoCs. ISCAS 2011: 1203-1206 - [c25]Wei Zhong, Bei Yu, Song Chen, Takeshi Yoshimura, Sheqin Dong, Satoshi Goto:
Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion. ISQED 2011: 144-149 - [c24]Tao Lin, Sheqin Dong, Song Chen, Yuchun Ma, Ou He, Satoshi Goto:
Novel and efficient min cut based voltage assignment in gate level. ISQED 2011: 150-155 - [c23]Nan Liu, Song Chen, Takeshi Yoshimura:
Floorplanning for high utilization of heterogeneous FPGAs. ISQED 2011: 270-275 - 2010
- [j15]Song Chen, Jianwei Shen, Wei Guo, Mei-Fang Chiang, Takeshi Yoshimura:
Redundant via Insertion: Removing Design Rule Conflicts and Balancing via Density. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 93-A(12): 2372-2379 (2010) - [j14]Song Chen, Takeshi Yoshimura:
Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints. Integr. 43(4): 378-388 (2010) - [c22]Ru Liu, Song Chen, Takeshi Yoshimura:
Post-scheduling frequency assignment for energy-efficient high-level synthesis. APCCAS 2010: 588-591 - [c21]Bei Yu, Sheqin Dong, Song Chen, Satoshi Goto:
Floorplanning and topology generation for application-specific network-on-chip. ASP-DAC 2010: 535-540 - [c20]Tao Lin, Sheqin Dong, Bei Yu, Song Chen, Satoshi Goto:
A revisit to voltage partitioning problem. ACM Great Lakes Symposium on VLSI 2010: 115-118 - [c19]Wei Zhong, Song Chen, Takeshi Yoshimura:
Whitespace insertion for through-silicon via planning on 3-D SoCs. ISCAS 2010: 913-916
2000 – 2009
- 2009
- [j13]Song Chen, Liangwei Ge, Mei-Fang Chiang, Takeshi Yoshimura:
Lagrangian Relaxation Based Inter-Layer Signal Via Assignment for 3-D ICs. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(4): 1080-1087 (2009) - [j12]Bei Yu, Sheqin Dong, Song Chen, Satoshi Goto:
Voltage and Level-Shifter Assignment Driven Floorplanning. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(12): 2990-2997 (2009) - [j11]Liangwei Ge, Song Chen, Takeshi Yoshimura:
Exploration of Schedule Space by Random Walk. Inf. Media Technol. 4(2): 177-189 (2009) - [j10]Liangwei Ge, Song Chen, Takeshi Yoshimura:
Exploration of Schedule Space by Random Walk. IPSJ Trans. Syst. LSI Des. Methodol. 2: 30-42 (2009) - [c18]Bei Yu, Sheqin Dong, Satoshi Goto, Song Chen:
Voltage-island driven floorplanning considering level-shifter positions. ACM Great Lakes Symposium on VLSI 2009: 51-56 - [c17]Song Chen, Zheng Xu, Takeshi Yoshimura:
A generalized V-shaped multilevel method for large scale floorplanning. ISQED 2009: 734-739 - 2008
- [j9]Liangwei Ge, Song Chen, Yuichi Nakamura, Takeshi Yoshimura:
A Synthesis Method of General Floating-Point Arithmetic Units by Aligned Partition. Inf. Media Technol. 3(4): 680-690 (2008) - [j8]Liangwei Ge, Song Chen, Yuichi Nakamura, Takeshi Yoshimura:
A Synthesis Method of General Floating-Point Arithmetic Units by Aligned Partition. IPSJ Trans. Syst. LSI Des. Methodol. 1: 67-77 (2008) - [j7]Song Chen, Takeshi Yoshimura:
Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5): 858-871 (2008) - 2007
- [j6]Liangwei Ge, Song Chen, Kazutoshi Wakabayashi, Takashi Takenaka, Takeshi Yoshimura:
Max-Flow Scheduling in High-Level Synthesis. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 90-A(9): 1940-1948 (2007) - [c16]Song Chen, Takeshi Yoshimura:
A stable fixed-outline floorplanning method. ISPD 2007: 119-126 - 2006
- [j5]Song Chen, Sheqin Dong, Xianlong Hong, Yuchun Ma, Chung-Kuan Cheng:
VLSI Block Placement With Alignment Constraints. IEEE Trans. Circuits Syst. II Express Briefs 53-II(8): 622-626 (2006) - [c15]Song Chen, Takeshi Yoshimura:
On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence. APCCAS 2006: 1867-1870 - [c14]Hongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen:
Buffer planning based on block exchanging. ISCAS 2006 - 2005
- [j4]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Chung-Kuan Cheng, Jun Gu:
Buffer planning as an Integral part of floorplanning with consideration of routing congestion. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4): 609-621 (2005) - [c13]Hongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen:
A New Buffer Planning Algorithm Based on Room Resizing. EUC 2005: 291-299 - [c12]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Chung-Kuan Cheng:
Performance constrained floorplanning based on partial clustering [IC layout]. ISCAS (2) 2005: 1863-1866 - [c11]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng:
VLSI block placement with alignment constraints based on corner block list. ISCAS (6) 2005: 6222-6225 - [c10]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Chung-Kuan Cheng:
Buffer Planning Algorithm Based on Partial Clustered Floorplanning. ISQED 2005: 213-219 - [c9]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng:
Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning. ISQED 2005: 628-633 - 2004
- [j3]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu:
A buffer planning algorithm for chip-level floorplanning. Sci. China Ser. F Inf. Sci. 47(6): 763-776 (2004) - [j2]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Chung-Kuan Cheng, Jun Gu:
Fast Evaluation of Bounded Slice-Line Grid. J. Comput. Sci. Technol. 19(6): 973-980 (2004) - [c8]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu:
A buffer planning algorithm with congestion optimization. ASP-DAC 2004: 615-620 - [c7]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu:
Buffer allocation algorithm with consideration of routing congestion. ASP-DAC 2004: 621-623 - 2003
- [j1]Sheqin Dong, Xianlong Hong, Song Chen, Xin Qi, Ruijie Wang, Jun Gu:
VLSI Module Placement with Pre-Placed Modules and with Consideration of Congestion Using Solution Space Smoothing. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 86-A(12): 3136-3147 (2003) - [c6]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu:
A buffer planning algorithm based on dead space redistribution. ASP-DAC 2003: 435-438 - [c5]Sheqin Dong, Xianlong Hong, Xin Qi, Ruijie Wang, Song Chen, Jun Gu:
VLSI module placement with pre-placed modules and considering congestion using solution space smoothing. ASP-DAC 2003: 741-744 - [c4]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu:
Dynamic global buffer planning optimization based on detail block locating and congestion analysis. DAC 2003: 806-811 - [c3]Yuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, Song Chen, Chung-Kuan Cheng, Jun Gu:
Arbitrary convex and concave rectilinear block packing based on corner block list. ISCAS (5) 2003: 493-496 - [c2]Song Chen, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu:
Evaluating a bounded slice-line grid assignment in O(nlogn) time. ISCAS (4) 2003: 708-711 - [c1]Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen, Yici Cai, Chung-Kuan Cheng, Jun Gu:
An integrated floorplanning with an efficient buffer planning algorithm. ISPD 2003: 136-142
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-19 20:42 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint