Reporte 7 Segmentos
Reporte 7 Segmentos
Ingeniería electrónica
P R E S E N T A:
17280804
16281397
1
Índice.
Introducción. ............................................................................................................ 3
Material y herramientas. .......................................................................................... 4
Objetivo: .................................................................................................................. 5
Desarrollo. ............................................................................................................... 6
Conclusión............................................................................................................. 17
Bibliografía ............................................................................................................ 18
Índice de figuras.
2
Introducción.
Como se mencionó con anterioridad y utilizando la definición citada en este caso los
bloques que serán conectados son contador y memoria, además de un programa
de componentes
3
Material y herramientas.
4
Objetivo:
5
Desarrollo.
Una vez establecido el objetivo se comenzó por realizar una tabla relacionada con
las secuencias que se habían solicitado, esto en conjunto con la secuencia que tiene
un display de 7 segmentos, dicha tabla y secuencia se realizaron a mano, tal y como
se muestra a continuación:
Dado que se solicitó un programa top level, lo primero que se realizo fue seleccionar
los programas que se utilizarían, dichos programas ya se habían utilizado con
anterioridad, entre ellos se encuentra un contador, una memoria, un programa para
los componentes utilizados y finalmente el programa top level. El código que
utilizamos fue el siguiente:
Contador
Library ieee;
use ieee.std_logic_1164.all;
6
use ieee.std_logic_unsigned.all;
entity contador0 is
port(
);
end contador0;
begin
process(clk, reset)
begin
Q1 <="0000";
else
Q1<= Q1+1;
end if;
end if;
x1<= Q1;
7
end process;
end uno;
Memoria
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
);
end entity;
8
begin
process (Direccion,selec)
begin
case Direccion is
end case;
case Direccion is
9
end case;
case Direccion is
end case;
end if;
end process;
end architecture;
Componentes
library ieee;
package componentes is
);
end component;
10
Direccion:in std_logic_vector (3 downto 0);
);
end component;
end componentes;
library IEEE;
use IEEE.std_logic_1164.all;
use work.componentes.all;
);
end integracion;
begin
end funcion;
11
Figura 2 Captura del programa “Contador”.
12
Figura 4 Captura del programa “Memoria”
13
Figura 6 Captura del programa “TOP LEVEL”
14
Figura 9 Captura de la simulación con la entrada “01”
15
Figura 12 Captura de la simulación con entrada “00”
16
Conclusión.
17
Bibliografía
18