Resists For Sub-20-Nm Electron Beam Lithography With A Focus On HSQ: State of The Art

Download as pdf or txt
Download as pdf or txt
You are on page 1of 32

Nanotechnology

TOPICAL REVIEW Related content


- Phenyl-bridged polysilsesquioxane
Resists for sub-20-nm electron beam lithography positive and negative resist for electron
beam lithography
with a focus on HSQ: state of the art L Brigo, V Auzelyte, K A Lister et al.

- Reliable fabrication of 3 nm gaps between


nanoelectrodes by electron-beam
To cite this article: A E Grigorescu and C W Hagen 2009 Nanotechnology 20 292001 lithography
Marcel Manheller, Stefan Trellenkamp,
Rainer Waser et al.

- Hybrid strategies in nanolithography


Héctor M Saavedra, Thomas J Mullen,
View the article online for updates and enhancements. Pengpeng Zhang et al.

Recent citations
- Hydrogen Silsesquioxane (HSQ) Etching
Resistance Dependence on Substrate
During Dry Etching
Jie Zhang et al

- Influence of tetramethylammonium
hydroxide on niobium nitride thin films
Emily Toomey et al

- Field emission from diamond nanotips for


scanning probe lithography
Martin Hofmann et al

This content was downloaded from IP address 134.226.14.55 on 01/11/2018 at 22:05


IOP PUBLISHING NANOTECHNOLOGY
Nanotechnology 20 (2009) 292001 (31pp) doi:10.1088/0957-4484/20/29/292001

TOPICAL REVIEW

Resists for sub-20-nm electron beam


lithography with a focus on HSQ: state of
the art
A E Grigorescu and C W Hagen
Faculty of Applied Sciences, Delft University of Technology, Lorentzweg 1, 2628 CJ Delft,
The Netherlands

Received 18 November 2008, in final form 13 February 2009


Published 1 July 2009
Online at stacks.iop.org/Nano/20/292001

Abstract
In the past decade, the feature size in ultra large-scale integration (ULSI) has been continuously
decreasing, leading to nanostructure fabrication. Nowadays, various lithographic techniques
ranging from conventional methods (e.g. photolithography, x-rays) to unconventional ones
(e.g. nanoimprint lithography, self-assembled monolayers) are used to create small features.
Among all these, resist-based electron beam lithography (EBL) seems to be the most suitable
technique when nanostructures are desired. The achievement of sub-20-nm structures using
EBL is a very sensitive process determined by various factors, starting with the choice of resist
material and ending with the development process. After a short introduction to
nanolithography, a framework for the nanofabrication process is presented. To obtain finer
patterns, improvements of the material properties of the resist are very important. The present
review gives an overview of the best resolution obtained with several types of both organic and
inorganic resists. For each resist, the advantages and disadvantages are presented. Although
very small features (2–5 nm) have been obtained with PMMA and inorganic metal halides, for
the former resist the low etch resistance and instability of the pattern, and for the latter the
delicate handling of the samples and the difficulties encountered in the spinning session, prevent
the wider use of these e-beam resists in nanostructure fabrication. A relatively new e-beam
resist, hydrogen silsesquioxane (HSQ), is very suitable when aiming for sub-20-nm resolution.
The changes that this resist undergoes before, during and after electron beam exposure are
discussed and the influence of various parameters (e.g. pre-baking, exposure dose, writing
strategy, development process) on the resolution is presented. In general, high resolution can be
obtained using ultrathin resist layers and when the exposure is performed at high acceleration
voltages. Usually, one of the properties of the resist material is improved to the detriment of
another. It has been demonstrated that aging, baking at low temperature, immediate exposure
after spin coating, the use of a weak developer and development at a low temperature increase
the sensitivity but decrease the contrast. The surface roughness is more pronounced at low
exposure doses (high sensitivity) and high baking temperatures. A delay between exposure and
development seems to increase both contrast and the sensitivity of samples which are stored in a
vacuum after exposure, compared to those stored in air. Due to its relative novelty, the
capabilities of HSQ have not been completely explored, hence there is still room for
improvement.
Applications of this electron beam resist in lithographic techniques other than EBL are also
discussed. Finally, conclusions and an outlook are presented.
(Some figures in this article are in colour only in the electronic version)

0957-4484/09/292001+31$30.00 1 © 2009 IOP Publishing Ltd Printed in the UK


Nanotechnology 20 (2009) 292001 Topical Review

Contents possible, by developing the electron microscope. In 1932,


Langmuir [6] discovered the existence of monolayers (layers
1. Introduction 2 with a thickness of one atom or one molecule). In 1968, Arthur
1.1. Nanotechnology 2 and Cho [7] from Bell Laboratories developed a technique that
1.2. Nanolithography 2 can deposit single atom layers on a surface, namely molecular
1.3. Electron beam lithography 4 beam epitaxy. The word ‘nanotechnology’ was used for the
1.4. Framework for the nanofabrication process 4 first time in 1974 by Taniguchi [8] when he wanted to describe
2. High-resolution e-beam resists 6 the technology which was operating in the nanometer range.
2.1. Organic resists 6 In 1981, Binnig and Rohrer from IBM invented the scanning
2.2. Inorganic resists 10 tunneling microscope [9], making it possible to image single
2.3. Nanocomposite resists 13 atoms. In 1985 Kroto et al discovered fullerene [10], which
2.4. Overview 14 measures about 1 nm in diameter. Five years later, Eigler et al
3. HSQ and electron beam lithography 16 from IBM [11] demonstrated that the position of the atoms can
3.1. Brief introduction to HSQ 16 be precisely controlled. They wrote the name of their company
3.2. Spin coating of resist 17 on a nickel surface using 35 individual xenon atoms. Other
3.3. Electron beam exposure 18 milestones of nanotechnology are the discovery of carbon
3.4. Development process 21 nanotubes by Iijima [12] and the fabrication of a transistor
3.5. Inspection tools 25 from a carbon nanotube by Tans et al [13] in 1998. In 2000,
4. Applications of HSQ in lithography techniques other the first DNA motor was created by Lucent Technology and
than EBL 25 Oxford University [14]. These devices open up the possibility
4.1. Nanoimprinting 25 of making computers 1000 times faster than before. The
4.2. Extreme ultraviolet (EUV) lithography 26 idea is that the DNA motor can be attached to electrically
4.3. Step and flash imprint lithography (SFIL) 26 conducting molecules to assemble rudimentary circuits which
5. Conclusions and outlook 27 act as switches. The main goal of nanotechnology (which
Appendix 28 operates in the scale range of 1–100 nm) is to fabricate and use
A.1. List of symbols 28 structures, devices and systems that have innovative properties
A.2. List of abbreviations 28 and functions due to their small or intermediate size. In
References 29 general there are two different approaches for nanostructure
fabrication. The first one, called the ‘top-down approach’,
includes different techniques (e.g. electron beam (e-beam)
1. Introduction
lithography, photolithography and NIL) which are focused on
1.1. Nanotechnology a progressive reduction of dimensions. The second approach,
‘the bottom-up approach’, uses techniques (e.g. molecular self-
A novel branch of technology, nanotechnology, arose assembly, carbon nanotube synthesis) in which nanostructures
in 1959 when Richard Feynman gave his famous (and are assembled out of smaller units, like atoms or molecules.
now often-quoted) talk [1] at the annual meeting of the The miniaturization process finds its application in a large
American Physical Society at the California Institute of variety of fields, such as microelectronics, biology [15],
Technology (Caltech) entitled ‘There is plenty of room chemistry, optics and optoelectronics [16–18]. The continuous
at the bottom: an invitation to enter a new field of need of society for faster computers, high-density data
physics’. With this visionary talk, Feynman anticipated a storage [19] and high-speed information processing is the
large spectrum of fields that are now well established such leading force behind nanofabrication in the industrial world.
as electron and ion beam fabrication, nanoimprint lithography
(NIL), quantum electronics, projection electron microscopy 1.2. Nanolithography
and microelectromechanical systems (MEMS). Although at
that time the idea of making things smaller seemed a little The main goal of lithography is to create a desired pattern in a
unrealistic, Tom Newman [2] succeeded (in 1985) in writing resist layer and the subsequent transfer of that pattern into or
the first page of Charles Dickens’ novel A tale of two cities onto the underlying substrate. The basic steps of a lithographic
with a reduction factor of 25 000 using an electron beam process are schematically illustrated in figure 1.
lithography (EBL) machine. Each character of the written First, the substrate (often silicon) is cleaned using
page was about 50 nm wide. Since then, an explosion of chemical baths or plasma procedures in order to remove
miniaturization has been observed, based on the development contaminants which may lead to poor adhesion or defect
of micro- and nanotechnologies. Actually, nanotechnology has formation in the resist layer. Sometimes, the surface of the
a long history and the first manufacturer of a nanostructure substrate is modified by using an adhesion promoter, such as
is nature itself because, for example, the width of a DNA hexamethyldisilazane (HMDS), which enhances the adhesion
molecule is about 2 nm. In 1905, Einstein [3] measured the size of the resist to the substrate. In the next step, a resist layer
of a single sugar molecule and showed that each molecule is (usually an organic polymer) is spin coated onto the substrate
approximately 2 nm in diameter. Almost 30 years later, Knoll from a solution containing the resist dissolved in an appropriate
and Ruska [4, 5] made the imaging of nanometer structures casting solvent. Thinner resist layers can be obtained by using

2
Nanotechnology 20 (2009) 292001 Topical Review

Figure 1. Schematic representation of the basic steps of a lithographic process including coating, exposure, development and pattern transfer
such as lift-off or etching.

solutions with a higher dilution rate. After this, the sample is by using techniques such as etching or lift-off. Finally, the
baked on a hotplate in order to remove the excess solvent from resist structures are removed via a liquid stripping process
the resist and to thermally anneal residual stress in the resist or dry oxygen plasma etch without altering the properties of
built up during the spinning session. This step is referred to as the layers beneath the resist. Lithography machines (exposure
a post-apply-bake (PAB). Next, assuming EBL, the sample is e- tools) and resists (imaging and recording media) play a crucial
beam irradiated causing chemical changes in the exposed area role in advanced nanolithography. Figure 2 [20] shows that
which influence the solubility of the exposed area relative to the in order to write very small structures in a very short time a
unexposed area of the resist in a developing solvent. Following
lot of effort has to be made to improve both the lithographic
e-beam exposure, the sample is baked again to either thermally
tool and the resist process. The dashed line represents a
anneal the exposed regions, in order to reduce unwanted
phenomenological relationship between the resolution and
chemical changes that might have been caused within the resist
throughput given by the following power law fit: resolution
layer during the exposure, or to promote further chemical
changes in the exposed or unexposed area. This step is referred ≈2.3 A0t .2 , where resolution is measured in nanometers and
to as a post-exposure-bake (PEB). Subsequently, the sample the areal throughput ( At ) is in μm2 h−1 . Optical step and
is developed through spray, puddle or immersion methods. repeat reduction printing offers a high areal throughput at the
A resist can have a negative or positive tone depending on expense of resolution. At the opposite extreme, manipulation
whether the unexposed or the exposed regions are removed of individual atoms at low temperatures using a scanning
from the substrate during the development process. Usually, tunneling microscope (STM) ensures the finest resolution but
the patterns obtained are transferred into or onto the substrate has a very slow writing speed.

3
Nanotechnology 20 (2009) 292001 Topical Review

of the electron scattering effect from the substrate. There


is no need to implement complicated computer algorithms to
correct for the proximity effect, which is both expensive and
time consuming. Still there are several disadvantages when
using low acceleration voltages, for example an increase in
the beam size, the requirement to use very thin resist layers or
charging effects. If a thick resist layer is used, it is possible that
electrons (which have a low penetration depth at low voltage)
expose only a fraction of the resist thickness. During the
development process, the unexposed underlying resist layer
might be dissolved leading to a patterning failure.
For sub-100-nm resolution, the resist technology becomes
an issue due to electron scattering, both in the resist and
in the substrate. An ideal resist material should have high
Figure 2. Resolution (nm) versus throughput (μm2 h−1 ) for different sensitivity, high contrast, high resolution, high plasma etching
lithographic methods which have been demonstrated to date (adapted resistance for pattern transfer to the substrate and small
from [20] with the addition of results obtained by van Dorp et al [21] molecular size. In order to reduce the volume of electron
with e-beam induced deposition (EBID)). Adapted from [20].
scattering, very thin resist layers should be used when high
resolution is desired. A review of all the materials suitable
for use as a high-resolution e-beam resist would be a titanic
1.3. Electron beam lithography work, due to the impressive number of papers available in
Electron beam lithography provides excellent resolution due the literature. Because we are currently interested in sub-
to the small wavelength and a small probe size, whereas 20-nm nanostructure fabrication, the goal of this review is to
the resolution in optical lithography starts to become limited bring some order to the existing knowledge on various possible
by the wavelength of the light that is used for exposure. resists for this resolution range. In section 1.4, we describe the
In addition, EBL is a flexible patterning technique that can framework that should be considered when nanostructures are
work with a variety of materials. The main drawback is written. In section 2, an overview of existing high-resolution
that it is slow (typically 107 pixels s−1 ) and too expensive e-beam resists is given. In the following section, which is
for volume manufacturing. However, in the last decade, dedicated to hydrogen silsesquioxane (HSQ) e-beam resist,
an impressive amount of work has been done to improve we present the properties which make the use of HSQ very
the performance of the EBL tool. The main characteristics attractive when sub-10-nm features are written using EBL. The
an e-beam pattern generator should have are: a small spot changes that HSQ undergoes before, during and after e-beam
size (down to a few nm), low cost (high throughput), high exposure are presented step by step. Next, several applications
reliability (high yield) and the ability to write reproducible of HSQ in other fields than EBL (e.g. extreme ultraviolet
structures over large areas. There are two main e-beam (EUV), NIL, step and flash imprint lithography) are discussed
writing strategies, projection printing and direct writing. In in section 4. The fifth and last section is devoted to conclusions
projection printing, a large e-beam pattern is projected parallel and recommendations for future work.
through a mask onto a resist coated substrate by using a
high-precision lens system. In direct writing, a small e- 1.4. Framework for the nanofabrication process
beam spot is moved with respect to the wafer to expose the
wafer one pixel at a time, eliminating the expensive and time- In the following paragraphs we will discuss the most important
consuming production of masks. Typically the writing field, properties that the e-beam resist must have in order to become
which is defined by the maximum deflection range of the e- a suitable candidate for nanolithography.
beam, is of the order of a few hundred micrometers. Larger Electron dose (usually expressed in μC cm−2 ) is the
patterns require mechanical stage movements, which need number of electrons per unit area required to achieve the
to be very accurate in order to precisely stitch consecutive desired chemical response in the resist. Each lithographic
writing fields. Most exposures are performed with high-energy process has an optimum dose which represents the dose at
electrons (between 50 and 100 keV) because they provide which the measured linewidth after development is equal to
very high resolution. The backscattered electrons from the the designed linewidth. Exposing a pattern correctly usually
substrate cause exposure of areas in the proximity of the requires the performance of a preliminary test exposure,
intended pattern (proximity effect), which in most cases can referred to as a dose test. In this test, the same pattern is
be corrected for (proximity correction). In e-beam lithography exposed at different doses and after the development process
at low energy (between 2 and 20 keV), the penetration depth the optimum dose can be determined through inspection in a
of electrons is limited. In this case, electrons will lose suitable inspection tool (scanning electron microscope, atomic
(almost) all their energy in the resist layer, increasing the force microscope, optical microscope, etc). The actual size of
exposure efficiency (or the sensitivity) and the throughput of the patterned feature is drastically influenced by the electron
the lithography tool, but at the expense of a high resolution. dose. When a pattern is exposed at lower doses compared
Also, the proximity effect is reduced due to the minimization to the optimum dose (underexposure), the actual structure

4
Nanotechnology 20 (2009) 292001 Topical Review

width is smaller than the designed structure width but the


probability for pattern irregularities also increases. The main
consequence of overexposure (dose higher than the optimum
dose) is a widening of the pattern size. In general, the electron
dose should be low in order to achieve high sensitivity and
throughput.
When exposing test patterns consisting of lines with
different widths and pitches written with various exposure
doses, the line exposure dose is another important parameter
used to characterize the lithographic process. In order to obtain
a very high resolution, the lines are written with a beam step
size (the distance between two adjacent pixel exposures) that is
as small as possible. Depending on the designed linewidth, an
exposure is performed by scanning the beam once (single pass)
over one (single exel or 1-exel) or n adjacent lines (n -exel line).
The line dose is calculated by multiplying the area dose with
the designed linewidth.
The process latitude (PL) represents the change in critical Figure 3. Remaining resist thickness versus dose for positive tone.
dimension (CD) for a given change in the exposure dose and it
is given by the following expression:
Line edge roughness (LER) or the linewidth fluctuation
PL(%) = 100(D[+10%] − D[−10%] )/D[0%]
becomes a serious issue when the pattern size shrinks. For
nanolithography, the LER should be as small as possible
where D[0%] represents the optimum dose and D[±10%] are
the doses corresponding to a 10% increase or decrease in the in order to avoid pattern distortion or deterioration of the
feature size. Another definition for the PL (which is rarely resolution. The σ value (the root mean square (RMS) of
used) is related to the development time: the fluctuations in edge position) is the most frequently used
parameter for characterization of LER. A typical measured
PL(%) = 100(t[+10%] − t[−10%] )/t[0%] . value for LER is about 3 nm [22] or 10 nm (3σ ) [23], when
resists with a very high contrast are used. The LER is caused
Contrast and sensitivity are the most frequently used terms by various factors which range from the lithographic system
for the characterization of e-beam resist. In general, the e- (dose fluctuation, mask roughness) to resist materials and the
beam resist is characterized by contrast curves, which can be development process. It becomes important to take shot noise
easily obtained by plotting the remaining thickness after the effects into account. The statistics of electron arrival gives
development process versus the electron dose (figure 3). An rise to dose variations, which translate to variations in the size
ideal e-beam resist should have both a high contrast and a high of written structures. Nevertheless, the main cause for LER
sensitivity. Unfortunately, in reality, an increase in one of these is represented by the polymer aggregates which are naturally
parameters leads to a decrease in the other, and vice versa. A present in all the resist layers. These polymer aggregates are
high sensitivity will provide a high throughput (e.g. reduction built up of resist molecules and they can even reach 20–30 nm
of the writing time), but in general leads to a shallower slope in size in commercial resists such as ZEP, SAL and PMMA.
for the contrast curve, hence a lower contrast. There are two ways to image these polymer aggregates: either
Contrast (γ ), also called ‘the vertical contrast’, is defined by observing the cross section of the resist film using a
as the slope of the linear portion of the falling edge (positive scanning electron microscope (SEM), or by observing the
resist) of the remaining resist thickness versus log (dose) curve: resist surface after the development process using an atomic
γ = 1
D2 , where D1 is the maximum dose at which still force microscope (AFM). The former metrological tool is
log( D )
1
no film is lost (i.e. the linear portion of figure 3 extrapolated most frequently used due to its simplicity and lower risk of
to 100%) and D2 is the minimum dose at which all the resist damaging the sample. The presence of the polymer aggregates
thickness is lost (see figure 3). The sensitivity is defined as the causes uneven dissolution rates between the aggregates and
dose for which all of the resist is removed ( D2 in figure 3). the surrounding areas, due to the density difference between
For thick resist layers and large structures, these curves are these two regions. The surrounding areas of the aggregates,
easy to obtain. In general, the remaining resist thickness which have a lower density than the polymer aggregates, are
after the development process is measured with a surface quickly and completely dissolved. After that, the aggregated
profilometer. When thin resist layers are used (<10 nm), polymers are extracted from the surface, although it is possible
reliable measurements are difficult to get, even when using an that the dose is too low to achieve complete development. This
atomic force microscope (AFM) operating in tapping mode. In phenomenon is known as ‘aggregate extraction development’.
this case, the ‘horizontal contrast’ is measured; this is defined A higher dose might limit the effect of the aggregates (to
as the slope of the linear portion of the graph of the linewidth the detriment of resolution and high throughput) but it cannot
measured after the development plotted versus the logarithm of completely eliminate the trapping of the aggregates at the
the dose. edge of the pattern. At the edge of the pattern, aggregates

5
Nanotechnology 20 (2009) 292001 Topical Review

are not extracted and remain trapped in the sidewall after the anisotropic sidewalls. All these problems seem to be solved
development process. This is due to the low dose received by using a dry etching process. In this case, a vertical profile
by the sidewalls, impeding the dissolution of the areas which is obtained, resulting in exact replication of the pattern in
surround the aggregates. As a consequence, the shapes of the the underlying layer or substrate. The physical and chemical
polymer aggregates appear at the edge of the pattern, causing properties of the resist material represent the main limitations
LER. The LER is encountered in all e-beam resists and is for the etching process. In general, a very high etch resistance
more pronounced in lightly exposed regions. One way to is preferred.
overcome this limitation for nanolithography is to use resists Regarding the resist material, the process window is an
with small molecular size which implies small aggregated important parameter when e-beam-based nanolithography is
polymers, e.g. HSQ or calixarene. Several authors have performed. It is well known that the resolution is limited
succeeded in improving the LER by reducing the differences by various factors such as baking temperature, resist material,
between the dissolution rates in the polymer aggregates and delay time between baking and exposure, electron dose and the
surrounding areas. Yamaguchi et al [24] developed a novel development process. The process window is just an indication
type of resist by chemically amplifying the positive e-beam of how much these factors can be varied without causing any
resist ZEP 520. After the baking procedure, the resist layer is appreciable loss in resolution or distortion of the pattern. If a
cross-linked in such a way that there is no difference in density resist has a wide process window for a certain factor mentioned
between the polymer aggregate and the surrounding areas. The above, that means that this factor might be varied but that it will
LER was reduced to 2 nm in this novel e-beam resist, whereas not cause a major change in the resulting pattern.
the LER in a conventional ZEP resist is more than 3 nm, when An ideal resist material should have high sensitivity, high
isolated lines with a width of 100 nm are written. contrast, high resolution, high plasma etching resistance for
The resolution (i.e. the capability of resolving very small pattern transfer to the substrate and small molecular size. In
features) of e-beam resists should also be high. Therefore, order to reduce the volume of electron scattering, very thin
the resist should be very thin to minimize electron scattering, resist layers should be used when high resolution is desired.
which is the resolution-limiting factor when very small
structures are desired. In general, the patterned structures are 2. High-resolution e-beam resists
lines and the repeating distance between adjacent features is
called ‘the pitch’. The lines can be classified into isolated Over the past decades, different types of resist materials
(when the designed feature width is much smaller than the have been investigated. In general, e-beam resists can be
pitch) or lines and spaces (when the designed feature width classified into two major categories: organic and inorganic.
is equal to half the pitch). When the pitch of the features Electron beam resists are altered by the beam in such a way
decreases, achieving high resolution becomes a real challenge. that, after development the portion exposed to the beam is
The ultimate resolution test can never be performed on isolated removed (positive resist) or remains on the substrate after the
features because it would be hard to tell whether the structures unexposed portion is removed (negative resist). In general,
were under-exposed or over-developed, leading to a smaller resist materials are applied onto the surface of the substrate by
linewidth. Therefore, lines and spaces should be written when spinning techniques and are dried to form a thin uniform layer
the resolution is tested for a certain lithographic process. of thickness depending on the application and the resolution
Linewidth control and the reproducibility is the ability to (minimum feature size) required. In the following sections, we
maintain the same feature size across an entire sample and will discuss the properties of these e-beam resists and it should
from one substrate to another, respectively, when the electron be stressed that we selected only published results on ultrahigh
dose is kept constant. Linewidth control can be determined by resolution especially in the sub-20-nm region, unless explicitly
measuring the size of the feature on different positions over a mentioned otherwise.
large area on one sample and then by plotting these values as
a function of position. Furthermore, when this data are plotted
2.1. Organic resists
as a function of time or batch number the reproducibility of the
lithographic process can be visualized. All e-beam resists consisting of carbohydrates are called
Etch resistance represents the ability of the resist to organic resists and they are, typically, polymeric materials.
withstand an etching process and it is probably the most Some of these resist polymers are discussed in the following
difficult requirement to achieve for a resist. After development, paragraphs.
the pattern is usually transferred into the underlying resist layer Since it was discovered [25], polymethyl methacrylate
or substrate by either a wet or dry etch process. Although (PMMA) has been used as the standard resist for high-
wet etching offers high throughput and good selectivity (ratio resolution e-beam lithography. During e-beam irradiation, the
of the etch rate of the film being etched to the etch rate of long polymer chains of PMMA are fragmented into smaller
the underlying film or substrate), it can also cause a loss chains making it soluble in an appropriate solvent (usually
of adhesion of the resist, due to the interaction between the methyl isobutyl ketone, MIBK). At the same time, a parallel
etchant solution and the resist itself. Moreover, wet etching process of polymerization occurs in which the polymer units
is isotropic which means that the etching rate is equal in all are cross-linked together and form an insoluble material. At
directions. As a consequence, underetching will not only alter low exposure doses, the scission process dominates, allowing
the size of the transferred pattern, but will also lose the vertical, PMMA to be used as a positive tone e-beam resist. At high

6
Nanotechnology 20 (2009) 292001 Topical Review

Figure 4. Dense lines written in a 40 nm PMMA negative tone resist


layer. The exposure was performed at various pitches and doses as
indicated in the figure [26]. Reprinted with permission from [26].
Copyright (1997), Institute of Physics.

exposure doses, the polymerization becomes more dominant


and PMMA can also be used as a negative resist. In general,
this negative tone is not often used due to the high exposure
dose that is required to initiate it. Hoole et al [26] wrote
lines approximately 15 nm wide at a 30 nm pitch in a 40 nm
thick PMMA negative tone resist using an acceleration voltage Figure 5. Approximately 3 nm wide NiCr wires using 100 keV EBL
of 300 keV and a 10 pA beam current. The line exposure and PMMA resist [27]. Reprinted from [27]. Copyright (1996), with
doses (185 nC cm−1 ) were almost 100 times higher than the permission from Elsevier.
ones usually used for positive tone PMMA. Acetone was
used as the developer because it strips off very effectively is very hard to control, because if the electron dose is too high
the unexposed and partially exposed regions but is unable to the lines will always be continuous.
remove the polymerized PMMA from the exposed area. In Hu et al [28] showed that when cold development and
a similar experiment, the pattern was successfully transferred high electron doses are used, a higher resolution compared to
into a Au/Cr (6.4/1.1 nm) film by means of argon ion milling the development at room temperature is obtained. This is due
using the PMMA as a mask layer. In this case, Au lines to the molecular weight of the PMMA which decreases when
approximately 12 nm wide were achieved at a pitch of 35 nm. the electron dose increases, such that during cold development
Although the pitch of the dense features is smaller than what only the central part of the exposed region is removed by the
can be obtained with PMMA positive tone, the stability of the developer, enhancing the resolution. PMMA isolated trenches
structures is a serious problem, as can be seen from figure 4, of 4–8 nm at a 200 nm pitch were successfully obtained at 6 ◦ C
especially when the aspect ratio (the ratio of the height to the and by adding 1.5% methyl ethyl ketone (MEK) to the IPA
width of the feature) is high. developer in order to remove the PMMA residue. The initial
Even the lines which are well developed have a tendency thickness of the PMMA layer was 60 nm. Regarding dense
to occasionally be connected. The authors [26] suggested features, linewidths of 5–7 nm were successfully patterned
that these problems might be overcome by using a thinner at a pitch of 30 nm in a 40 nm PMMA resist layer. The
resist layer, but this will make the transfer process more exposures were performed at 30 keV and with a line dose of
difficult to realize. Using PMMA in positive tone, Cumming 1.2–1.3 nC cm−1 . The authors also observed that, when a low
et al [27] fabricated 3 nm wide NiCr wires at approximately temperature is used, the dissolution rate of PMMA molecules
100 nm pitch using 100 keV EBL with a beam current of decreases, hence the loss of the PMMA molecules at the trench
12 pA and a 50 nm thick double layer of PMMA resist. The edges will be less than at room temperature, improving the
exposure dose was 1.8 nC cm−1 . They intentionally exposed quality of the pattern. On the other hand, a slower dissolution
lines from which several pixels had been omitted. After rate will also increase the development time, which might lead
development (in isopropyl alcohol (IPA):MIBK in a 1:3 ratio to unwanted resist etching of the isolated structures, affecting
at room temperature for 30 s), deposition of a 10 nm layer of the quality of the pattern.
NiCr and a lift-off process, they measured very small wires Several authors [29, 30] have demonstrated that by
(approximately 3 nm) in the gaps of the exposed lines which using ultrasonic development (operating frequency 38 kHz) in
are connected to wider, continuous wires (see figure 5). They place of a conventional development process (usually manual
believe that the wires in the unexposed area are generated by immersion in a developer solution), the resolution and the
the secondary electrons (SE) from the nearby exposed regions resist swelling are significantly improved. In a negative tone
and not by the primary electrons (PE). However, this process e-beam resist, swelling always produces a broadening of the

7
Nanotechnology 20 (2009) 292001 Topical Review

written feature, thus swelling should be minimized. On the


contrary, when swelling takes place in a positive tone e-beam
resist, smaller features can be obtained but also the lithographic
process is less controlled. In general, intermolecular forces
and swelling of the resist are the factors which limit the
resolution when using PMMA as the e-beam resist. When
a resist is exposed, the intermolecular forces exerted on
exposed resist molecules by unexposed molecules increase,
thereby decreasing the linewidth. The exposed molecules are
trapped in the potential well of the unexposed molecules and
a high dose is needed in order to perform the development
process. The ultrasonic development raises the potential well
of the exposed molecules, favoring the development process
taking place at lower doses (hence a higher resolution) and
shorter development time compared with the conventional
development method. Also, in this case, the solvent dissolution (a)
speed is lower due to a higher molecular weight. Therefore,
the swelling of the resist (which is directly proportional to
the dissolution speed) decreases when ultrasonic development
is used. Moreover, for the same development time, samples
developed with ultrasonic agitation need a lower dose in
order to ensure the success of the development process, hence
the swelling is less than for those developed conventionally.
Isolated lines with 3–4 nm width and 80 nm pitch [29]
were successfully obtained in a 40 nm thick PMMA resist
layer, using a high-resolution e-beam patterning machine at
an acceleration voltage of 80 keV and a spot size less than
4 nm. The exposure dose was 325 μC cm−2 . Chen et al [30]
successfully transferred 5–7 nm wide isolated lines into the
Si substrate, using reactive ion etching (RIE). The exposure
conditions were similar to the ones described above, except
(b)
for the thickness of the PMMA resist layer (which was about
65 nm) and the exposure dose (740 μC cm−2 ). Still, pattern Figure 6. Sub-10-nm features written in 50 nm PMMA layer:
distortion and destruction of the small structures are the main (a) lines with 2–3 nm width, at a pitch of 30 nm; (b) nanoholes with
disadvantages of using ultrasonic development. 6 nm diameter, at a pitch of 20 nm [32]. Reprinted with permission
from [32]. Copyright (2006), American Institute of Physics.
Development in pure IPA [31] with ultrasonic agitation
seems to work very well. With the use of pattern transfer
techniques (lift-off and RIE) 20 nm lines and spaces were as small as possible in order to allow the development of
obtained in a 50 nm PMMA layer by using a TEM, operating the resist. At megasonic agitation (1 MHz), this layer is
in scanning mode at 200 keV. The line exposure dose was about 0.6 μm, while at a lower frequency (ultrasonic case,
11 nC cm−1 . Küpper et al [32] improved the PMMA resolution 40 kHz), it is about 3.8 μm. Very high aspect ratio dense
by using a megasonic-assisted development technique which holes (exposure dose 63.4 mC cm−2 ) and lines (exposure dose
operates at frequencies between 700 kHz and 1 MHz, 5.8 mC cm−2 ) (see figure 6) with a pitch down to 30 nm
reducing the risk of destroying the pattern. During ultrasonic have been successfully fabricated in a 50 nm PMMA resist
development, microscopic gas bubbles in the developer pulse layer using cold (7 ◦ C) megasonic agitation. The exposure was
with a large amplitude, causing microstreaming of the liquid. performed in a high-resolution lithography tool at 100 keV.
At some point, the bubbles implode, exerting a shock wave in Isolated lines with a high aspect ratio (>20) have also
the developer, which can remove fragments from unexposed been obtained in a 2 μm thick PMMA layer using an electron
or exposed resist areas. However, this might also damage the dose of 11.98 mC cm−2 and a development time of 50 s with
pattern or even cause structures with a high aspect ratio to megasonic agitation.
collapse. When the frequency increases, the size of the bubbles In conclusion, PMMA can be used to reproducibly obtain
and their force exerted on the resist surface becomes smaller, patterns with nm sizes. The resolution can be improved by
hence the risk of destroying the pattern decreases. Also, when adjusting different steps of the lithographic process such as
a resist is developed with acoustic agitation, a boundary layer the writing strategy or the development process. Although
is formed on top of the resist layer which separates the resist very small structures were successfully fabricated (<5 nm), all
layer from the developer. The thickness of this layer (which the written structures were isolated. Therefore, the ultimate
is directly proportional to the viscosity of the developer and resolution test (writing of lines and spaces) has not really been
inversely proportional to the acoustic frequency) should be passed yet when using PMMA. Also, a frequently encountered

8
Nanotechnology 20 (2009) 292001 Topical Review

Figure 7. Atomic force microscopy (AFM, left) and friction force microscopy (FFM, right) image of approximately 5 nm dots created with
the slow scan raster in a digital SEM; the exposure was performed at 20 keV, 7.6 fC/dot [40]. Reprinted with permission from [40]. Copyright
(1996), American Institute of Physics.

problem of PMMA is the instability of the pattern, which tends octadecylsiloxane) layers patterned with the slow scan raster
to collapse when dense features with high aspect ratio are in a SEM at 20 keV [40].
written. In this case, the authors suggested that the resolution might
Self-assembled monolayers (SAMs) are very good be limited by the performance of the inspection tool (AFM)
candidates for a high-resolution e-beam resist because they or by the intrinsic material limit itself. The range of the
are homogeneous, highly ordered films of molecules with a SE with enough energy to damage the material will limit the
thickness of 1–2 nm and an intermolecular spacing of 0.5– ultimate resolution if this range is larger than the molecular
1 nm. The molecules consist of a single short organic size. The patterns written in SAMs can be further transferred
hydrocarbon chain attached to a specific bonding group. to the underlying substrate by using various etching techniques.
During the self-assembly process, the bonding group attaches Because SAMs are very thin, an etching process with high
to the surface and the chains in the molecules order themselves selectivity (which, at the same time, maintains the lateral
due to the van der Waals interchain forces. In this way a resolution) is desired. Wet etching ensures high selectivity
very thin, uniform and defect-free layer is formed. Using to the detriment of resolution, due to the isotropic etch. On
SAMs, the contamination problem (often encountered in the other hand, using only dry etching is not an option due
nanolithography) is reduced because self-assembly leads to to the poor selectivity. Therefore, most people used a two-
equilibrium structures that are at (or close to) a thermodynamic step etching process, which combines wet and dry etching
minimum. Therefore SAMs tend to reject defects. In procedures. In the first step, a wet etch is used to define a
general, SAMs are prepared by exposure of the solid to pattern in a transfer layer (usually silicon oxide). The thickness
vapors of reactive species or by immersion of solid substrates of this layer should be as thin as possible because of the
in solutions containing species reactive towards the surface. isotropic nature of the wet etch, which broadens the width
For example, SAMs of octadecylsiloxane were assembled on of the etched feature. The second step consists of using the
the native oxide of Si(100) wafers by immersion in dilute remaining SAM (and the patterned oxide layer) as an etch mask
solutions of octadecyltrichlorosilane [OTS; CH3 (CH2 )17 SiCl3 ] for silicon etching using electron cyclotron resonance (ECR) or
in hexadecane and CHCl3 or CCl4 [33]. EBL has been used conventional RIE.
to produce patterns in SAMs grown on various substrates Although very small isolated features have been obtained
(ranging from Si/SiO2 , Al/Al2 O3 [34], bare GaAs and using SAMs, dense features of similar sizes have not yet
InP [35, 36] to metals (e.g. Au, Ag, Cu)) [37, 38, 34] and using been established. Also, the complexity of the etching process
different exposure tools (SEM or STM) and a beam energy prevents SAMs from being used as an ultrahigh-resolution
ranging from 10 eV to 200 keV. Patterning of monolayers of resist.
octadecylthiol [ODT; CH3 (CH2 )17 SH] resulted in 25 nm lines Chemically amplified resists (CARs) are able to produce
and spaces (150 μC cm−2 ) that were fabricated using a JEOL a very high resolution with low exposure doses. Almost all
EBL system operating at 50 keV [33] and lines smaller than CARs can be exposed below 100 mC cm−2 , which means high
15 nm (31 μC cm−2 ) have been obtained with a STM operating sensitivity and high throughput of the lithographic process.
at 10 eV energy [39]. The ODT monolayer was assembled Moreover, they have a high resistance to plasma etching and
on a GaAs substrate by stripping the surface oxide and then a small molecular size (approximately 1 nm). Most of these
immersing the GaAs in the molten thiol. The best resolution resists are extensions of the standard poly(hydroxystyrene)
(5 nm dots; see figure 7) was obtained in ODS (SAMs of polymer blocked with t -butylcarbonyl with a sulfonium acid

9
Nanotechnology 20 (2009) 292001 Topical Review

Figure 8. The chemical structure of calix[n] arene, where n is the


number of phenol groups [41].

Figure 9. SEM image of 15 nm dots at a pitch of 35 nm written in


30 nm thick calixarene resist [42]. Reprinted with permission
generator. Rather than using exposure energy to directly cause
from [42]. Copyright (1996), American Institute of Physics.
a solubility switch, chemically amplified resists use exposure
energy only to generate an acid. A typical CAR consists of a
matrix copolymer and a photoacid generator (PAG). The key durability. Depending on the exposure dose, they can be used
component in the polymer is a deprotection unit. After e-beam either as positive or negative tone e-beam resists [45, 46].
exposure and acid generation, a post-exposure thermal bake The performance of polysubstituted derivatives of triphenylene
step is performed to catalyze the reaction between the acid (e.g. 2,3,6,7,10,11-hexapentyl-oxytriphenylene, see figure 11)
molecule and the protecting group incorporated in the polymer demonstrated a higher dry etch durability than, for instance,
backbone. The generated acid initiates a chain reaction or the negative tone e-beam resist SAL 601, which is known for
promotes a cascade of solubility-switching reactions in the being an e-beam resist with relatively high etch resistance [46].
exposed regions of the resist. One chemical conversion can Isolated dots and lines with sizes of approximately 20 nm
cause several chemical reactions and thus the exposure is were fabricated in a 20 nm resist layer using a SEM operating
said to be chemically amplified. In this way, structures can at 30 keV. The exposure dose was 30 fC/dot for the dots and
be written at low exposure doses, saving time and money 60 nC cm−1 for the lines. Furthermore, using this resist as a
in the manufacturing process. There is a wide variety of mask, isolated lines with 10 nm aspect ratio have been obtained
chemically amplified resists with different base polymers and in silicon using ECR–RIE.
acid generators as primary components in the polymer matrix. The difficulty of fabricating thin, uniform, defect-free
One of them is calixarene which has a cyclic structure, as resist layers and the low sensitivity seem to be the main
illustrated in figure 8 [41], and a molecular size of less than limiting factors for these molecular resists. By adding an
1 nm. epoxy crosslinker (Dow DEN438) for chemical amplification
Dots having 15 nm (see figure 9) diameter and 35 nm and by spin coating the resist on freshly cleaved substrates,
pitch (64 mC cm−2 ) were successfully fabricated in a 30 nm the defect density in the resist film was decreased to very low
calixarene resist layer on a Si substrate at 50 keV acceleration values [47]. Robinson et al [48] studied the effect of chemical
voltage [42]. amplification on the sensitivity of fullerene and triphenylene
The edge smoothness of the pattern is due to the molecular derivatives. The sensitivity of a MF03-04 fullerene (which
uniformity of the resist and its small molecular size. Sub- is a mixture of tetra, penta and hexa methanofullerenes with
10-nm isolated lines (shown in figure 10) were patterned in a hydroxyl terminated polyether add-ends) was significantly
20 nm resist layer using a JEOL direct e-beam writing system enhanced from 550 to 8 μC cm−2 when it was chemically
(JBX-5FE) operating at 50 keV and a Hitachi SEM (S-5000) amplified with a photoacid generator in combination with a
operating at 30 keV [43]. crosslinker. The same behavior is observed in the case of a
Although chemically amplified resists have many advan- triphenylene with three pendant epoxy groups (C5/Epoxide)
tages, they have one major disadvantage: the acid generated where the sensitivity is increased from 610 to 7.5 μC cm−2
in the exposed area might diffuse over several nanometers into by adding a photoacid. This high sensitivity was obtained to
the unexposed area, causing blurring of the latent image. Kruit the detriment of the resolution. However, isolated lines down
et al [44] have shown, using Monte Carlo simulations, that an to 25 nm width were successfully patterned.
increasing diffusion length of the acids in chemically amplified
2.2. Inorganic resists
resists has two effects: to average the shot noise over a larger
area and to decrease the resolution. Thus there is an optimum Whereas the most commonly used organic resists are polymers,
diffusion length. inorganic resists are, in general, monomeric species. Under
Other possible candidates for high-resolution e-beam re- e-beam irradiation their chemical structure is changed, which
sist are fullerenes or polysubstituted derivatives of tripheny- leads to variations in the dissolution rate when the development
lene because of their small molecular size and high dry etch takes place. Compared with the organic resists, the

10
Nanotechnology 20 (2009) 292001 Topical Review

(a) (b)

Figure 10. Sub-10-nm isolated lines obtained in 20 nm calixarene resist layer using (a) JBX-5FE and (b) S-5000 as lithographic tools [43].
Reprinted with permission from [43]. Copyright (2003), Japan Society of Applied Physics.

inorganic resists exhibit higher contrast (due to the large


difference between exposed and unexposed regions) and higher
etch resistance (due to their chemical structure) but lower
sensitivity. They can be either vacuum deposited (e.g. metal
halides) or spin coated (e.g. HSQ). Some of the ultrahigh-
resolution inorganic resists are discussed below.
Metal halides such as LiF, AlF3 , LiF(AlF3 ) and NaCl
have been studied as self-developing inorganic e-beam resists.
They can be prepared by vacuum evaporation as uniform films
and can also be used as a mask for RIE pattern transfer
due to their high etch resistance. Most of the exposures
of metal halides were performed in a scanning transmission
electron microscope (STEM), using a Si3 N4 membrane as a
substrate [49]. Regarding the exposure doses, metal halides Figure 11. Representation of the
can be classified into three categories. The first one includes 2,3,6,7,10,11-hexapentyl-oxytriphenylene molecule [46].
self-developing resists such as AlF3 , LiF and NaCl which are
developed during e-beam irradiation by the dissociation of the Fujita et al [51] improved the sensitivity of metal halides
metal halide molecules into their metal and halide components. by using a novel technique for the deposition of AlF3 resist
For a complete exposure they require high electron doses films partially doped with LiF. They used a multi-target (LiF,
in the range of 1–100 C cm−2 . Some of them (e.g. AlF3 ) (Li0.9 Al0.1 )F y and (Li0.7 Al0.3 )F y ) ion beam sputtering method
exhibit volatilization of metal fluorides in the exposed areas. in which the chemical composition of the film was adjusted
Others, like LiF, will exhibit only volatilization of the fluorine by controlling the flux ratio from the targets having different
component and radial diffusion of the metal component at the chemical composition. The ion beam sputtering reduced the
substrate surface, away from the exposed area. In both cases, a resist grain size below 10 nm even at room temperature. Five-
positive relief structure is formed in situ in the exposure system nm lines (line dose 100 nC cm−1 ) at a 30 nm pitch (shown in
and no development step is required. Muray et al [50] observed figure 13) were formed in a 10 nm resist thickness using a SEM
that an exposure dose of 10 C cm−2 is sufficient to produce at 30 keV with 1.5 nm beam diameter. The pattern transfer
total mass loss in a 80 nm thick AlF3 film. A dense array to the substrate was not very successful due to some residues
of very small holes of approximately 2 nm in diameter and which remained in the pattern after the development.
4 nm pitch has been successfully fabricated using a STEM at The second category includes resists (CaF2 , MgF2 )
100 keV (see figure 12). which also require relatively high exposure doses (approxi-

11
Nanotechnology 20 (2009) 292001 Topical Review

Figure 13. Approximately 5 nm wide lines written in a AlF3 -doped


LiF inorganic resist at 30 keV and at two pitches: (a) 60 nm;
(b) 30 nm. The line dose was 100 nC cm−1 [51]. Reprinted with
permission from [51]. Copyright (1995), American Institute of
Physics.
Figure 12. Annular dark field STEM image (ADF-STEM) of arrays
of holes in AlF3 resist: (a) 4 nm diameter and 8 nm pitch; (b) 2 nm
diameter and 4 nm pitch [50]. Reprinted with permission from [50]. Al2 O3 resists show an e-beam sensitivity of approximately
Copyright (1985), American Institute of Physics. 50 mC cm−2 , whereas for the sputtered Al2 O3 resist the
electron dose is about 5 × 106 mC cm−2 . In this case, we can
mately 10 C cm−2 ) because the chemical conversion of the say that the sensitivity is very similar to that when using a high-
halide to another product has to occur before the exposure resolution organic resist such as calixarene (20 mC cm−2 ).
Compared with the sputtered metal oxide resists, under e-beam
is complete. These resists have to be developed in water or
irradiation the spin coated resists seem to need only a few
another suitable agent to chemically dissolve the radiolysis-
bonds to be broken (low dose) in order to make the irradiated
induced product. The third category includes metal halides
area insoluble in the developer solution. Ten-nm isolated resist
which can act as a negative resist, depending on the receiving
lines and 15 nm lines etched into silicon were obtained with
dose (usually not higher than 0.01 C cm−2 ).
a spin-coatable 60 nm thick Al2 O3 resist layer [52]. The
In conclusion, patterning at high doses, the difficulties
exposure was performed in a modified Hitachi HL-700F e-
in inorganic resist coating and the delicate handling of Si3 N4 beam exposure system at 70 keV. The measured LER showed
membranes (used as substrates in STEM) prevented their large- a lower value (about 2.4 nm at 300 mC cm−2 ) than in the
scale application. case of other organic resists such as ZEP 520 and SAL-601,
Several authors have reported high-resolution patterning which indicates that the aggregates have smaller sizes in the
when using metal oxide (AlOx , ZnO, TiOx ) as an e-beam spin coated metal oxide resists. Figure 14 shows that an even
negative tone resist. In general, these resists are either higher resolution (sub-10-nm isolated and dense lines) [53]
sputtered on a substrate using a conventional rf reactive was achieved with a spin coated TiO2 resist exposed by a high-
sputtering process (e.g. AlOx ) or are spin coated colloids resolution e-beam lithographic tool at 100 keV. Unfortunately,
or naphthenates. The metal naphthenates are stable viscous if the pitch decreases the pattern collapses, decreasing the
liquids at room temperature and consist of cyclopentanes or pattern quality, as seen in figure 14(c).
cyclohexanes, methylene chains [−(CH2 )−], carboxylates and Saifullah et al [54] fabricated 7 nm isolated lines with
metals. Under e-beam exposure, the naphthenate molecules a high aspect ratio (approximately 10) in 100 nm ZnO–
are cross-linked, increasing the molecular weight of the resist, naphthenate resist using a Leica VB-6UHR nanowriter with
making it insoluble in the developer. In comparison to 1.5 nm beam diameter and 2 nm beam step size. This
sputtered materials, the sensitivity seems to increase by almost high resolution is due to the molecular size of the resist
a factor of 106 when resists are spin coated and if their structure while the strong crosslinking between the ZnO–naphthenate
is chemically changed [52, 53]. For example, the spin-coatable molecules during exposure leads to a high aspect ratio. When

12
Nanotechnology 20 (2009) 292001 Topical Review

Figure 14. Sub-10-nm dense features written at a dose of 292.5 mC cm−2 in spin-coatable TiO2 resist, at various pitches: (a) 60 nm,
(b) 40 nm, (c) 30 nm [53]. Reprinted with permission from [53]. Copyright (2003), American Chemical Society.

samples are heated at 500 ◦ C for an hour in air, the pattern Despite their advantages (low LER, reproducibility,
shrinks due to the decomposition of the organic component, pattern control), instability of the pattern and the low
resulting in the appearance of crystalline ZnO. In this way, throughput seem to be the main factors which prevent the
5 nm isolated lines with low edge roughness (2.8 nm) were use of spin coated metal oxide resists for nanolithographic
obtained. The improvement in the LER is caused by the purposes.
uniform removal of the organic components from all sides
of the lines during the heating. Although the sensitivity is 2.3. Nanocomposite resists
relatively high (38 mC cm−2 ), the stability of the pattern is
the limiting factor when using metal oxides as high-resolution Some authors have tried to improve the properties of e-beam
resists. For isolated nanolines with a high aspect ratio, the lines resists by incorporating nanoparticles (usually fullerene or
have to be supported by forming a grid in order to avoid pattern silica) into the resist layer. Ishii [55] showed that the etching,
collapse. Unsupported lines require a higher dose which might mechanical and thermal resistance of the resist material are
decrease the resolution. Also, the dense features exhibit pattern enhanced when sub-nm-size fullerene C60 molecules are added
collapse due to surface tension forces during development, into the positive e-beam resist ZEP 520. These improvements
blow drying or an insufficient exposure dose. are very important when writing dense nanopatterns with a

13
Nanotechnology 20 (2009) 292001 Topical Review

Conventional Resist an ultrafine mask with feature sizes down to 45 nm has been
Molecules successfully fabricated. Hu et al [56] developed a novel
nanocomposite resist by adding silica particles to ZEP 520
resist which enhances the resolution and the resistance to
oxygen plasma etching. When incorporating 4 wt% SiO2
nanoparticles (relative to the bulk content of ZEP 520), an
actual line of 47 nm was obtained in a 370 nm thick resist layer
under 20 keV e-beam exposure. When the same experiment
was performed in unmodified ZEP 520, the actual resist
linewidth was 130 nm. They suggested that this improvement
Etching Resistant
Group in the resolution is due to the silica nanoparticles (n-SiO2
particles) which reduce the lateral spread of the SE due to a
‘blocking’ effect of n-SiO2 particles. The nanoparticles have
a higher atomic number than the resist material and hence a
higher stopping power, which should lead to a reduction of
Nanocomposite the proximity effect in the nanocomposite resist. Although
the resolution is improved, there is no significant change
in the sensitivity and contrast of the nanocomposite over
unmodified ZEP 520. Also, an improvement in the resolution
is observed when silica nanoparticles are incorporated in a
KRS-XE chemically amplified e-beam resist developed by
IBM [57]. By incorporating 10.7 wt% SiO2 nanoparticle in
a KRS-XE resist layer, 100 nm lines and spaces have been
successfully fabricated at 75 keV e-beam exposure. Under
C60 the same conditions, the pattern was overexposed when the
unmodified KRS-XE resist was used. When the pitch is
Figure 15. Concept of the nanocomposite resist system: the
decreased to 75 nm, the features in the SiO2 /KRS-XE resist
incorporation of sub-nm-size fullerene C60 molecules into a
conventional resist enhances the etching resistance, thermal remain standing but they are not fully resolved, whereas the
resistance and mechanical resistance of the resist [55]. Reprinted features in the KRS-XE resist collapse due to their high aspect
with permission from [55]. Copyright (1997), American Institute of ratio (greater than 4).
Physics. Although the resolution is not very good (approximately
45 nm lines and spaces), the nanocomposite resist might
high aspect ratio because they tend to collapse due to their poor become suitable for nanopatterning if the process of
mechanical strength or etch resistance. The basic idea behind incorporating the nanoparticles into the resist is further studied
this nanocomposite resist system (schematically illustrated in and optimized.
figure 15) is that the C60 molecules are reducing the free
volume in the spin coated resist layer, blocking the intrusion of 2.4. Overview
the etching reactants in deeper regions of the resist molecules,
increasing the etching resistance. An overview of the best resolution (for both isolated and dense
Also, because nanometer sized fullerene molecules have a structures) and the corresponding exposure electron dose of all
high melting point (>700 ◦ C) the thermal motion is hindered, the discussed e-beam resists is given in table 1. Here, ‘dense’
hence the thermal resistance of the resist film is enhanced. The stands for structures where the linewidth is equal to half the
mechanical strength of the nanocomposite resist is enhanced pitch (‘lines and spaces’) or 1/3 at minimum. Also, the main
because by adding C60 molecules the density of the film resolution-limiting factors for each type of e-beam resist are
increases, therefore increasing the strength of the resist. The mentioned.
incorporation of 10 wt% C60 to the original resist solution As we see, the smallest isolated and dense features were
showed enhancements of etch resistance (about 15%), thermal obtained using PMMA, and metal halides at relatively high
resistance (about 30 ◦ C) and mechanical strength (3.5–5.5 in exposure doses. Although in this case, the resolution is
the aspect ratio). Exposures were performed in a 250 nm excellent (2–5 nm), pattern collapse and the low etch resistance
thick ZEP 520 resist layer which contains 10 wt% C60 , using prevents PMMA from being a suitable e-beam resist for
a 25 keV Gaussian electron beam machine (JEOL-5FE). The nanolithography. For metal halides, the experiments were
enhancement in the etch resistance was measured with an performed in a STEM, therefore the delicate handling of the
ECR dry etch process and the improvement in the thermal and Si3 N4 membranes makes the experiments quite difficult. Also,
mechanical resistances was checked by imaging the pattern it is not easy to find application of these small structures
with the SEM. For the first, the pattern was inspected when when they are not written on a bulk substrate. For a better
it was heated up to 150 ◦ C, and for the second the aspect visualization of the data present in table 1, we plotted the
ratios of the patterned structures were measured. The new feature size (for both cases, isolated and dense structures) as
resist system has been applied to x-ray mask fabrication and function of the area dose (see figure 16). In order to have the

14
Nanotechnology 20 (2009) 292001 Topical Review

Table 1. Overview of the discussed e-beam resists and the smallest structures achieved with these resists.
Resist type and Smallest isolated features Smallest dense features Limiting factors
thickness and exposure dose and exposure dose
PMMA
40 nm (isolated) 5 nm wide lines at a pitch of — Collapse of the pattern, low
50 nm (dense) 80 nm; etch resistance
dose = 325 μC cm−2 [29]
2–3 nm wide lines at a pitch
of 30 nm;
dose = 6.9 mC cm−2 [19]
SAMs
2 nm (isolated 5 nm dots at a pitch of 25 nm lines and spaces; Complexity of the etching
and dense) 300 nm; dose per dose = 150 μC cm−2 [33] process
dot = 7.6 fC/dot [40]
CARs
40 nm (isolated) Lines smaller than 10 nm at 15 nm dots at 35 nm pitch Controlling the development
60 nm (dense) a pitch of 100 nm; line dose of 64 mC cm−2 [42] process due to its complex
dose = 6.5 nC cm−1 [43] chemical structure
Fullerene
60 nm (isolated) 25 nm lines at a pitch of — Difficulty of fabricating
20 nm (dense) 500 nm; thin, defect-free film resist
dose = 200 pC cm−2 [48] layers; low sensitivity
17 nm dots and 14 nm lines
at 200 nm pitch; dose per
dot = 3 × 10−14 C/dot line
dose = 6 μC m−1 [46]
Metal halides
20 nm (isolated) 5 nm wide lines at a pitch of 2 nm holes at a pitch of High doses; spin coating
80 nm (dense) 30 nm; line 4 nm; dose per hole: problems
dose = 100 nC cm−2 [51] 10 C cm−2 [50]
Metal oxide
100 nm (isolated) 7 nm wide lines at a pitch of Sub-10-nm wide lines at a Instability of the pattern;
45 nm (dense) 100 nm; pitch of 30 nm; dose = low sensitivity
dose = 38 mC cm−2 [53] 292.5 mC cm−2 [54]
Nanocomposite
380 nm (isolated) 47 nm wide lines at a pitch 100 nm lines and spaces Insufficient knowledge of
275 nm (dense) of 2 μm; dose = 26 μC cm−2 [57] the process of incorporating
dose = 25 μC cm−2 [56] nanoparticles into the resist
layer

same units (mC cm−2 ) for each of the resists, the given line resolution (see figure 17). A thicker resist layer implies not
dose for some of them had to be converted to an area dose. The only an increase in the forward scattering but also generation
assumption was made that the measured linewidth (after the of more secondary electrons in the resist, which will negatively
development process) was equal to the designed linewidth. In affect the resolution.
this case, area dose = line dose/measured linewidth. In conclusion, none of the above presented resists meets
Figure 16(a) shows that, when representing the isolated all the requirements for a successful nanolithographic process.
feature size versus the dose, the points are broadly scattered. Actually, an ideal resist material should combine the properties
On the other hand, for dense features, a virtual curve of an organic (high sensitivity, good reproducibility) and
can be drawn through the values representing an inversely inorganic resist (high contrast, high resolution, high etch
proportional relation between dose and feature size (see resistance, low LER).
figure 16(b)). The difference between the two graphs Still, in the last decade, HSQ (Flowable Oxide, FOx-
highlights the importance of writing dense (instead of isolated) 12 from Dow Corning) has become a serious candidate for
nanostructures. In this way, the risk of achieving small features a high-resolution e-beam resist (see figure 16(b)) because of
due to underexposure or underdevelopment, for example, is its small line edge roughness, high etching resistance and
diminished. small molecular size [22]. HSQ is also an excellent resist for
Another general remark is that all of the presented testing e-beam machine resolution limits because HSQ lines on
experiments (except for the SAMs) were performed on silicon can be imaged directly in a SEM without the need for
relatively thick (20–380 nm) resist layers. We know that by gold evaporation for conduction or ‘lift-off’ techniques [58].
using ultrathin resist layers, the electron scattering both in Sub-10-nm isolated and dense features have been successfully
the resist and in the substrate is limited, hence increasing the fabricated using 100 keV EBL [59–61]. Low LER (below

15
Nanotechnology 20 (2009) 292001 Topical Review

Figure 17. Schematic representation of electron scattering in resist


and substrate.

oxide films. A low dielectric constant lowers the capacitance


between adjacent metal interconnects, reducing electrical delay
and increasing the information processing rate of the device. In
Figure 16. Feature size versus the electron dose using the data from
table 1: (a) isolated features; (b) dense features. 1998 Namatsu et al [22] discovered that HSQ can be used as
a negative tone resist for EBL. They suggested that the silicon
hydrogen bonds (which are weaker than SiO bonds) are broken
2 nm) and high etch resistance (for single and bilayers) have during e-beam irradiation and converted to silanol (Si–OH)
been reported in several papers [22, 59, 62]. The properties groups in the presence of absorbed moisture in the film. These
of the resist (e.g. contrast, sensitivity, etch resistance) are silanol groups are unstable and condense to break the caged
influenced by numerous factors ranging from the manner of molecule to form a linear network. Usually, films were spin
storage to the details of the development process. Therefore, coated onto silicon wafers using Flowable Oxide® (FOx® ),
in the following section we will discus all these factors step by a commercially available HSQ resin solution manufactured
step. by the Dow Corning Corporation (Midland, MI, USA), then
baked and e-beam exposed in order to withstand the subsequent
processing.
3. HSQ and electron beam lithography Regarding the structure [63], HSQ has a cage-like
structure as shown in figure 18(a). In reality, the resist solution
3.1. Brief introduction to HSQ
has a random structure of partially formed cages of various
Initially, the properties of the simplest member of the family sizes (see figure 18(b)). These caged structures, which have
of spherosiloxanes oligomers, e.g. HSQ, were studied by Frye the general formula (HSiO3/2 )2n , are opened during exposure
and Collins [63]. Later on, because of its properties (low and then form a network structure.
dielectric constant, ranging between 2.6 and 3, excellent gap When deposited by spin coating techniques and annealed,
fill and planarization performance), HSQ started to be used as the resulting film is an amorphous oxide with the formula
an interlayer dielectric material in high-performance integrated Hx Si y Oz . Van Delft [67] studied the effect of aging and
circuits [64–66]. The use of multiple metal interconnect layers storage on HSQ resist solutions (FOx-12, Dow Corning). He
became very trivial in the semiconductor industry, due to found that the aging of HSQ (which has a limited shelf life
dimension shrinkage of the devices. These multilayers are of about 6 months) enhances the sensitivity but decreases the
fabricated by deposition of thin silicon oxide films (by spin contrast and resolution. When the FOx-12 solution is stored
coating silicon-based polymer solution) which planarize the at 5 ◦ C, contrast and the onset dose decrease with 0.1 y−1
local wafer topography and enhance the formation of void-free and 7 μC cm−2 y−1 , respectively. This is due to a gradual
dielectric material between adjacent metal layers. Solutions oligo/polymerization of HSQ which broadens the molecular
of HSQ resin have been successfully used as these planarizing size distribution. HSQ is also very sensitive to contamination

16
Nanotechnology 20 (2009) 292001 Topical Review

Wi et al [69] enhanced the adhesion of HSQ on a Co/Pd


multilayer by sputtering a 30 nm amorphous silicon (a-Si) layer
on the Co/Pd multilayer. After e-beam exposure and HSQ
development, the a-Si layer was used as an etch mask for
transferring the pattern into the Co/Pd multilayer, increasing
the etch selectivity and anisotropy. The dry etching process
consisted of two steps. First, the a-Si layer was etched by a
Cl2 plasma. In the second step, the multilayer is etched by an
Ar+ plasma and the pattern is obtained. A multilayered Co/Pd
nanowire array with a linewidth of 40 nm was successfully
fabricated. HSQ also seems to have a good adhesion on
diamond substrates, onto which dense lines with 11.5 nm width
and 23 nm pitch were successfully patterned in a 35 nm resist
layer [69].
Regarding the thickness of the spin coated HSQ layers,
most of the experiments reported in the HSQ literature were
done using 50–100 nm resist layers. Several authors [70, 60]
suggested that thinner resist layers may improve the resolution
that can be achieved with HSQ as a high-resolution e-beam
resist. For nanolithography, where higher acceleration voltages
and thinner resists are used, secondary electron generation
close to the incident e-beam is expected to be the resolution-
Figure 18. Schematic representation of the molecular structure of limiting factor, rather than the secondary electrons generated
HSQ: (a) cage structure for an eight-corner oligomer; (b) random by the backscattered electrons [71]. In general, the main
structure of the resist solution [63]. Reprinted with permission
from [63]. Copyright (1970), American Chemical Society. disadvantages of using ultrathin resist layers are: high defect
density, lack of uniformity, inadequate etch resistance and poor
contrast when nanostructures are imaged with an inspection
and therefore it should always be stored at low temperatures
tool. However for ultrathin HSQ layers, the last one seems
and in polyethylene or fluorocarbon bottles.
to be the only drawback. Word et al [60] showed that very thin
HSQ (25–100 nm) layers have a low roughness and are defect
3.2. Spin coating of resist free, as shown in figure 19.
When spin coating a resist on a substrate, the adhesion strength Grigorescu et al [72] reported a recipe to obtain ultrathin
between the surfaces is determined both by the formation HSQ resist layers. By using a higher dilution rate, 1:10 FOx-
of chemical bonds between the surfaces and the surface 12:MIBK, a 10 nm thick HSQ layer was obtained at 3000 rpm
roughness. If the required pattern consists of long and thin for 60 s on a Karl Suss spinner with the lid closed (in order to
nanostructures (e.g. unsupported lines), the loss of substrate decrease the rate of evaporation of the solvent) (see figure 20).
resist adhesion might become a problem. In general, in EBL, The HSQ spin coating was performed directly on the silicon
chemicals such as HMDS are widely used to improve resist wafer without using a primer. Sub-10-nm structures were
adhesion to the silicon substrate. Unfortunately they are not successfully written in 20 and 10 nm thick HSQ resist layers,
applicable to III–V substrates or metal surfaces. One of the when using 100 keV EBL.
advantages of using HSQ is that it can be directly spin coated Clark et al [73] showed that the atmospheric conditions
on Si substrates without using a primer to improve the resist (air, nitrogen, vacuum) under which the samples are spin
adhesion to the substrate. Several authors also performed coated and stored influence the feature size. If the sample
experiments on HSQ spin coated on a III–V substrate by is spin coated in air and the same pattern is written several
using different tricks in order to enhance the adhesion between times with a constant dose, but after different time intervals,
the resist and the substrate. For example, Macintyre et al an increase in the feature size is observed. For example,
[68] showed that by depositing a very thin titanium layer, the pattern size increases from 30 to 53 nm, if there is a
the adhesion of HSQ to III–V substrates was significantly time interval of 1 h between the e-beam exposures. This
improved. They obtained 10 nm wide isolated lines written effect is smaller (approximately 45 nm) when the sample is
over a single 1.2 mm field using a 40 nm HSQ resist thickness prepared in nitrogen or a vacuum. When large areas are e-beam
and a 2 nm Ti adhesion layer. When Ti is used as an adhesion patterned, the dose should be varied in order to compensate
layer, the adhesion between the Ti and HSQ is promoted by for this exposure time delay. For example, the last written
the formation of Ti–O bonds between the reactive Ti and the structures should have a lower electron dose than the first
oxygen atoms in the HSQ resist, such as Si–OH or Si–O– ones. Also, if there is a delay between the preparation of
Si groups. Also, the authors noticed that if the HSQ is not the sample and e-beam exposure, the increase in feature size
immediately spin coated after the Ti deposition, the adhesion is even larger, over 100%. These effects are probably due
becomes worse due to the oxidation of Ti, which hinders to contaminants (absorbed in the HSQ layer during waiting)
the formation of bonds with the oxygen groups in the HSQ. which hinder network formation during the exposure. For

17
Nanotechnology 20 (2009) 292001 Topical Review

Figure 20. Spin curves for HSQ at different dilution rates and at
different rotation speeds when using a Karl Suss spinner (with the lid
closed or open) and a Convac spinner [72]. Reprinted with
permission from [72]. Copyright (2007), Society of Photo-Optical
Instrumentation Engineers.

They found that the sensitivity and roughness increase and


the contrast and reproducibility decrease when high baking
temperatures are used. For the surface roughness of unexposed
HSQ layers baked at two different temperatures, 90 and 220 ◦ C,
they measured a value of 0.75 nm in both cases. This indicates
that the influence of the baking temperature on the roughness
only becomes apparent after the exposure and the development
of the resist.
Van Delft [58] showed that the samples which are exposed
immediately after the pre-bake exhibit higher sensitivity and
lower contrast compared with the delayed ones. It was
elucidated that this is due to a reversion of the network
Figure 19. (a) AFM image of the surface of a 30 nm thick HSQ formation, the effect being more pronounced if the pre-baking
resist layer. (b) Surface roughness versus HSQ resist thickness [60]. temperature increases. During the pre-baking session on hot
Reprinted from [60]. Copyright (2007), with permission from plates, a thin surface oxide layer is formed in the presence of
Elsevier. oxygen which hinders the diffusion of oxygen into the resist
layer. The thickness of this layer depends on the baking
optimal results, samples should be prepared in nitrogen or a temperature, baking time and on the oxygen concentration.
vacuum and afterward they should be exposed immediately. Henschel et al [74] observed that in the low temperature regime
Usually, the thickness and the refractive index of the (between 90 and 120 ◦ C), this oxidation layer has not (yet)
spin coated HSQ layer are measured with an ellipsometer. been formed and that the network structure is slowly formed
Thickness measurements using a height profilometer, like a during the delay time via a slow oxidation of the Si–H or free
Tencor alphastep, on a scratch in the resist film are not easily Si bonds, leading to a lower sensitivity for delayed samples
used for HSQ due to its surface hardness. compared with the immediately exposed ones. If the samples
Under thermal treatment, bond scissions and recombina- are baked at higher temperatures (above 150 ◦ C), the oxide
tion occur simultaneously, favoring the transition to a network layer might already have formed. In this case, only small
structure and reducing the cage–network ratio. The Si–H bonds molecules (e.g. hydrogen) can reach the resist layer and create
are broken during baking and some of the hydrogen diffuses Si–H bonds as a result of a reaction with Si dangling bonds.
out. Since Si–O bonds are stronger than the Si–H bonds, the As a consequence, also in this case, the delayed samples have
presence of oxygen during baking promotes the scission of Si– a lower sensitivity but a higher contrast compared with the ones
H bonds as well as the network formation. At the end of the exposed immediately.
baking process, a number of dangling Si bonds is created, due
to the incomplete recombination of Si–O and Si–H bonds. For 3.3. Electron beam exposure
high baking temperatures, the number of dangling bonds in- Direct writing EBL, based on the SEM, is the most common
creases but the amount of H and the cage–network ratio de- technique for writing very small structures in HSQ layers.
creases. Sub-10-nm lines [76, 77] have been successfully achieved
Henschel and Georgiev [74, 75] studied the changes in when using very small spot sizes and acceleration voltages of
the structure of HSQ produced by the pre-bake temperature. 100 keV.

18
Nanotechnology 20 (2009) 292001 Topical Review

implies a lower exposure dose), the minimum resolvable pitch


and linewidth increase to 90 nm and 20 nm, respectively.
This is due to the forward electron scattering which has a
negative effect on the resolution when low energies are used.
In conclusion, the authors suggested that a thinner resist layer
might improve the result of the lithographic process at low
energies.
There is little information regarding the writing strategy
of small structures in HSQ. Grigorescu et al [72] suggested
that when small features are desired, the ultimate resolution
is set by various factors such as beam size, resist material,
exposure dose, development process and also the writing
strategy. Several writing strategies were discussed and an ideal
recipe for obtaining small structures was presented. In general,
2
the exposure time per pixel T (in μs) is given by T = 10 DIBSS ,
where D (μC cm−2 ) is the exposure dose, BSS(μm) is the
distance between two adjacent pixel exposures (beam step size)
and I (nA) is the beam current. In general there are two ways
of writing structures, for example lines. Depending on the
designed linewidth, an exposure is performed by scanning the
beam once (single pass) over either one line (single exel or
1-exel) or n adjacent lines (n -exel line), the lines being spaced
one BSS. The number of exels is defined as the number of times
that the BSS fits into the designed linewidth. The method of
scanning the beam more than once over a single or n -exel line
is called a multiple pass line. When writing multiple passes
(instead of a single pass) or an n -exel line (instead of a single
exel), the dose should be lowered in order to avoid broadening
of the line due to the overlap and possible proximity effects.
However, in order to write the thinnest lines possible, single
exel lines can be written, where the linewidth is equal to the
beam size. In principle, the smaller the beam diameter that is
used, the thinner the resulting line can become. However, if
the beam diameter is, for example, equal to half the BSS, the
Figure 21. HSQ lines generated at 1 kV: (a) 33 nm thick resist
exposed at 44 μC cm−2 , (b) 24 nm thick resist exposed at line obtained after development is not continuous. When the
40 μC cm−2 . Image shows 50-, 40- and 30 nm lines on a 1:1 same line is written at higher dose, the linewidth is broadened
line:space pitch [79]. Reprinted with permission from [79]. because of the proximity exposure. By doing a dose test, an
Copyright (2004), Society of Photo-Optical Instrumentation optimum dose can be determined for which the linewidth after
Engineers.
exposure and development is equal to the designed linewidth.
By using n -exel single pass exposures, sub-10-nm semi-dense
As we have seen in section 2, very high resolution has been lines were written in a 10 nm HSQ resist layer [72] (see
obtained in inorganic resist, using a STEM [50, 78]. Despite figure 23).
its small beam size, the delicate handling of the sample (very Maile et al [77] used a 4-exel single pass exposure at
small) and the use of membrane substrates impede the use of 100 keV (spotsize of 6 nm) and obtained 8 nm wide isolated
STEM as a possible exposure tool. lines in a 22 nm resist thickness. Van Delft et al [57] (see
Several authors [79] have investigated the effect of low figure 24) wrote 20 nm 1:1 lines and spaces in 50 nm thick
energy EBL on ultimate resolution. As shown in figure 21(a), HSQ at 100 keV using single pass exposures and a BSS of
the pattern is distorted due to the short penetration range of 5 nm.
electrons in thick resist layers when low acceleration voltages In all these cases, the resolution was suspected to be
are used. An improvement in the resolution is obtained when limited by the performance of the lithographic tool. By
the resist thickness is decreased from 40 to 24 nm. Thirty-nm adjusting the electron optics of the exposure tool, 5–6 nm wide
lines and spaces have been fabricated with an exposure dose of isolated lines (see figure 25) were written in a 30 nm resist
40 μC cm−2 in a 24 nm HSQ layer and using an acceleration HSQ layer with a spot size of 3.6 nm [76]. The exposures were
voltage of 10 keV (figure 21(b)). again single exel single passes with a BSS of 2 nm.
Yang et al [80] succeeded in writing isolated lines and Monte Carlo simulations [77] revealed that the SE are
dots in a 50 nm thick film of HSQ (see figure 22), when using responsible for not achieving a resolution equal to the beam
an acceleration voltage of 10 keV. The best result was 12 nm size. Because these electrons exit the sample surface at a
wide lines at 50 nm pitch. At 2 keV exposure energy (which distance of approximately 1 nm with respect to the point of

19
Nanotechnology 20 (2009) 292001 Topical Review

Figure 22. Lines and dots written in a 50 nm thick HSQ layer on Si at 10 keV: (a) 12 nm lines at 50 nm pitch, 420 pC cm−1 ; (b) 15 nm dots at
100 nm pitch, 0.009 fC/dot; (c) 15 nm wide single line, 1440 pC cm−1 ; (d) 15 nm single dot, 0.01 fC/dot; (e) mesh structure of 12 nm wide
lines at 120 nm pitch, 420 pC cm−1 [80]. Reprinted from [80]. Copyright (2006), with permission from Elsevier.

incidence, it is assumed that a region of 0.5–1 nm on each side more pronounced, leading to a lower value of the cage–network
of the line is exposed by the SE, which explains why a 3.6 nm ratio and more Si dangling bonds. At low exposure doses, the
beam diameter cannot produce lines smaller than 5–6 nm. cage structure dominates. If the exposure dose is increased,
In general, the main ingredients for writing small line the formation of the network structure is accelerated and the
structures are: small distance between two adjacent pixel Si bonds become more stable due to crosslinking. After the
e-beam exposure, HSQ has an amorphous structure similar
exposures, small beam size of the exposure tool, single pass
to SiO2 which is relatively insoluble in alkaline hydroxide
exposures.
developers. Georgiev et al [75] observed that the roughness
When HSQ is e-beam irradiated, in principle the same of the HSQ is affected by the electron dose, being more
phenomena occur as when it is pre-baked. Due to the high pronounced at a high baking temperature and at a low exposure
energy deposition, the transition to the network structure is dose. This is due to the local fluctuations of the network–

20
Nanotechnology 20 (2009) 292001 Topical Review

(a) (b)

Figure 23. Figure 9 SEM micrographs of n -exel, single pass exposures (1.25 nm beam step size, 100 keV beam energy) written in a 10 nm
HSQ layer at two different area doses. The pitch is 20 nm [72]. (a) 2-exel, single pass exposure with an area dose of 70 812 μC cm−2 (line
dose: 17.7 nC cm−1 ); the measured linewidth is 7 nm. (b) 4-exel, single pass exposure with an area dose of 36 732 μC cm−2 (line dose:
18.4 nC cm−1 ); the measured linewidth is 7.5 nm. Reprinted with permission from [72]. Copyright (2007), Society of Photo-Optical
Instrumentation Engineers.

further investigations were suggested in order to find the


answer to these effects.
Chen et al [81] demonstrated that a time delay of up to
4 months between the exposure and the development process
does not have any influence on the lithographic properties of
HSQ. Samples which were baked at 150 ◦ C for 2 min were
stored in air and the development process was performed at
18 or 20 ◦ C. Apparently, baking at a temperature of 150 ◦ C,
followed by e-beam exposure, causes the formation of a very
stable network structure of HSQ molecules. This result seems
to contradict the phenomena observed by van Delft [67].

3.4. Development process


Besides the lithography tool and resist material, the
Figure 24. 20 nm 1:1 lines and spaces written in 50 nm thick HSQ at development process plays an important role in the success of
100 kV, 7000 μC cm−2 [57]. Reprinted from [57]. Copyright (2002), the e-beam patterning and this can be seen in the impressive
with permission from Elsevier.
number of papers about this process. Many experiments have
been done by many workers, which proves the importance
cage ratio, which prevents the development process from of the development time and developer concentration on the
propagating smoothly. resolution. However, to reach sub-10-nm structures, a variety
The size of the structures is strongly influenced by the of extra process steps before, during or after e-beam irradiation
exposure dose. By doing a dose test, an optimum dose can have often been necessary. The properties of a resist material
be determined for a specific lithographic process at which (contrast, sensitivity, process latitude) can only be obtained
the linewidth after exposure and development is equal to the after the development process has been performed.
designed linewidth. Too low or too high an electron dose leads Usually, HSQ is developed by manual immersion
to an under-exposed or over-exposed structure. in aqueous solutions of different developers, tetramethyl
Van Delft [67] studied the effect of post-exposure delay ammonium hydroxide (TMAH) being the one most frequently
time on contrast and onset dose, when samples are stored used [60]. Namatsu [22] suggested that the development
in either air or vacuum, after the e-beam exposure. He of HSQ in alkaline solutions is related to bond scission by
observed that both contrast and sensitivity are higher for the ionization and that the dissolution rate strongly depends on the
samples stored in vacuum in comparison to the ones stored bond strength. At low exposure doses, the dangling Si bonds
in air, as shown in figure 26. The decrease in contrast are not stable and the unexposed and slightly exposed areas
and sensitivity after a delay time in air has been explained close to the pattern are easily dissolved even when using a
by a slow propagation of the network formation due to, weak developer. At a high exposure dose, the Si bonds become
for example, oxidation. The increase in the contrast and more stable due to network formation, and the dissolution rate
sensitivity, in a vacuum was not completely understood, and of HSQ decreases remarkably. In this case, a strong developer

21
Nanotechnology 20 (2009) 292001 Topical Review

Figure 25. Six-nm wide isolated lines written with an exposure tool with a beam diameter of 3.6 nm [77]. Reprinted with permission
from [76]. Copyright (2004), Japan Society of Applied Physics.

Figure 26. Effect of the post-exposure delay conditions on (a) contrast and (b) onset dose for 140 nm thick HSQ exposed at 50 kV and for
different soft baking temperatures (SBT) [67]. Reprinted with permission from [67]. Copyright (2002), American Institute of Physics.

is recommended because it might improve the dissolution rate developer when writing nanostructures is that the structures
due to its effectiveness in bond scission. That means that the may be washed away and only highly exposed areas remain
optimum dose shifts to higher doses, improving the contrast on the substrate. This effect has been suggested by Grigorescu
but decreasing the sensitivity. The main risk of using a strong et al [72] when writing arrays of 6 nm dots in a 20 nm

22
Nanotechnology 20 (2009) 292001 Topical Review

HSQ resist layer using two different concentrations of TMAH layers. They showed that the fabrication of small structures is
developer. MF-322 was used undiluted ( N = 0.268 eq l−1 ) very complicated due to several interconnected factors which
and diluted with demineralized water in a ratio 1:3 ( N = influence the final result of the e-beam patterning. In terms
0.067 eq l−1 ). For the diluted developer, the exposed arrays of of ultimate resolution, they achieved 6 nm isolated dots and
dots appeared at a lower electron dose than when the developer lines in an ultrathin HSQ layer. By refining the development
was undiluted. Since all samples were exposed with the same process (shorter development time, a novel developer), 7 nm
dose range, this is a clear indication that the structures that turn lines at a 20 nm pitch were written in a 10 nm thick HSQ layer,
out to be well developed with the diluted developer are washed using 100 keV, 2-exel single pass, e-beam exposure at a dose of
away in the undiluted case. 70 812 μC cm−2 [72]. In the search for the ultimate resolution,
When a low developer concentration is used, the they also tested different developers (MF 322, Microposit
sensitivity increases but the contrast is reduced because part 351 and AZ 400K) with different strengths [58]. Optimum
of the slightly exposed area near the pattern remains on the exposures could be found for lines with width between 7 and
substrate. 12 nm, at a pitch of 20 nm. Lines smaller than 5 nm could
In the search for the ultimate resolution, several other not be fabricated using any of these developers, although the
developers have been tested such as a potassium hydroxide development time was decreased in order to prevent such small
(KOH) buffered solution (AZ 400 K from Clariant) or a sodium structures from being washed away from the substrate. When
hydroxide (NaOH) buffered solution (Microposit 351 from the development times are too short the structures may not
Rohm and Haas) [59, 22, 75]. Grigorescu et al [59] studied be completely developed, leading to high sensitivity but low
the effect of different developers on resolution. Lines with contrast. Dense structures (5 nm wide at a pitch of 20 nm)
widths between 7 and 12 nm at 20 nm pitch were successfully could be obtained using a 1:5 developer solution of Microposit
fabricated, and an optimum dose to define such lines was found 351: H2 O. Possible limits to the structure size, using HSQ, that
for all developers. The main difference between the developers the authors identify are the electron beam size, the resist layer
was found in the exposure latitude, or the slopes of the width thickness or the network formation process of the resist.
versus dose curves. The weakest developer (MF-322) shows Usually, the development process is performed at room
the steepest slopes (smallest exposure latitude) but requires the temperature, e.g. 21 ◦ C. The lithographic properties of HSQ
lowest dose. are strongly influenced by elevated development temperatures,
The sensitivity of HSQ is increased by using non- leading to a better contrast but a lower sensitivity. Dense lines
aqueous development. Schmid et al [82] discovered that and spaces have been successfully resolved using a temperature
the dissolution of HSQ in an organic developer is rather a of 40 ◦ C, 45 ◦ C and 50 ◦ C respectively [82–84]. In order
physical process, limited by the network formation, than a to have good reproducibility, one should take care that the
chemical process. Therefore, non-aqueous development is less temperature is constant during the development process.
aggressive towards the exposed area, leading to high sensitivity Nowadays, when dense nanostructures with a high aspect
but low resolution, contrast and reproducibility. Dense lines ratio are required, pattern collapse (or distortion) becomes an
with a pitch of 124 nm where successfully patterned at a dose important issue. For dense structures, it is possible that some of
of 185 μC cm−2 at 100 keV using xylenes as the developer for the rinsing solution (e.g. water) remains in the spaces between
60 s. Although the resolution is inferior compared with the one them. During drying, the surface tension of the rinsing solution
obtained with aqueous-based development, the optimization of pulls the structures towards each other, causing the pattern
the process can lead to better results. By using the assistance of to collapse. For isolated features, during the development
ultrasonic agitation in the development, the thin scum between process, a fraction of the rinsing solution is absorbed in the
the dense lines is removed but the contrast is not significantly resist. When the sample is dried, the surface of the resist dries
improved [83]. faster than the interior. Hence, an internal stress occurs and
In general, the influence of the development time on the pattern collapses. One way to reduce the pattern collapse
resolution does not seem to be very important, especially is to minimize the surface tension of the rinse solution by
when large structures are written in thick resist layers. using critical point drying. With carbon dioxide (CO2 ) as
The development time is usually fixed and the optimization a supercritical (SC) drying fluid instead of the conventional
of the development process is done by varying other resist drying under vacuum, or by nitrogen (N2 ) blow, 40 nm
parameters, e.g. baking temperature, concentration of the wide lines at a pitch of 135 nm and an aspect ratio of 20
developer [72, 73]. When nanostructures are written in have been achieved in a 770 nm thick HSQ layer [85] (see
ultrathin resist layers, the development time might become an figure 27(a)). The same method also works very well for
important resolution-limiting factor. If the sample is developed isolated lines because the supercritical fluid can diffuse rapidly
for too long, the structures are either washed away or etched into the resist layer and remove the molecules of the rinsing
away. In the first case, the optimum electron dose shifts solution, therefore preventing the pattern from collapsing (see
to a higher value (lower sensitivity, higher contrast), leading figure 27(b)).
to a broadening of the structure. In the other situation, Pattern transfer to the substrate can be very challenging,
the uncertainty in the measured linewidth increases and one especially if aspect ratios higher than 10 are desired. HSQ is an
way to prevent this is to write dense lines and spaces. inorganic e-beam resist which has already proved its high etch
Grigorescu et al [59, 72] studied the effect of the development resistance during the dry etching process. Trellenkamp [86]
process on the ultimate resolution of EBL using ultrathin HSQ obtained 25 nm wide and 330 nm high silicon webs which

23
Nanotechnology 20 (2009) 292001 Topical Review

(a)

(b)

Figure 27. (a) Pair of 40 nm HSQ lines with an aspect ratio (AR) of 20, dried by SCD. (b) Isolated HSQ line with AR = 44, dried by
SCD [85]. Reprinted from [85]. Copyright (2006), with permission from Elsevier.

24
Nanotechnology 20 (2009) 292001 Topical Review

can be used to obtain a double gate MOSFET. These structures The TEM might become, in the future, an important
were transferred into the silicon substrate by dry etching of a inspection tool. Modern TEMs have an electron probe smaller
120 nm HSQ layer with a HBr/O2 plasma and an inductively than 1 nm in diameter, in STEM imaging mode, and they allow
coupled plasma (ICP) source. Twenty-nanometer lines have for x-ray microanalysis and electron energy loss spectroscopy
been successfully transferred into the silicon using HSQ as (EELS). The delicate handling of the sample (which has to be
the hard mask material and an HBr/O2 plasma [87]. The thin) is the main limiting factor in using TEM as an inspection
etching selectivity increases from 9.5:1 Si:HSQ to 14:1 if tool. As far as we know, no HSQ experiments performed on
the resist is densified by a RTA (rapid thermal annealing) such thin samples have been reported.
step at 1000 ◦ C in an O2 atmosphere. Van Delft et al [58]
demonstrated that a very high aspect ratio can be obtained
4. Applications of HSQ in lithography techniques
with HSQ as the top coating in a bilayer system. By using a
other than EBL
hard baked HPR 504 film (Novolak) as the bottom layer and
an O2 RIE plasma, 300 nm wide and 3200 nm tall lines were Since its discovery, the number of applications of HSQ have
fabricated at an exposure dose of 2000 μC cm−2 and 50 keV grown continuously. In the beginning, HSQ was successfully
acceleration voltage. They were also able to obtain 500 nm used as a low-permittivity (low-k ) interlayer dielectric in
high, 50 nm wide dense lines and spaces at an exposure dose IC technology [65] and afterwards as etch mask in RIE
of 990 μC cm−2 and using 50 nm thick HSQ as a mask. for nanophotonic structures with low roughness [89] and
Lister et al [70] succeeded in transfering 11.5 nm lines and
imprinting masters for step and flash imprint lithography
spaces and isolated sub-15-nm dots into a diamond substrate,
(SFIL) [90]. Also, metal oxide semiconductor field effect
using HSQ as a hard mask and an O2 plasma. By pre-treating
transistor gates with small dimension and high aspect ratio [77]
the substrate with argon plasma RIE, the roughness of the
have been fabricated using HSQ. In the last few years, several
transferred pattern was substantially decreased.
authors have proved that this e-beam resist can also be used
When a very thin resist layer is used, the resolution can be
with techniques [87, 91, 92] other than EBL to fabricate
improved at the expense of low etch resistance. Yang et al [88]
nanostructures. Some of these lithographic methods are
improved the etch resistance of a 50 nm HSQ layer by curing
described below.
the resist after the development and prior to the RIE process.
Superconducting nanowires 15 nm wide were fabricated by
pattern transfer into a 6 nm thick niobium nitride (NbN) layer 4.1. Nanoimprinting
using CF4 RIE and a cured HSQ resist. The etch resistance Recently, nanoimprint lithography (NIL) has started to attract a
was increased by 40% by performing a second exposure step lot of attention due to its capability of creating high-resolution
using high electron doses (between 50 and 100 mC cm−2 ). pattern replication with low cost and high throughput. The
This effect can be explained by the rapid formation of a stable
resist pattern is created by using a mold which physically
network structure which increases the density of Si–O bonds
deforms a heated polymer coated on a substrate. The mold
and decreases the Si–H and cage Si–O bond densities.
can be made of metal or silicon dioxide deposited on a silicon
substrate. After imprinting, the resist pattern is subject to RIE
3.5. Inspection tools to produce a useful profile for the subsequent hard material
The SEM is the inspection tool most often used for imaging the pattern transfer. The resolution of the mold seems to be the
result of the lithographic process. This technique is relatively most important resolution-limiting factor of NIL. HSQ started
easy to use and allows one to have a quick estimate of the to be used successfully as a mold due to its good adhesion to
outcome of the lithographic process. Still, when writing very the silicon substrate and because it has a structure similar to
small dense structures in ultrathin HSQ resist layers imaging silicon dioxide, after thermal or electron beam curing. Häffner
might become an issue due to poor contrast. Since the HSQ et al [87] presented an easy way to make a high-resolution
structures consist mainly of SiO2 there is little topographical or mold by using e-beam patterning of HSQ on a silicon substrate.
elemental contrast when imaged on a silicon wafer with native Lines (figure 28(a)) at a 40 nm pitch and with 18 nm width and
SiO2 on the surface. Also, focusing becomes very difficult 20 nm height were successfully patterned in HSQ. A layer of
and the risk of pattern contamination is high. To overcome heated PMMA was further pressed with this mold resulting in
these limitations, several improvements are used, e.g. tilting reproducible imprints, as shown in figure 28(b).
the sample, lowering the acceleration voltages. In general, the molds are fabricated using EBL. Although
AFM is a very well established technique to characterize high resolution is achieved, the throughput is low, especially
surface topography or morphology of individual particles. The when many copies of masters with large area structures are
main advantage of AFM over the traditional techniques such as required for microfabrication. The CD, shape and aspect ratio
SEM is that AFM directly produces three-dimensional images. may be affected by the etching step in the mold fabrication
The lateral size and the height of the written structures were process. Junarsa et al [93] presented a novel method to
successfully measured by AFM [82]. Also, the roughness fabricate molds by direct x-ray exposure of HSQ through a
of HSQ has been widely studied using images taken with an mask fabricated by EBL. This technique improved the CD and
AFM [60, 22, 75]. The main disadvantage of AFM is that it is roughness of the patterned structures.
quite slow and the errors of measurements increase when sub- In a conventional NIL process, the resist undergoes a
10-nm structures are inspected, because of the finite tip size. thermal cycle which can affect the accuracy of the replicated

25
Nanotechnology 20 (2009) 292001 Topical Review

(a) (b)

Figure 28. (a) SEM image of an 18 nm wide line in HSQ at 40 nm pitch. (b) Top view and side view of imprinted lines in heated PMMA with
a period of 40 nm [87]. Reprinted from [87]. Copyright (2007), with permission from Elsevier.

resist layers. An ideal EUV resist should have high sensitivity,


high contrast and high etch resistance and low LER. Several
authors have studied the possibility of using HSQ as an EUV
photoresist. Ekinci et al [92] demonstrated that HSQ has a
lower sensitivity (20–25 mJ cm−2 ) but a higher contrast (15)
than PMMA (36 mJ cm−2 , 2.4). Twenty-nm lines at a 20 nm
half-pitch have been obtained in HSQ using EUV interference
lithography and high-concentration developers (TMAH, 2.6
N) and long development times (10 min). If the pre-
baking temperature increases from 90 to 180 ◦ C, the sensitivity
increases but the contrast decreases, which is in agreement with
the results obtained with EBL. Jurnasa et al [95] demonstrated
that HSQ has a better sensitivity (11 mJ cm−2 ) to EUV
radiation and a lower line edge roughness (5.1 nm for 26 nm
dense lines) in comparison to chemically amplified resists,
making it suitable for application in EUV lithography.
Figure 29. SEM image of 100 nm wide PdAu lines fabricated on
silicon using the RT-NIL process with the PMMA/HSQ bilayer resist 4.3. Step and flash imprint lithography (SFIL)
stack [94]. Reprinted with permission from [94]. Copyright (2003),
American Institute of Physics.
Step and flash imprint lithography, which is a derivative of
NIL, replicates patterns by a using UV transparent template
having a relief image etched into its surface. To create a
pattern, the wafers are first spin coated with an organic polymer
pattern. In order to be deformed by a mold, the resist must first
transfer layer. The template, which contains the pattern, is
be heated above the glass transition temperature. After cooling pressed into this layer and the resist is cured upon exposure
below this temperature, the resist hardens and the mold can be by UV irradiation. After the removal of the template, a short
released, leaving a patterned resist surface. Room temperature fluorocarbon RIE step is performed to remove the residues
NIL (RT-NIL) seems to overcome these problems. Matsui et al of the polymer layer. Finally, an oxygen etch is performed
[94] successfully replicated patterns with a 90 nm diameter on the transfer layer and the pattern is transferred into the
hole and lines of 50 nm width using RT-NIL and HSQ resist underlying substrate. Unlike NIL, this technique is done at
instead of conventional PMMA. Other authors demonstrated room temperature and low pressures.
that a bilayer resist process with HSQ as a top layer can be Mancini et al [90] investigated the possibility of using
used to fabricate high aspect ratio resist patterns on a silicon HSQ for direct e-beam patterning of SFIL templates. Quartz
substrate. Lines with 100 nm width and 1 μm height at a photomask substrates were coated with an indium tin oxide
pitch of 200 nm were successfully fabricated in a HSQ/AZ or charge dissipation layer (ITO) and patterned with an e-beam
HSQ/PMMA bilayer resist process (figure 29). using a 100 nm thick HSQ resist layer. Using a 60 nm
transfer layer consisting of Shipley AR2 600 DUV as an
4.2. Extreme ultraviolet (EUV) lithography etch mask, 200 mm wafers were printed with the above-
mentioned template. The best resolution resulted in 25 nm
Extreme ultraviolet lithography is a technique which uses high- lines (100 nm pitch) on templates and 40 nm lines (100 nm
energy photons (with a wavelength of 13.4 nm) to pattern the pitch) on imprinted wafers, as shown in figure 30.

26
Nanotechnology 20 (2009) 292001 Topical Review

(a) (b)

Figure 30. Lines down to 25 and 100 nm pitch written with SFIL on (a) templates and (b) imprinted wafers [90]. Reprinted with permission
from [90]. Copyright (2002), American Institute of Physics.

5. Conclusions and outlook using a STEM. Although the resolution is very good, the high
electron dose (1 C cm−2 ), the problems of getting uniform,
The ultimate resolution of resist-based e-beam lithography defect-free layers and the delicate handling of the samples
pushes the performance of both the lithographic tool and the are the main difficulties encountered when using this type of
resist material to the limit. In order to successfully write resist for nanopatterning. In the ideal case, a resist material
nanostructures, one should have in mind the various factors should combine the properties of organic and inorganic resists.
that limit the resolution, such as beam size, resist material, Another thing that should be stressed here is that although very
baking temperature, delay between baking temperature and small features (<5 nm) have been successfully patterned, all
exposure, writing strategy, exposure dose and development of them were isolated structures (except for the metal halides).
process. Although nowadays most of the lithographic tools The ultimate test for EBL resolution consists of writing dense
have a beam diameter of a few nm (3–5 nm), it is very difficult structures (see figure 16) which have the designed width equal
(if not impossible) to obtain structures with sizes equal to the to the half-pitch. Only then will one know for sure that
probe size. This limitation is due to the resist material and to the result of the lithographic process was not influenced by
different steps that the resist undergoes during the lithographic other factors, such as etching of the structure when a strong
process. In the literature, there are an impressive number of developer or long development time is used.
papers on different materials that can be used as an e-beam Almost 10 years ago, Namatsu suggested that a novel type
resist. Unfortunately, most of these materials do not meet the of material (HSQ) could be used as an inorganic negative tone
requirements for being a suitable e-beam resist when sub-20- e-beam resist. Since then, more than 70 papers have reported
nm features are desired: high resolution and sensitivity, low the use of HSQ not only in EBL but also in EUV and SFIL
LER, high etch resistance and contrast, low molecular size. lithography. Sub-10-nm isolated features are now frequently
Furthermore, the resist layer should be very thin (to minimize obtained in HSQ and even 10 nm lines and spaces have been
the effect of electron scattering), defect free and should have successfully obtained with this relatively new e-beam resist.
a good adhesion to the substrate The organic resists provide Still, the resolution is limited by factors ranging from the spin
high sensitivity but fail in having good etch durability. Sub-10- coating session to the etching process. One of the advantages
nm isolated features can be obtained at a relatively low dose of using HSQ is that very thin layers (approximately 10 nm)
using PMMA, SAMs, CARs and fullerenes. Still, each of can be easily spun onto Si wafers, without using a primer.
these resist materials has its own drawbacks (e.g. controlling Also, these layers are defect free and they have a very low
the etching or the development process due to its complicated roughness (approximately 0.7 nm). When the resist film is pre-
chemical structure, difficulty in obtaining very thin, defect-free baked or exposed, the roughness of HSQ changes: it decreases
resist layers) which prevent them from being widely used in with increasing dose and increases if a high temperature is
lithographic processes. On the other hand, the inorganic resists used. In an extensive study, van Delft et al showed that the
are known for their small molecular size and LER and high etch aging of HSQ enhances the sensitivity but deteriorates the
resistance but also for their low sensitivity. Very dense features contrast, due to a slow gradual oligo/polymerization of the
(2 nm holes at a pitch of 4 nm) with high aspect ratio (40) have resist. They also observed that a delay between the baking and
been successfully obtained in an 80 nm thick metal halide layer exposure decreases the sensitivity but increases the contrast,

27
Nanotechnology 20 (2009) 292001 Topical Review

the effect being more pronounced if the baking temperature Appendix


increases. Regarding the exposure, lithographic tools with a
small beam diameter, operating at high acceleration voltages A.1. List of symbols
are recommended. In order to write very small features
(e.g. lines), single exel lines should be written, where the Symbol Unit Meaning
linewidth is equal to the beam size. The sensitivity can be S/N (—) Signal to noise ratio
improved either by pre-baking the sample at high temperatures D (C m−2 ) Exposure dose
and exposing the samples immediately after the spin coating A (m2 ) Area of the beam spot
session, or by using a low-concentration developer. Sub-10-nm e (C) Elementary charge
features have been achieved by using developers such as KOH D (m) Spot diameter
buffered solution, or a NaOH buffered solution instead of the D0% (C m−2 ) Optimum electron dose
most frequently used TMAH. Several papers have reported an D±10% (C m−2 ) Electron doses corresponding to a 10%
improvement in the sensitivity, when a non-aqueous developer increase or decrease in feature size
is used (e.g. xylenes). Although the resolution is worse  (—) Contrast
compared with the aqueous development (dense lines with a D2 (C m−2 ) The minimum electron dose at which
pitch of 124 nm), further optimization of the process might lead all resist thickness is lost
to better results. Ultrasonic development doesn’t positively D1 (C m−2 ) The maximum electron dose at which
influence the resolution but it helps to remove the thin scum no film thickness is lost
between the dense lines. Another parameter influencing  (m) Parameter for characterization of line
the resolution is the development temperature, an elevated edge roughness
temperature leading to a better contrast but a lower sensitivity. T (s) Exposure time per pixel
I (A) Beam current
Pattern collapse (when a high aspect ratio is desired) can
N (eq l−1 ) Normality of the developer in number
be prevented by using critical point drying instead of the
of mol OH− per liter
conventional N2 blow, to minimize the surface tension of the
rinsing solution. In this way, isolated lines with an aspect ratio
of 44 have been fabricated successfully. The etch resistance of
HSQ-based litho processes has been further improved either by A.2. List of abbreviations
using HSQ as a top layer in a bilayer system or by exposing the
resist layer once more after the development process, but prior Abbreviation Short for
to the etching session. AFM Atomic force microscope
Unfortunately, at this moment, there is no recipe which AR Aspect ratio
guarantees the achievement of ultimate resolution when using BSS Beam step size
resist-based EBL. As we have seen, the final product of a CAR Chemically amplified resist
lithographic process is determined by many factors, which are CD Critical dimension
e-beam resist Electron beam resist
not independent of each other. For example, for HSQ (which
EBID Electron beam induced deposition
is very sensitive to contamination) the manner of storage
EBL Electron beam lithography
can already influence the resolution. Therefore, it should
ECR Electron cyclotron resonance
be always kept at low temperatures and in polyethylene or
EELS Electron energy loss spectrometry
fluorocarbon bottles. Usually, one of the properties of the
EUV Extreme ultraviolet (lithography)
resist material is improved to the detriment of another. It FFM Friction force microscopy
has been demonstrated that aging, baking at low temperature, HMDS Hexamethyldisilazane primer
immediate exposure after spin coating, the use of a weak HPR 504 Novolak electron beam resist
developer and development at a low temperature increase the HSQ Hydrogen silsesquioxane
sensitivity but decrease the contrast. The surface roughness (electron beam) resist
is more pronounced at low exposure doses (high sensitivity) ICP Inductively coupled plasma
and high baking temperature. Delays between exposure and IPA Isopropanol
development increase both contrast and sensitivity of samples ITO Indium tin oxide
which are stored in a vacuum after the exposure, compared to JBX-5FE, S-5000, High-resolution electron beam
those stored in air. In general, high resolution can be obtained Hitachi HL-700F, lithographic tools
using ultrathin resist layers and performing the exposure at Leica VB-6UHR,
high acceleration voltages. Because HSQ is a relatively new Vistec EBPG
resist for nanolithography, it deserves to be further studied and 5000+
analyzed. More experiments on ultrathin layers (<10 nm) and KOH Potassium hydroxide
a better understanding of the development process (different KRS-XE Chemically amplified resist
developers with different concentrations) may very well lead LER Line edge roughness
to better results. MEK Methyl ethyl ketone

28
Nanotechnology 20 (2009) 292001 Topical Review

MF03-04 Fullerene electron beam resist [12] Iijima S 1991 Helical microtubules of graphitic carbon Nature
(from Cytec Cymel300) 354 56
[13] Tans S J, Verschueren A R M and Dekker C 1998
MIBK Methyl isobutyl ketone Room-temperature transistor based on a single carbon
NaOH Sodium hydroxide nanotube Nature 393 49
NIL Nanoimprint lithography [14] Yurke B, Turberfield A J, Mills A P, Simmel F C and
ODS Monolayers of octadecylsiloxane Neumann J L 2000 A DNA-fuelled molecular machine made
ODT Monolayers of octadecylthiol of DNA Nature 406 605
[15] Niemeyer C M 2001 Nanoparticles, proteins, and nucleic acids:
OTS Monolayers of octadecyltrichlorosilane
biotechnology meets materials science Angew. Chem. Int.
PAB Post-apply-bake Edn 40 4128
PAG Photoacid generator [16] Whitesides G M 2005 Nanoscience, nanotechnology, and
PE Primary electrons chemistry Small 1 172
PEB Post-exposure-bake [17] Shoji H, Nakata Y, Mukai K, Sugiyama Y, Sugawara M,
PL Process or exposure latitude Yokoyama N and Ishikawa H 1997 Temperature dependent
lasing characteristics of multi-stacked quantum dot lasers
PMMA Polymethyl methacrylate Appl. Phys. Lett. 71 193
RIE Reactive ion etching [18] Tang Y, Ni W X, Torres C M S and Hansson G V 1995
RMS Root mean square Fabrication and characterisation of Si–Si0.7 Ge0.3 quantum
RTA Rapid thermal annealing dot light emitting diodes Electron. Lett. 31 1385
RT-NIL Room temperature nanoimprint [19] Chou S Y 1997 Patterned magnetic nanostructures and
quantized magnetic disks Proc. IEEE 85 652
lithography
[20] Tennant D M 1999 Limits of conventional lithography,
SAL Negative tone electron beam resist Nanotechnology ed G L Timp (New York: AIP) p 164
(from Shipley) [21] van Dorp W F, van Someren B, Hagen C W and Kruit P 2005
SAMs Self-assembled monolayers Approaching the resolution limit of nanometer scale electron
SC Supercritical drying fluid beam indiced deposition Nano Lett. 5 1303
SCD Supercritical drying [22] Namatsu H, Takahashi Y, Yamazaki K, Yamaguchi T,
Nagase M and Kurihara K 1998 Three-dimensional siloxane
SE Secondary electrons resist for the formation of nanopatterns with minimum
SEM Scanning electron microscope linewidth fluctuations J. Vac. Sci. Technol. B 16 69
SFIL Step and flash imprint lithography [23] Shin J, Han G, Ma Y, Moloni K and Cerrina F 2001 Resist line
STEM Scanning transmission electron edge roughness and aerial image contrast J. Vac. Sci.
microscope Technol. B 19 2890
[24] Yamaguchi T, Namatsu H, Nagase M, Yamazaki K and
STM Scanning tunneling microscope
Kurihara K 1999 A new approach to reducing line-edge
TEM Transmission electron microscope roughness by using a cross-linked positive-tone resist Japan.
TMAH Tetramethyl ammonium hydroxide J. Appl. Phys. 38 7114
ZEP Positive tone electron beam resist [25] Haller I, Hatzakis M and Shrinivasan R 1968 High-resolution
(from Nippon Zeon) positive resists for electron-beam exposure IBM J. Res.
Develop. 12 251
References [26] Hoole A C F, Welland M E and Broers A N 1997 Negative
PMMA as a high-resolution resist—the limits and
[1] Feynman R P 1960 There is plenty of Room at the Bottom, an possibilities Semicond. Sci. Technol. 12 1166
invitation to enter a new field of physics Eng. Sci. Mag. 23 [27] Cumming D R S, Thoms S, Weaver J M R and Beaumont S P
143 1996 3 nm NiCr wires made using electron beam lithography
[2] Newman T 1986 Tiny tale gets grand, California Institute and PMMA resist Microelectron. Eng. 30 423
Technol. J. Eng. Sci. 49 24 [28] Hu W, Sarveswaran K, Lieberman M and Bernstein G H 2004
[3] Einstein A 1905 A new determination of molecular dimensions Sub-10 nm electron beam lithography using cold
Ann. Phys. 19 289 development of poly-methylmethacrylate J. Vac. Sci.
[4] Knoll M 1935 Aufladepotential und sekundäremission Technol. B 22 1711
elektronenbestrahlter körper Z. Tech. Phys. 16 467 [29] Yasin S, Hasko D G and Ahmed H 2001 Fabrication of <5 nm
[5] Ruska E 1933 Die elektronenmikroskopische abbildung width lines in polymethylmethacrylate resist using a
elektronenbestrahlter oberflächen Z. Phys. 83 492 water:isopropyl alcohol developer and ultrasonically-assisted
[6] Bacon E K 1976 Irving Langmuir ed W D Miles (Washington, development Appl. Phys. Lett. 78 2760
DC: Amer. Chem. and Eng., Amer. Chem. Soc.) p 288 [30] Chen W and Ahmed H 1993 Fabrication of 5–7 nm wide etched
[7] Arthur J R Jr 1968 Interaction of Ga and As2 molecular beams lines in silicon using 100 keV electron-beam lithography and
with GaAs surfaces J. Appl. Phys. 39 4032 polymethylmethacrylate resist Appl. Phys. Lett. 62 1499
[8] Taniguchi N 1974 On the basic concept of nano-technology [31] Vieu C, Carcenac F, Pepin A, Chen Y, Mejias M, Lebib A,
Proc. Int. Conf. Prod. Eng. (Tokyo) Japan Soc. of Precision Manin-Ferlazzo L, Couraud L and Launois H 2000 Electron
Eng. p 245 Part II beam lithography: resolution limits and applications Appl.
[9] Binnig G, Rohrer H, Gerber Ch and Weibel E 1982 Surface Surf. Sci. 164 111
studies by scanning tunneling microscopy Phys. Rev. Lett. [32] Küpper D, Küpper D, Wahlbrink T, Bolten J, Lemme M C,
49 47 Georgiev Y M and Kurz H 2006 Megasonic-assisted
[10] Kroto H W, Heath J R, O’Brien S C, Curl R F and Smalley R E development of nanostructures J. Vac. Sci. Technol. B
1985 C60 : buckminsterfullerene Nature 318 162 24 1827
[11] Eigler D M and Schweitzer E K 1990 Positioning single atoms [33] Lercel M J, Redinbo G F, Rooks M, Tiberio R C,
with a scanning tunnelling microscope Nature 344 524 Craighead H G, Sheen C W and Allara D L 1995 Electron

29
Nanotechnology 20 (2009) 292001 Topical Review

beam nanofabrication with self-assembled monolayers of [53] Saifullah M S M, Subramanian K R V, Tapley E, Kang D J,
alkylthiols and alkylsiloxanes Microelectron. Eng. Welland M E and Butler M 2003 Sub-10 nm electron beam
27 43 nanolithography using spin-coatable TiO2 resists Nano Lett.
[34] Ulman A 1991 Introduction to Thin Organic Films: From 3 1587
Langmuir–Blodgett to Self-assembly (Boston, MA: [54] Saifullah M S M, Subramanian K R V, Anderson D, Kang D J,
Academic) p 187 Huck W T S, Jones G A C and Welland M E 2006
[35] Sheen C W, Shi J X, Martensson J, Parkih A N and Allara D L Sub-10 nm high aspect ratio patterning of ZnO in a 500 μm
1992 A new class of organized self-assembled monolayers: main field J. Vac. Sci. Technol. B 24 1215
alkane thiols on gallium arsenide(100) J. Am. Chem. Soc. [55] Ishii T, Nozawa H, Tamamura T and Ozawa A 1997
114 1514 C60 -incorporated nanocomposite resist system for practical
[36] Gu Y, Lin Z, Butera R A, Smentkowski V S and Waldeck D H nanometer pattern fabrication J. Vac. Sci. Technol. B
1995 Preparation of self-assembled monolayers on InP 15 2570
Langmuir 11 1849 [56] Hu Y, Wu H, Gonsalves K and Merhari L 2001 Nanocomposite
[37] Whitesides G M and Laibinis P E 1990 Wet chemical resists for electron beam nanolithography Microelectron.
approaches to the characterization of organic surfaces: Eng. 56 289
self-assembled monolayers, wetting, and the [57] Merhari L, Gonsalves K E, Hu Y, He W, Huang W S,
physical-organic chemistry of the solid–liquid interface Angelopoulos M, Bruenger W H, Dzionk C and
Langmuir 6 87 Torkler M 2002 Nanocomposite resist systems for next
[38] Dubois L H and Nuzzo R G 1992 Synthesis, structure, generation lithography Microelectron. Eng. 63 391
properties of model organic surfaces Annu. Rev. Phys. Chem. [58] van Delft F C M J M, Weterings J P, van Langen-Suurling A K
43 437 and Romijn H 2000 Hydrogen silsesquioxane/novolak
[39] Lercel M J, Redinbo G F, Pardo F D, Rooks M, Tiberio R C, bilayer resist for high aspect ratio nanoscale electron-beam
Simpson P, Craighead H G, Sheen C W, Parikh A N and lithography J. Vac. Sci. Technol. B 18 3419
Allara D L 1994 Electron beam lithography with monolayers [59] Grigorescu A E, van der Krogt M C, Hagen C W and
of alkylthiols and alkylsiloxane J. Vac. Sci. Technol. B Kruit P 2007 Influence of the development process on
12 3663 ultimate resolution electron beam lithography, using
[40] Lercel M J, Whelan C S, Craighead H G, Seshadri K and ultrathin hydrogen silsesquioxane resist layers J. Vac. Sci.
Allara D L 1996 High-resolution silicon patterning with Technol. B 25 1998
self-assembled monolayer resists J. Vac. Sci. Technol. B [60] Grigorescu A E, van der Krogt M C, Hagen C W and
14 4085 Kruit P 2007 10 nm lines and spaces written in HSQ, using
[41] Manako S, Ochiai Y, Yamamoto H, Teshima T, Fujita J and electron beam lithography Microelectron. Eng. 84 822
Nomura E 2000 High-purity, ultrahigh-resolution calixarene [61] Word M J, Adesida I and Berger P R 2003 Nanometer-period
electron-beam negative resist J. Vac. Sci. Technol. B 18 3424 gratings in hydrogen silsesquioxane fabricated by electron
[42] Fujita J, Ohnishi Y, Ochinai Y, Nomura E and Matsui S 1996 beam lithography J. Vac. Sci. Technol. B 21 L12
Nanometer-scale resolution of calixarene negative resist in [62] Namatsu H, Yamaguchi T, Nagase M, Yamazaki K and
electron beam lithography J. Vac. Sci. Technol. B 14 4272 Kurihara K 1998 Nano-patterning of a hydrogen
[43] Ishida M, Fujita J, Ogura T, Ochiai Y, Ohshima E and silsesquioxane resist with reduced linewidth fluctuations
Momoda J 2003 Sub-10 nm-scale lithography using Microelectron. Eng. 41/42 331
p-chloromethyl-methoxy-calix[4]arene Resist Japan. J. [63] Frye C L and Collins W T 1970 Oligomeric silsesquioxanes,
Appl. Phys. 42 3913 (HSiO3 /2)n J. Am. Chem. Soc. 92 5586
[44] Kruit P and Steenbrink S 2005 Local critical dimension [64] Loboda M J, Grove C M and Schneider R F 1998 Properties of
variation from shot-noise related line edge roughness J. Vac. a-SiOx :H thin film deposited from hydrogen silsesquioxane
Sci. Technol. B 23 3033 resins J. Electrochem. Soc. 145 2861
[45] Tada T and Kanayama T 1996 Nanolithography using fullerene [65] Liu P T, Chang T C, Tsai T M, Lin Z W and Chen C W 2003
films as an electron beam resist Japan. J. Appl. Phys. 35 L63 Dielectric characteristics of low-permittivity silicate using
[46] Tada T, Kanayama T, Robinson A P G, Palmer R E, Allen M T, electron beam direct patterning for intermetal dielectric
Preece J A and Harris K D M 2000 A triphenylene derivative applications Appl. Phys. Lett. 83 4226
as a novel negative/positive tone resist of 10 nm resolution [66] Albrecht M G and Blanchette C 1998 Materials issues with thin
Microelectron. Eng. 53 425 film hydrogen silsesquioxane low K dielectrics
[47] Chen X, Robinson A P G, Manickam M and Preece J A 2007 J. Electrochem. Soc. 145 4019
Suppression of pinhole defects in fullerene molecular [67] van Delft F C M J M 2002 Delay-time and aging effects on
electron beam resists Microelectron. Eng. 84 1066 contrast and sensitivity of hydrogen silsesquioxane J. Vac.
[48] Robinson A P G, Zaid H M, Gibbons F P, Manickam M, Sci. Technol. B 20 2932
Preece J A, Brainard R, Zampini T and O’Connell K 2006 [68] Macintyre D S, Young I, Glidle A, Cao X, Weaver J M R and
Chemically amplified molecular resists for electron beam Thoms S 2006 High resolution e-beam lithography using a
lithography Microelectron. Eng. 83 1115 thin titanium layer to promote resist adhesion Microelectron.
[49] Kratschmer E and Isaacson M 1987 Progress in self-developing Eng. 83 1128
metal fluoride resists J. Vac. Sci. Technol. B 5 369 [69] Wi J S, Lee T Y, Jin K B, Hong D H, Shin K H and Kim K B
[50] Muray A, Scheinfein M, Isaacson M and Adesida I 1985 2006 Electron-beam lithography of Co/Pd multilayer with
Radiolysis and resolution limits of inorganic halide resists hydrogen silsesquioxane and amorphous Si intermediate
J. Vac. Sci. Technol. B 3 367 layer J. Vac. Sci. Technol. B 24 2616
[51] Fujita C, Watanabe H, Ochiai Y, Manako S, Tsai J S and [70] Lister K A, Casey B G, Dobson P S, Thoms S, Macintyre D S,
Matsui S 1995 Sub-10 nm lithography and development Wilkinson C D W and Weaver J M R 2004 Pattern transfer
properties of inorganic resist by scanning electron beams of a 23 nm-period grating and sub-15 nm dots into CVD
J. Vac. Sci. Technol. B 13 2757 diamond Microelectron. Eng. 73 319
[52] Saifullah M S M, Kurihara K and Humphreys C J 2000 [71] Hagen C W, Silvis-Cividjian N and Kruit P 2006 Resolution
Comparative study of sputtered and spin-coatable aluminum limit for electron beam-induced deposition on thick
oxide electron beam resists J. Vac. Sci. Technol. B 18 2737 substrates Scanning 28 204

30
Nanotechnology 20 (2009) 292001 Topical Review

[72] Grigorescu A E, van der Krogt M C and Hagen C W 2007 hydrogen silsesquioxane resist for ultra-dense silicon
Limiting factors for electron beam lithography when using nanowire fabrication J. Vac. Sci. Technol. B 25 2085
ultra-thin hydrogen silsesquioxane layers [85] Wahlbrink T, Küpper D, Georgiev Y M, Bolten J, Möller M,
J. Micro/Nanolithogr. MEMS, MOEMS 6 043006 Küpper D, Lemme M C and Kurz H 2006 Supercritical
[73] Clark N, Vanderslice A, Grove R III and Krchnavek R R 2006 drying process for high-aspect ratio HSQ nano-structures
Resolution limit for electron beam-induced deposition on Microelectron. Eng. 83 1124
thick substrates J. Vac. Sci. Technol. B 24 3073 [86] Trellenkamp S, Moers J, van der Hart A, Kordos P and
[74] Henschel W, Georgiev Y M and Kurz H 2003 Study of a high Luth H 2003 Patterning of 25 nm-wide silicon webs with an
contrast process for hydrogen silsesquioxane as a negative aspect ratio of 13 Microelectron. Eng. 67/68 376
tone electron beam resist J. Vac. Sci. Technol. B 21 2018 [87] Häffner M, Heeren A, Fleischer M, Kern D P, Schmidt G and
[75] Georgiev Y M, Henschel W, Fuchs A and Kurz H 2005 Surface Molenkamp L W 2007 Simple high resolution
roughness of hydrogen silsesquioxane as a negative tone nanoimprint-lithography Microelectron. Eng. 84 937
electron beam resist Vacuum 77 117 [88] Yang J K W, Anant V and Berggren K K 2006 Enhancing etch
[76] Yamazaki K and Namatsu H 2004 5 nm-order electron-beam resistance of hydrogen silsesquioxane via postdevelop
lithography for nanodevice fabrication Japan. J. Appl. Phys. electron curing J. Vac. Sci. Technol. B 24 3157
43 3767 [89] Lauvernier D, Garidel S, Legrand C and Vilcot J P 2005
[77] Maile B E, Henschel W, Kurz H, Rienks B, Polman R and Realization of sub-micron patterns on GaAs using a HSQ
Kaars P 2000 Sub-10 nm linewidth and overlay performance etching mask Microelectron. Eng. 77 210
achieved with a fine-tuned EBPG-5000TFE electron beam [90] Mancini D P, Gehoski K A, Ainley E, Nordquist K J,
lithography system Japan. J. Appl. Phys. 39 6836 Resnick D J, Bailey T C, Sreenivasan S V, Ekerdt J G and
[78] Langheinrich W, Vescan A, Spangenberg B and Willson C G 2002 Hydrogen silsesquioxane for direct
Beneking H 1992 Homogeneous lithium fluoride films as a electron-beam patterning of step and flash imprint
high resolution electron beam resist Microelectron. Eng. lithography templates J. Vac. Sci. Technol. B 20 2896
17 287
[91] Tao J, Chen Y, Zhao X, Malik A and Cui Z 2005 Room
[79] Jamieson A, Willson C G, Hsu Y and Brodie A D 2004
temperature nanoimprint lithography using a bilayer of
Low-voltage electron beam lithography resist process: top
HSQ/PMMA resist stack Microelectron. Eng. 78/79 665
surface imaging and hydrogen silsesquioxane bilayer
[92] Ekinci Y, Solak H H, Padeste C, Gobrecht J, Stoykovich M P
J. Micro/Nanolithogr. MEMS, MOEMS 3 442
and Nealey P F 2007 20 nm line/space patterns in HSQ
[80] Yang H, Jin A, Luo Q, Gu C, Cui Z and Chen Y 2006
Low-energy electron-beam lithography of hydrogen fabricated by EUV interference lithography Microelectron.
silsesquioxane Microelectron. Eng. 83 788 Eng. 84 700
[81] Chen Y, Yang H and Cui Z 2006 Effects of developing [93] Jurnasa I and Nealey P F 2004 Fabrication of masters for
conditions on the contrast and sensitivity of hydrogen nanoimprint, step and flash, and soft lithography using
silsesquioxane Microelectron. Eng. 83 1119 hydrogen silsesquioxane and x-ray lithography J. Vac. Sci.
[82] Schmid G M, Carpenter L E II and Liddle J A 2004 Technol. 22 2685
Nonaqueous development of silsesquioxane electron beam [94] Matsui S, Igaku Y, Ishigaki H, Fujita J, Ishida M, Ochiai Y,
resist J. Vac. Sci. Technol. B 22 3497 Namatsu H and Komuro M 2003 Room-temperature
[83] Häffner M, Haug A, Heeren A, Fleischer M, Peisert H, nanoimprint and nanotransfer printing using hydrogen
Chaasse T and Kern D P 2007 Influence of temperature on silsesquioxane J. Vac. Sci. Technol. B 21 688
HSQ electron-beam lithography J. Vac. Sci. Technol. B [95] Jurnasa I, Stoykovich M P, Nealey P, Ma Y, Cerrina F and
25 2045 Solak H H 2005 Hydrogen silsesquioxane as a high
[84] Choi S, Jin N, Kumar V, Shannon M and Adesida I 2007 resolution negative-tone resist for extreme ultraviolet
Effects of developer temperature on electron-beam-exposed lithography J. Vac. Sci. Technol. B 23 138

31

You might also like