0% found this document useful (0 votes)
173 views3 pages

PT Set Min Delay

The set_min_delay command specifies minimum delay constraints for timing paths in a design. It allows specifying minimum delay values from startpoints like clocks or cells to endpoints like outputs or cells, optionally passing through lists of pins or ports. The command overrides default single-cycle timing and can be used to target minimum delays for combinational output ports or multi-cycle paths.

Uploaded by

David Yu
Copyright
© Attribution Non-Commercial (BY-NC)
Available Formats
Download as PDF, TXT or read online on Scribd
Download as pdf or txt
0% found this document useful (0 votes)
173 views3 pages

PT Set Min Delay

The set_min_delay command specifies minimum delay constraints for timing paths in a design. It allows specifying minimum delay values from startpoints like clocks or cells to endpoints like outputs or cells, optionally passing through lists of pins or ports. The command overrides default single-cycle timing and can be used to target minimum delays for combinational output ports or multi-cycle paths.

Uploaded by

David Yu
Copyright
© Attribution Non-Commercial (BY-NC)
Available Formats
Download as PDF, TXT or read online on Scribd
Download as pdf or txt
Download as pdf or txt
You are on page 1/ 3

v1999.

10 PrimeTime Commands

set_min_delay
Specifies minimum delay for timing paths.

SYNTAX
string set_min_delay [-rise] [-fall] [-reset_path] [-from from_list] [-through
through_list]* [-to to_list] delay_value
list from_list
list to_list
float delay_value

ARGUMENTS

-rise
Specifies whether endpoint rising delays are constrained. If you do not
specify -rise or -fall, both rising and falling delays are constrained.

-fall
Specifies whether endpoint falling delays are constrained. If you do not
specify -rise or -fall, both rising and falling delays are constrained.

-reset_path
Removes existing point-to-point exception information on the specified paths.
If used with -to only, all paths leading to the specified endpoints are reset.
If used with -from only, all paths leading from the specified startpoints are
reset. If used with -from and -to, only paths between those points are reset.
Only information of the same rise or fall setup or hold type is reset. This
is equivalent to using the reset_path command with similar arguments before
the set_min_delay command is issued.

-from from_list
Specifies a list of timing path startpoint objects. A valid timing startpoint
is a clock, a primary input or inout port, a sequential cell, a clock pin of
a sequential cell, a data pin of a level-sensitive latch, or a pin that has
input delay specified. If a clock is specified, all registers and primary
inputs related to that clock are used as path startpoints. If you specify a
cell, one path startpoint on that cell is affected.

-through through_list
Lists the pins, ports, or nets through which the paths must pass for minimum
delay definition. One can specify -through more than once in one command
invocation. Nets are interpreted to imply the leaf-level driver pins. If you
omit -through, all timing paths specified using the -from and -to options are
affected.
Many groups of through_list can be specified using multiple -through options.
The objects specified within one -through option are assumed to be in OR mode.
The group of objects specified with multiple -through options are assumed to
be in AND mode.
If -through is specified once, PrimeTime applies minimum delay constraint
only for those paths that travel through one or more of the objects in the
list.
If multiple -through are specified, PrimeTime applies minimum delay

HOME CONTENTS / 488


v1999.10 PrimeTime Commands

constraint only for those paths that travel through one or more of the objects
in each list.
The value of environment variable timing_through_compatibility affects the
way PrimeTime interprets -through options. The default setting of this
variable is false, which implies that objects specified with one or more -
through options are interpreted as described above (OR-AND or Product of Sum
format).
When the variable timing_through_compatibility is set to true, PrimeTime does
not allow specification of through objects using multiple -through switches.
Moreover, objects specified within one -through option are assumed to be in
AND mode.

-to to_list
Specifies a list of timing path endpoint objects. A valid timing endpoint is
a clock, a primary output or inout port, a sequential cell, a data pin of a
sequential cell, or a pin that has output delay specified. If a clock is
specified, all registers and primary outputs related to that clock are used
as path endpoints. If you specify a cell, one path endpoint on that cell is
affected.

delay_value
Shows the value of the desired minimum delay for paths between start and end
points. Express delay_value in the same units that the technology library
used during analysis. If a path startpoint is on a sequential device, clock
skew is included in the computed delay. If a path startpoint has an input
external delay specified, that delay value is added to the path delay. If a
path endpoint is on a sequential device, clock skew and library setup time
are included in the computed delay. If the endpoint has an output external
delay specified, that delay is added into the path delay.

DESCRIPTION

The set_min_delay command specifies a minimum delay for timing paths. It is used in
two cases:

1. To set a target minimum delay for output ports in a combinational design.

2. To override the default single cycle timing for paths, where set_multicycle_path
is not sufficient.

The value of a min_rise_delay attribute cannot be greater than that of a


max_rise_delay attribute for the same path (and similarly for fall attributes). If
this occurs, the old attribute is removed.

Individual minimum delay targets are automatically derived from clock waveforms and
port input or output delays. For more information, refer to the create_clock,
set_input_delay, and set_output_delay command man pages.

The set_min_delay command is a point-to-point timing exception command; it overrides


the default single-cycle timing relationship for one or more timing paths. Other
point-to-point timing exception commands include set_multicycle_path, set_max_delay,
and set_false_path. A specific set_max_delay or set_min_delay command overrides a
general set_multicycle_path or set_false_path command.

HOME CONTENTS / 489


v1999.10 PrimeTime Commands

The more general commands apply to more than one path; either -from or -to is used
(but not both), or clocks are used in the specification. Within a given point-to-
point exception command, the more specific command overrides the more general. The
following lists commands from highest to lowest precedence (more specific to more
general):

1. set_min_delay -from pin -to pin


2. set_min_delay -from clock -to pin
3. set_min_delay -from pin -to clock
4. set_min_delay -from pin
5. set_min_delay -to pin
6. set_min_delay -from clock -to clock
7. set_min_delay -from clock
8. set_min_delay -to clock

To remove information set by set_min_delay, use the reset_path or reset_design


command.

EXAMPLES

In the following example, the set_min_delay command requires that any delay path to
pin Y is greater than 12.5 time units.

pt_shell> set_min_delay 12.5 -to Y

The following command specifies that all paths from A1 and A2 to Z5 must be greater
than 4.0 units.

pt_shell> set_min_delay 4.0 -from {A1 A2} -to Z5

The following example specifies that all timing paths from ff1/CP to ff2/D, which
passes through one or more of {U1/Z U2/Z} and one or more of {U3/Z U4/C} must be
greater than 3.0 units.

pt_shell> set_min_delay 3.0 -from ff1/CP -through {U1/Z U2/Z} -through {U3/
Z U4/C} -to ff2/D

SEE ALSO

current_design (2), report_constraint (2), reset_design (2), set_input_delay (2),


set_output_delay (2), reset_path (2), set_false_path (2), set_multicycle_path (2),
set_max_delay (2), timing_through_compatibility (3).

HOME CONTENTS / 490

You might also like