Spie Press: The Wonder of Nanotechnology: Quantum Optoelectronic Devices and Applications

Download as pdf or txt
Download as pdf or txt
You are on page 1of 937

SPIE PRESS

Nature offers us a full assortment of atoms, but nanoengineering is required to put


them together in an elegant way to realize functional structures not found in nature.
To design new optical properties, one must nanoengineer structures on a length
scale smaller than the wavelength of light. To design new electronic properties, one
must nanoengineer structures on a length scale smaller than the wavelength of the
electron. In the end, our ability to control material composition and shape on
nanometer scales is what gives us the ability to achieve technological results that
transcend the properties of naturally occurring materials.

The Wonder of Nanotechnology: Quantum Optoelectronic Devices and Applications,


edited by Manijeh Razeghi, Leo Esaki, and Klaus von Klitzing, summarizes the latest
developments in the application of nanotechnology to modern semiconductor
optoelectronic devices.

Electrons, photons, and even thermal properties can all be engineered at the
nanolevel. Possibly the simplest aspect of nanotechnology, the 2D quantum well has
dramatically enhanced the efficiency and versatility of electronic and optoelectronic
devices. Nanotechnology has now progressed to 1D (quantum wire) and 0D
(quantum dot) systems that exhibit remarkable and sometimes unexpected
behaviors. With these components serving as the modern engineer's building
blocks, it is remarkable to consider the endless possibilities that nanotechnology
holds in store.

P.O. Box 10
Bellingham, WA 98227-0010

ISBN: 9780819495969
SPIE Vol. No.: PM238
Library of Congress Cataloging-in-Publication Data

The wonder of nanotechnology : quantum optoelectronic devices


and applications / [edited by] Manijeh Razeghi, Leo Esaki, Klaus von Klitzing.
pages cm
Includes bibliographical references and index.
ISBN 978-0-8194-9596-9 ISBN 978-0-8194-9609-6 ISBN 978-0-8194-9610-2
1. Optoelectronic devices. 2. Nanoelectronics. 3. Quantum electronics.
I. Razeghi, M. II. Esaki, Reona, 1925- III. Klitzing, K. von.
TK8304.W66 2013
621.3815'2 dc23
2013009895

Published by
SPIE
P.O. Box 10
Bellingham, Washington 98227-0010 USA
Phone: + 1 360.676.3290
Fax: + 1 360.647.1445
Email: [email protected]
Web: http://spie.org

Copyright © 2013 Society of Photo-Optical Instrumentation Engineers (SPIE)

All rights reserved. No part of this publication may be reproduced or distributed in


any form or by any means without written permission of the publisher.

The content of this book reflects the work and thought of the author(s). Every effort has
been made to publish reliable and accurate information herein, but the publisher is not
responsible for the validity of the information or for any outcomes resulting from
reliance thereon.

Printed in the United States of America.


First printing
Contents
Foreword by Leo Esaki xxi
Preface by Klaus von Klitzing xxiii
Introduction by Manijeh Razeghi xxv
“An Imaging Perspective from the Nanometer Scale” by Nibir K. Dhar xxix
List of Contributors xxxv

I Historic Overview 1
1 Role of Symmetry in Conductance, Capacitance, and Doping
of Quantum Dots 3
Raphael Tsu and Tim LaFave, Jr.
1.1 Introduction 3
1.2 Birth of the Superlattice 5
1.2.1 Response of a time-dependent electric field and
Bloch oscillation 7
1.3 Resonant Tunneling in Manmade Quantum Wells 11
1.3.1 Time-dependent resonant tunneling 15
1.3.2 Quantum cascade laser with superlattice components 17
1.3.3 Type-II superlattice 17
1.3.4 Terahertz sound in Stark ladder superlattices 18
1.3.5 Cold cathode 18
1.4 Size-Dependent Dielectric Constant ´(a) 19
1.5 Role of Symmetry in Capacitance of Few-Electron Quantum Dots 20
1.5.1 A classical correspondence between quantum dots
and atomic structure 23
1.5.2 Toward a general solution of the Thomson problem
and atomic structure 26
1.5.3 The dielectric function and atomic dimension 28
1.6 Symmetry: Key in Interaction with Nanotechnology 29
1.7 A Few Important Considerations 31
References 33

v
vi Contents

II Materials 39
2 Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR
Superlattices 41
Gail J. Brown, Said Elhamri, William C. Mitchel, Heather J. Haugan,
Krishnamurthy Mahalingam, Mu J. Kim, and Frank Szmulowicz
2.1 Introduction 41
2.2 Sample Fabrication/Design 42
2.3 Structural Characterization 43
2.4 Optical Characterization 46
2.5 Electronic Transport Measurements 48
2.6 Electronic Transport Modeling 51
2.7 Summary 52
References 53
3 InAs/InAs1 xSbx Superlattices on GaSb Substrates: A Promising
Material System for Mid- and Long-Wavelength Infrared Detectors 59
Elizabeth H. Steenbergen, Oray Orkun Cellek, Hua Li, Shi Liu,
Xiaomeng Shen, David J. Smith, and Yong-Hang Zhang
3.1 Introduction 60
3.2 Design 61
3.3 Growth and Structural Characteristics 68
3.4 Optical Characteristics 70
3.5 Infrared Detectors 77
3.6 Summary 77
References 78
4 Thermal Conductivity and Thermal Distribution in Superlattice
Structures 85
Chuanle Zhou and Matthew A. Grayson
4.1 Introduction 85
4.2 Thermal Conductivity Tensor 86
4.2.1 Cross-plane thermal conductivity 88
4.2.2 In-plane thermal conductivity 89
4.2.3 Error analysis 90
4.3 Thermal Conductivity of T2SL 94
4.4 Thermal Distribution 95
4.5 Conclusion 98
Acknowledgments 99
References 99
5 Superlinear Luminescence and Enhancement of Optical Power
in GaSb-based Heterostructures with High Conduction-Band
Offsets and Nanostructures with Deep Quantum Wells 105
Maya P. Mikhailova, Leonid V. Danilov, Karina V. Kalinina, Edward V. Ivanov,
Nikolay D. Stoyanov, Georgy G. Zegrya, Yury P. Yakovlev, Alice Hospodková,
Jirí Pangrác, Markéta Zíková, and Eduard Hulicius
Contents vii

5.1 Introduction 106


5.2 Superlinear Electroluminescence in GaSb-based Narrow-Gap
Heterostructures with High Conduction-Band Offsets 107
5.3 Superlinear Electroluminescence in GaSb-based Nanostructures
with a Deep Al(As)Sb/InAsSb/Al(As)Sb QW 113
5.4 Theoretical Consideration of Radiative and Auger
Recombination in Deep QWs 118
5.5 Conclusions 125
Acknowledgment 126
References 126
6 Antimonide Quantum Dot Nanostructures for Novel Photonic
Device Applications 133
Anthony Krier, Peter J. Carrington, Qiandong Zhuang, Robert J. Young,
Manus Hayne, Lu Qi, Juanita James, Magnus C. Wagener,
J. Reinhardt Botha, Paul Koenraad, and Erwin Smakman
6.1 Introduction 133
6.2 Molecular Beam Epitaxy Growth of InSb Quantum Dots 134
6.3 Characterization of InSb Quantum Dots 135
6.4 MBE Growth of GaSb Quantum Dots 138
6.5 Solar Cells Containing Stacks of GaSb Quantum Rings 143
6.6 Summary 147
Acknowledgments 149
References 149
7 n-Type Doping in GaSb using Dimethyltellurium (DMTe) by
Metalorganic Chemical Vapor Deposition (MOCVD) 157
Ari Handono Ramelan
7.1 Introduction 157
7.2 Review of Te-Doped GaSb Growth 158
7.3 Dopant Impurities 159
7.4 Growth of Te-Doped GaSb 161
7.4.1 Metalorganic sources 161
7.4.2 Growth condition 161
7.5 Characterization 163
7.6 Results and Discussion 163
7.6.1 Surface morphology and growth rate 163
7.6.2 Electrical properties 164
7.7 Conclusions 170
References 171
8 AlGaN-based Intersubband Device Technology 175
Can Bayram, Devendra K. Sadana, and Manijeh Razeghi
8.1 Introduction to Terahertz Devices 176
8.1.1 Terahertz applications 176
viii Contents

8.1.2 Available terahertz sources 177


8.1.3 Conventional semiconductor and III-nitride terahertz sources 177
8.1.4 III-nitride material challenges 177
8.2 III-Nitride MOCVD 178
8.2.1 Effect of growth temperature 178
8.2.2 High-Al-content structures 179
8.2.2.1 Overview of pulsed growth technique 179
8.2.2.2 Tunability of AlN and GaN layers in the SL 181
8.2.2.3 Effect of doping on optical and structural quality 182
8.2.2.4 Effect of capping on optical and structural quality 182
8.2.3 Low-Al-content structures 183
8.3 Infrared Optical Devices 185
8.3.1 Near-infrared devices 186
8.3.2 Mid-infrared devices 186
8.3.3 Toward terahertz 188
8.3.4 Conclusion 189
8.4 Resonant Tunneling Diodes 189
8.4.1 Introduction 189
8.4.2 Device design 191
8.4.3 Material growth 192
8.4.3.1 Polar devices 192
8.4.3.2 Nonpolar devices 193
8.4.4 Device fabrication 193
8.4.5 Electrical characterization 195
8.4.5.1 Polar devices 196
8.4.5.2 Nonpolar devices 196
8.5 Summary 197
8.6 Conclusions 198
References 199
III Lasers 207
9 Advances in High-Power Quantum Cascade Lasers and Applications 209
Arkadiy Lyakh, Richard Maulini, Alexei Tsekoun, Boris Tadjikov, and
C. Kumar N. Patel
9.1 Introduction 209
9.2 MWIR Laser Design 211
9.3 Tapered-Waveguide Geometry 212
9.4 Silicon Carbide Submounts 213
9.5 MWIR QCL Experimental Data 214
9.6 LWIR QCL Design 217
9.7 LWIR QCL Experimental Data 218
9.8 Conclusion 219
References 220
Contents ix

10 High-Performance Quantum Cascade Lasers for Industrial


Applications 225
Mariano Troccoli, Jenyu Fan, Gene Tsvid, and Xiaojun Wang
10.1 Introduction 225
10.2 Manufacturing of High-Performance QC Lasers 226
10.2.1 Design 226
10.2.2 Growth 227
10.2.3 Fabrication 229
10.3 Results 230
10.3.1 High-power multimode devices 230
10.3.2 Low-power-consumption distributed-feedback-laser devices 232
10.3.3 Power scaling: arrays 234
10.4 Conclusions 236
Acknowledgments 237
References 238
11 Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 243
Hans Zogg, Ferdinand Felder, and Matthias Fill
11.1 Introduction 244
11.2 Some Properties of Narrow-Gap Lead Chalcogenides
(IV-VI Compound Semiconductors) 245
11.2.1 Structure, binary compositions, alloying 245
11.2.2 Band structure and Auger recombination 245
11.2.3 Permittivities 246
11.2.4 Defects and non–lattice-matched growth 246
11.2.5 Growth on Si(111) and thermal-mismatch dislocation glide 246
11.3 Applications 247
11.3.1 Broadband photovoltaic IV-VI mid-infrared detectors 247
11.3.2 Resonant-cavity-enhanced detectors 247
11.3.3 Edge-emitting laser diodes 248
11.3.4 Monolithic vertical-cavity surface-emitting lasers
(VCSELs) and microdisk lasers 248
11.4 VECSELs 248
11.4.1 Principle and structure of the long cavity 248
11.4.2 Optical and electronic simulation 251
11.4.3 Short cavity and end pumping 253
11.5 Conclusions 255
References 256
12 Frequency Noise and Linewidth of Mid-infrared Continuous-Wave
Quantum Cascade Lasers: An Overview 261
Stéphane Schilt, Lionel Tombez, Gianni Di Domenico, and Daniel Hofstetter
12.1 Introduction 261
x Contents

12.2 Frequency Noise and Laser Linewidth in QCLs: Experimental


Methods 263
12.2.1 Relation between frequency noise and laser linewidth 263
12.2.2 Frequency noise measurement methods 265
12.3 Intrinsic Linewidth in QCLs 268
12.4 Impact of Technical Noise on the QCL Experimental Linewidth 269
12.5 Overview of Reported Frequency Noise Spectra
in 4- to 5-mm QCLs 272
12.5.1 Free-running QCLs 272
12.5.2 Frequency-stabilized QCLs 275
12.6 Temperature Dependence of the Frequency Noise in a QCL 276
12.7 The Origin of Frequency Noise in QCLs 278
12.8 Conclusion and Outlook 279
References 280
13 Wide-Bandgap Semiconductor Quantum Cascade Lasers
Operating at Terahertz Frequencies 289
Hung Chi Chou, John Zeller, Anas Mazady, and Mehdi Anwar
13.1 Introduction 290
13.1.1 Motivation 290
13.1.2 Terahertz QCLs: background and recent developments 290
13.1.3 Terahertz QCLs: challenges 292
13.2 Terahertz QCLs: Structure and Design 293
13.2.1 Lasing in terahertz QCLs 293
13.2.2 Rate equations of a three-level QCL 297
13.2.3 Electron transmission in QCLs 298
13.3 Simulation and Analysis 300
13.3.1 Absorption and optical gain 300
13.3.2 Terahertz output power and wall-plug efficiency 304
13.3.3 Polar versus nonpolar cases 311
13.4 Conclusion 313
References 314
IV Detectors 321
14 HgCdTe versus Other Material Systems: A Historical Look 323
Antoni Rogalski
14.1 Introduction 323
14.2 The HgCdTe Era 325
14.3 Alternative-Material Systems 331
14.3.1 PbSnTe 331
14.3.2 InSb and InGaAs 333
14.3.3 GaAs/AlGaAs QW SLs 335
14.3.4 InAs/GaInSb strained-layer SLs 337
14.3.5 Hg-based alternatives to HgCdTe 340
Contents xi

14.4 Readiness Level of LWIR Detector Technologies 340


14.5 Summary 341
References 342
15 Type-II Superlattices: Status and Trends 347
Elena A. Plis and Sanjay Krishna
15.1 Introduction 347
15.2 Limitations of T2SLS Technology 349
15.2.1 Short carrier lifetime 349
15.2.2 Passivation 350
15.2.3 Heterostructure engineering 352
15.2.4 Nonuniformity and reproducibility issues 353
15.2.5 Spectral crosstalk in multicolor T2SLS imagers 353
15.3 Proposed Solutions 354
15.3.1 Ga-free type-II InAs/InAsSb superlattice detectors 354
15.3.2 Interband cascade infrared photodetector (ICIP) architecture 356
15.3.3 InAs/GaSb T2SLS MWIR detectors grown on (111) GaSb
substrates 358
15.4 Summary 360
Acknowledgments 360
References 360
16 MWIR Detectors: A Comparison of Strained-Layer Superlattice
Photodiodes with HgCdTe 369
William E. Tennant
16.1 Introduction: Why This Comparison? 369
16.2 Some Diode Basics 370
16.2.1 Diode architecture 370
16.2.2 The key metric: background-limited performance (BLIP) 373
16.3 Real MWIR Devices at 150 K 373
16.3.1 HgCdTe 373
16.3.2 Strained-layer superlattice (SLS) 375
16.4 Performance Assessment and Comparison 376
16.5 Summary and Conclusions 377
References 378
17 Mid- and Long-Wavelength Barrier Infrared Detectors 379
David Z. Ting, Alexander Soibel, Sam A. Keo, Cory J. Hill,
Jason M. Mumolo, Linda Höglund, Jean Nguyen, Arezou Khoshakhlagh,
Sir B. Rafol, John K. Liu, and Sarath D. Gunapala
17.1 Introduction 379
17.2 The Complementary-Barrier Infrared Detector (CBIRD) 380
17.2.1 CBIRD structure and characterization 381
17.2.2 CBIRD contact designs 384
xii Contents

17.2.3 Turn-on and dark-current characteristics 385


17.2.4 CBIRD focal plane arrays 388
17.3 Quantum-Dot Barrier Infrared Detector (QD-BIRD) 390
17.4 Summary 395
Acknowledgment 396
References 396
18 Modulation Transfer Function Measurements of Infrared
Focal Plane Arrays 407
Sarath D. Gunapala, Sir B. Rafol, David Z. Ting, Alexander Soibel,
John K. Liu, Arezou Khoshakhlagh, Sam A. Keo, Jason M. Mumolo,
Linda Höglund, and Jean Nguyen
18.1 Introduction 407
18.2 Mid-wavelength Infrared QWIP Device 411
18.3 MTF of Megapixel MWIR QWIP FPA 412
18.4 Long-Wavelength Infrared QWIP Device 416
18.5 MTF of Megapixel LWIR QWIP FPA 417
18.6 Dual-Band QWIP Device Structure 419
18.7 Testing and Characterization of Multiband QWIP FPA 420
18.8 NEDT and MTF of Megapixel Multiband QWIP FPA 421
18.9 Complementary-Barrier Infrared Detector (CBIRD) Device Structure 424
18.10 Testing and Characterization of CBIRD FPA 425
18.11 MRDT and MTF of CBIRD FPA 426
18.12 Conclusion 429
Acknowledgment 430
References 430
19 Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 435
Manijeh Razeghi and Stanley Tsao
19.1 Introduction 436
19.1.1 Infrared detection basics 438
19.1.1.1 Photocurrent 439
19.1.1.2 Dark current 440
19.1.1.3 Detector metrics 441
19.2 QDs for Infrared Detection 442
19.2.1 Benefits of QDs for ISB detectors 443
19.2.1.1 High gain and the phonon bottleneck 444
19.2.1.2 Low dark current 445
19.2.1.3 Normal-incidence absorption 445
19.2.1.4 Versatility 446
19.2.2 The potential of QDIPs 446
19.3 QD Growth 447
19.3.1 The formation of QDs in the SK growth mode 447
Contents xiii

19.3.2 Properties of SK-grown dots and their effect on


QDIP performance 448
19.3.2.1 QD size 449
19.3.2.2 QD shape 449
19.3.2.3 QD density 449
19.3.2.4 QD uniformity 451
19.4 Device Fabrication and Measurement Procedures 453
19.5 Gallium-Arsenide-based QD Detectors 454
19.5.1 InGaAs/InGaP QDIP 454
19.5.2 First QDIP FPA 457
19.5.3 Two-temperature barrier growth for
morphology improvement 462
19.6 Indium-Phosphide-based QD Detectors 467
19.6.1 InAs/InP QDIP 468
19.6.2 Detection wavelength tuning using QD engineering 472
19.6.3 High-operating-temperature QD detector and FPA 477
19.6.4 High-operating-temperature FPA 482
19.7 Conclusion 484
References 485
20 Near-Infrared Light Detection using CMOS Silicon Avalanche
Photodiodes (SiAPDs) 491
Ehsan Kamrani, Frédéric Lesage, and Mohamad Sawan
20.1 Introduction 491
20.2 Background Theory: How SiAPDs Work 495
20.3 Design Challenges of NIR Detectors 497
20.3.1 Modeling and simulation 497
20.3.2 Fabrication: standard and dedicated CMOS process 500
20.3.3 Premature-edge-breakdown (PEB) effects 501
20.3.4 APD structure 503
20.4 SiAPD Circuitry Design 506
20.4.1 Circuitry required for SiAPD-based front ends 506
20.4.2 Linear-mode SiAPD front end 507
20.4.3 Geiger-mode SiAPD front end 515
20.5 Optimally Adaptive Control for Low-Noise, Low-Power,
and Fast Photodetection 518
20.6 Conclusion 521
Acknowledgment 522
References 522
21 Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)
for an Uncooled IR FPA Utilizing Integrated HEMT-MEMS Technology 533
Masayuki Abe, Kian Siong Ang, Hong Wang, and Geok Ing Ng
21.1 Introduction 534
xiv Contents

21.2 Seebeck Effect Consideration 535


21.2.1 Seebeck-coefficient diffusion component 535
21.2.2 Seebeck-coefficient phonon-drag component 535
21.3 Device Design Consideration 536
21.3.1 Performance of a thermoelectric sensor 536
21.3.2 AlGaAs/InGaAs thermopile design 537
21.3.2.1 H-PILE structure 537
21.3.2.2 High-sensitivity performance design (type-A) 538
21.3.2.3 High-speed performance design (type-C) 539
21.3.3 Scaled-down approach 540
21.4 Sensor Fabrication Technology 541
21.5 Measured Sensor Performance and Discussion 542
21.6 Conclusion and Future Prospects 545
Acknowledgments 547
References 547
22 Spin–Orbit Engineering of Semiconductor Heterostructures 551
Henri-Jean Drouhin, Federico Bottegoni, Alberto Ferrari,
T. L. Hoai Nguyen, Jean-Eric Wegrowe, and Guy Fishman
22.1 Introduction 552
22.2 General Definition of Current Operators 554
22.2.1 Current associated with a quantum-mechanical operator 554
22.2.2 Symmetry properties of current operators 558
22.3 Probability Current Related to an Effective Hamiltonian 559
22.3.1 The general nth-order Hamiltonian 559
22.3.2 Velocity operator in the presence of spin–orbit
interaction 560
22.3.3 Velocity and probability-current operators in effective
Hamiltonian formalism 561
22.4 Spin-Current Operator 563
22.5 BenDaniel–Duke-like Formulation and Boundary Conditions 567
22.6 Spin-Split Evanescent States in III-V Semiconductors 571
22.6.1 Evanescent states 571
22.6.2 The [110] direction 574
22.6.3 Constant-g case: solution to the tunneling problem 576
22.6.4 Matching conditions 579
22.7 Conclusion 583
Appendix
22.A Complete Derivation of the Current Operator Jb 584
22.B Evanescent Bands in the [110] Direction 586
22.C Standard Tunneling Case 587
References 589
Contents xv

V Applications 595
23 Current Status of Mid-infrared Semiconductor-Laser-based
Sensor Technologies for Trace-Gas Sensing Applications 597
Rafal Lewicki, Mohammad Jahjah, Yufei Ma, Przemysl aw Stefanski,
Jan Tarka, Manijeh Razeghi, and Frank K. Tittel
23.1 Introduction 598
23.2 Tunable Diode Laser Absorption Spectroscopy (TDLAS)
for Ethane Detection 602
23.2.1 Laser characterization 602
23.2.2 Optical sensor architecture 603
23.2.3 Experiments and results 604
23.3 Environmental Detection of Ammonia using an EC-QCL-based
C-PAS Sensor Platform 605
23.3.1 Sensor configuration and results 606
23.4 Quartz-Enhanced Photoacoustic Spectroscopy (QEPAS) 609
23.4.1 Methane and nitrous oxide detection 609
23.4.1.1 7.83-mm DFB-QCL for methane and nitrous oxide
detection 609
23.4.1.2 Experiments and results 609
23.4.2 Environmental detection of nitric oxide 611
23.4.3 QEPAS-based ppb-level detection of carbon monoxide
and nitrous oxide 614
23.4.3.1 CW DFB-QCL-based QEPAS sensor system
for CO and N2O 615
23.4.3.2 Experimental results and discussion 616
23.4.4 Sulfur dioxide experiments 620
23.4.4.1 SO2 QEPAS sensor architecture and performance 620
23.5 Conclusions 622
Acknowledgments 624
References 624
24 Application of Quantum Cascade Lasers for Safety and Security 633
Ulrike Willer, Mario Mordmüller, and Wolfgang Schade
24.1 Introduction 633
24.2 Pulsed Laser Fragmentation 635
24.3 Experimental Setup 635
24.4 Results 637
24.5 Discussion 639
24.6 Conclusions 641
References 641
xvi Contents

25 Broadband-Tunable External-Cavity Quantum Cascade Lasers


for Spectroscopy and Standoff Detection 645
Frank Fuchs, Stefan Hugger, Quankui Yang, Jan Jarvis, Michel Kinzer,
Ralf Ostendorf, Christian Schilling, Rachid Driad, Wolfgang Bronner,
Andreas Bächle, Rolf Aidam, and Joachim Wagner
25.1 Introduction 646
25.1.1 Standoff detection of explosives 647
25.1.2 In-line spectroscopy of drinking water 647
25.2 Eye Safety in the Mid-infrared Spectral Region 648
25.3 External-Cavity Quantum Cascade Laser 650
25.3.1 Broadband tuning 650
25.3.2 Fast wavelength tuning 652
25.4 Standoff Detection of Explosives 653
25.4.1 Backscattering spectroscopy 653
25.4.2 Samples 656
25.5 Hyperspectral Data Analysis 656
25.5.1 Adaptive matched subspace detector 657
25.5.2 Background endmember extraction 658
25.5.3 Reference spectra 659
25.5.4 Experimental results 659
25.5.5 Larger distances 662
25.6 Spectroscopy of Hazardous Chemicals in Drinking Water 663
25.7 Conclusions 665
Acknowledgments 665
References 666
26 Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 673
Yong-gang Zhang
26.1 Introduction 673
26.2 Overall Considerations 675
26.3 System Configuration 677
26.4 Demonstrations and Discussion 680
26.5 Summary and Future Perspectives 688
Acknowledgments 689
References 689
27 Photonic Sensing of Environmental Gaseous Nitrous Acid
(HONO): Opportunities and Challenges 693
Weidong Chen, Rabih Maamary, Xiaojuan Cui, Tao Wu, Eric Fertein,
Dorothée Dewaele, Fabrice Cazier, Qiaozhi Zha, Zheng Xu, Tao Wang,
Yingjian Wang, Weijun Zhang, Xiaoming Gao, Wenqing Liu, and
Fengzhong Dong
27.1 Introduction 694
27.2 State-of-the-Art Instruments for Measurement of Atmospheric
HONO 697
Contents xvii

27.2.1 Wet chemical analytical methods 697


27.2.2 Gas phase spectroscopic analytical methods 698
27.2.2.1 Long-path-length-absorption-based direct
spectroscopic detection (DOAS, TLAS,
IBBCEAS, CRDS, FTIR) 698
27.2.2.2 Indirect spectroscopic detection
(PF-LIF, CIMS, TDC) 700
27.3 HONO Sample Production and Quantification 705
27.3.1 Production of HONO samples in the laboratory 705
27.3.2 Quantification of HONO concentration 706
27.3.3 HONO losses on absorption cell wall 707
27.4 Photonic Monitoring using Infrared Laser 708
27.4.1 Environmental HONO monitoring by multipass-cell-based
long-path-absorption spectroscopy using an 8-mm QCL 708
27.4.2 HONO monitoring near 2.8 mm 711
27.5 Photonic Monitoring using LED-based IBBCCEAS 712
27.5.1 Concentration retrieval of multiple absorbers from a
structured broadband absorption spectrum 713
27.5.2 Determination of cavity mirror reflectivity 713
27.5.3 Allan variance 714
27.5.4 Instrumental development and application 715
27.5.4.1 Open-cavity configuration 715
27.5.4.2 Closed-cavity configuration 718
27.6 Summary and Outlook 720
Acknowledgments 721
References 721
28 Integrated Plasmonic Antennas with Active Optical Devices 739
John Kohoutek, Ryan Gelfand, and Hooman Mohseni
28.1 Introduction 739
28.2 Near-Field Scanning Optical Microscopy (NSOM) 743
28.3 Optical Force 746
28.4 Deep Subdiffraction Mechanical Frequency and
Amplitude Modulation 752
28.5 Optical Switching via Near-Field Interaction 758
28.6 Conclusions 763
References 764
29 Quantum-Dot Biosensors using Fluorescence Resonance
Energy Transfer (FRET) 773
James W. Garland, Dinakar Ramadurai, and Siva Sivananthan
29.1 Introduction 773
29.2 Conjugated QDs 776
29.3 Fluorescence Resonance Energy Transfer (FRET) 778
xviii Contents

29.4 Biosensor using FRET and Antibody-Conjugated QDs:


Concept and Bench-top Results 779
Acknowledgments 785
29.5 EpiSENSE Prototype Biosensor for Rapid Detection of Airborne
Biological Pathogens 785
29.5.1 Sensor design 786
29.5.2 Testing of the EpiSENSE biosensor 788
Acknowledgments 791
29.6 Summary 791
References 791
30 Optoelectronic Applications of Monodisperse Carbon Nanomaterials 795
Heather N. Arnold and Mark C. Hersam
30.1 Introduction 795
30.2 Monodisperse Carbon Nanomaterials 797
30.3 Assembly Strategies 799
30.4 Electronics with Semiconducting SWCNT Films 801
30.5 Optoelectronics with Semiconducting SWCNT Films 804
30.6 Applications for Metallic SWCNTs 805
30.7 Applications of Solution-Processed Graphene 807
30.8 Summary and Future Outlook 810
References 811
Color Plates

31 Design of Radial p–i–n Silicon Nanowires for High-Performance


Solar Cells 823
Binh-Minh Nguyen, Jinkyoung Yoo, Shadi A. Dayeh, Paul Schuele,
David Evans, and S. Tom Picraux
31.1 Introduction 824
31.2 Device Fabrication 825
31.3 Estimation of Depletion Region 827
31.4 Optical Absorption Simulation 831
31.4.1 Effect of nanowire length 832
31.4.2 Effect of pitch size 834
31.5 Conclusion and Outlook 838
Acknowledgments 839
References 839
32 Nanostructured Electrode Interfaces for Energy Applications 843
Palash Gangopadhyay, Kaushik Balakrishnan, and Nasser Peyghambarian
32.1 Introduction 843
32.2 0D Nanostructured Electrodes 848
32.3 1D Nanostructured Electrodes 850
32.4 2D Nanostructures and Nanostructured Electrodes 853
Contents xix

32.4.1 2D nanomaterials in energy storage 854


32.4.2 Supercapacitors 855
32.4.3 Batteries 857
32.4.4 2D nanostructures for flow-based energy harvesting 857
32.4.5 Fabrication of nanostructured electrodes via nanoimprinting 858
32.5 3D Nanostructures 860
32.5.1 3D nanoarchitectures for energy harvesting 861
32.5.2 Sustainable integrated 3D powering solutions 862
32.6 Concluding Remarks 863
Acknowledgment 864
References 865

Index 879
Foreword
Twenty years ago, I met with Prof. Klaus von Klitzing and Prof. Manijeh
Razeghi and other top researchers from around the world for the inauguration
of a new Center for Quantum Devices at Northwestern University. A full two
decades of research later, we have chosen the occasion of the International
Conference on Infrared Optoelectronics (MIOMD-XI) to join together again
at Northwestern to celebrate all of the accomplishments of the intervening
years. This conference not only marks the latest progress in new materials and
devices that followed from my own work in this field, it also highlighted the
richest accomplishments of a full spectrum of prominent world-class scientists.
With the success of this conference, it was decided that a more permanent
volume should commemorate the achievements presented there, so as cochair
of MIOMD-XI, I am happy to announce the occasion in this foreword. This
book collects the best and highest-impact talks from that conference, develops
them into chapters, and collects them into a single condensed volume
representing the current state-of-the-art in infrared materials and devices.
The chapters in this book bear witness to how far we have come since the
invention of manmade semiconductor superlattices in 1969. What started with
the new physics of the Esaki tunnel diode has matured into nanoscale
engineering of semiconductor superlattices to create whole synthetic band
structures. After years of considerable effort to bring this technology to
maturity, we now see the results of this formidable new science in almost every
electronic and photonic device that we encounter. We see it in the electronics
that flood the consumer market, the communication infrastructure that is
rapidly shrinking our world, and in the specialized components such as
quantum cascade lasers or type-II superlattice cameras used for defense and
security—this is truly the age of nanotechnology. I look back with wonder at
all of the exciting developments of the last 44 years and can only imagine
where the future will take this technology and what exciting discoveries await.
Leo Esaki
University of Tokyo
Komaba, Meguro, Japan

xxi
xxii Foreword

Leo Esaki is a Japanese physicist who shared the Nobel


Prize in Physics in 1973 with Ivar Giaever and Brian
David Josephson for his discovery of the phenomenon
of electron tunneling. He is known for his invention of
the Esaki diode, which exploited that phenomenon. He
studied physics at the University of Tokyo where he
received his B.S. in 1947 and his Ph.D. in 1959. He was
awarded the Nobel Prize for his research conducted
around 1967 at Tokyo Tsushin Kogyo (now known as Sony). He moved to
the United States in 1960 and joined the IBM T. J. Watson Research Center,
where he became an IBM Fellow in 1967. While at IBM he pioneered the
development of the semiconductor superlattice. Subsequently, he served as the
President of various Japanese universities, for example, University of Tsukuba
and Shibaura Institute of Technology. Since 2006, he has been serving as the
President of the Yokohama College of Pharmacy. Esaki is also the recipient of
The International Center in New York's Award of Excellence, the Order of
Culture (1974) and the Grand Cordon of the Order of the Rising Sun (1998).
Preface
Nature is nano.
Nature starts with the atom, the building block of all matter, and works
hand-in-hand with her partner the photon, the piece of light that communicates
energy from one atom to another. When nature binds atoms together or creates
physical structures in the micro- and nano-range, the combinations interact
differently with light, providing nature with a rich palette of colors to decorate the
world around us, while also giving rise to the functional complexity of nature. The
wings of a butterfly, the feather of a peacock, the sheen of a pearl—all of these are
examples of nature’s photonic crystals: nanostructured arrangements of atoms
that capture and recast the colors of the rainbow with iridescent beauty. These
diverse combinations of microstructures and atoms in molecules, crystals,
proteins, and cells on the nanoscale eventually give rise to ourselves, sentient
beings, who, in turn, strive to explain the natural world that we see around us.
As our tools to manipulate matter reach ever smaller length scales, we,
too, are able to join in the game of discovery in the nano-world—a game that
nature has long since mastered. We are able to get inside light, on the scale
that atoms do, and create assemblies of atoms that intercept and launch
photons according to the structure we design. We are able to shine light of any
color in beams that can travel to the moon and back. We are able to create
crystals of matter that allow us to see even invisible light in the infrared and
ultraviolet spectrum, and we can enhance our own natural senses. We can
map the universe with telescopes that see invisible colors, and we can probe
the human body to find cures and treat diseases. We can communicate with
each other faster, over ever larger distances, sharing ever more information.
As we marvel at our achievements thus far in the nano-world, and as we
let our imaginations dive into realms that yesterday seemed too fantastic to
consider, we must pause to remember who arrived here long before us and
who still governs the limits of our ambitions.
Let us pay our due respects to wonder at nature as we contemplate the
wonder of nanotechnology.
Klaus von Klitzing
Max Planck Institute for Solid State Research
Stuttgart, Germany
xxiii
xxiv Preface

Klaus von Klitzing is a German physicist known for


discovery of the integer quantum Hall effect, for which
he was awarded the 1985 Nobel Prize in Physics. In
1962, von Klitzing passed the Abitur at Artland
Gymnasium in Quakenbrück, Germany, before study-
ing physics at the Braunschweig University of Technol-
ogy, where he received his diploma in 1969. He
continued his studies at the University of Würzburg,
completing his Ph.D. thesis “Galvanomagnetic Properties of Tellurium in
Strong Magnetic Fields” in 1972, and habilitation in 1978. This work was
performed at the Clarendon Laboratory in Oxford and the Grenoble High
Magnetic Field Laboratory in France, where he continued to work until
becoming a professor at the Technical University of Munich in 1980. Von
Klitzing has been a director of the Max Planck Institute for Solid State
Research in Stuttgart since 1985. Today, von Klitzing's research focuses on
the properties of low-dimensional electronic systems, typically in low
temperatures and in high magnetic fields.
Introduction
Nature offers us a full assortment of atoms, but nanoengineering is required to
put them together in an elegant way to realize functional structures not found
in nature. To design new optical properties, one must nanoengineer structures
on a length scale smaller than the wavelength of light. To design new
electronic properties, one must nanoengineer structures on a length scale
smaller than the wavelength of the electron. In the end, our ability to control
material composition and shape on nanometer length scales is what gives us
the ability to achieve technological goals that transcend the properties of
naturally occurring materials.
A particularly rich playground for nanotechnology is the so-called III-V
semiconductors, made of atoms from columns III and V of the periodic table,
and constituting compounds with many useful optical and electronic
properties in their own right. Guided by highly accurate simulations of the
electronic structure, modern semiconductor optoelectronic devices are literally
made atom by atom using advanced growth technology such as molecular
beam epitaxy and metal organic chemical vapor deposition to combine these
materials in ways to give them new properties that neither material has on its
own. Modern mastery of materials growth and characterization with the help
of such techniques allows high-power and highly efficient functional devices to
be made, such as those that convert electrical energy into coherent light or
detect light of any wavelength and convert it into an electrical signal.
The cover of this volume shows an example of how nanoengineering can
realize an optoelectronic structure originally proposed by Esaki and Tsu—a
structure that signaled the very dawn of the age of nanotechnology. This so-
called superlattice is a stack of repeated nanolayers of two different
semiconductors GaSb and InAs, together making up a new artificial material
with properties that transcend those of either material alone. As the figure
shows, this material can be grown today with atomic-layer accuracy to detect
infrared light. Then nanofabrication technology can carve out individual
devices from such a material and connect them in an array to make the pixels
of a focal plane array, nanotechnology’s version of a retina. Finally, attaching
this to readout circuitry and mounting it behind a lens in a cooled chamber

xxv
xxvi Introduction

culminates in an infrared camera that sees the heat signal given off by the
same hands that crafted the device from the atom up.
In a broader scope, this volume collects the latest world-class research
breakthroughs that have brought quantum engineering to an unprecedented
level, creating light detectors and emitters over an extremely wide spectral
range from 0.2 to 300 mm. Devices include light-emitting diodes in the deep-
ultraviolet to visible wavelengths. In the infrared, compounds can be
nanoengineered to create quantum cascade lasers and focal plane arrays
based on quantum dots or repeated layers of one material inside another.
These are fast becoming the choice of technology in crucial applications such
as environmental monitoring and space exploration. Last but not least, on the
far-infrared end of the electromagnetic spectrum, also known as the terahertz
region, new nanotechnology allows emission of terahertz waves in a compact
device at room temperature. Continued effort is being devoted to all of the
abovementioned areas, with the intention to develop smart technologies that
meet the current challenges in environment, health, security, and energy. This
volume documents the latest contributions to the world of semiconductor
nanoscale optoelectronics.
The research efforts represented here share a common genesis in the
MIOMD-XI conference at Northwestern University, hosted by the Center for
Quantum Devices in September 2012. The novelty and quality of the work
presented at that conference inspired their collection into this special volume,
representing both the state-of-the-art and the future trends of nanotechnology.
It is a privilege to be able to introduce these works here for posterity so
that they might mark our remarkable progress in the past decades and usher
in the wonders of what nanotechnology holds in store for our future.
Manijeh Razeghi
Center for Quantum Devices
Electrical Engineering & Computer Science Department
Evanston, Illinois, USA

Manijeh Razeghi received the Doctorat d’État es


Sciences Physiques from the Université de Paris,
France, in 1980. After heading the Exploratory
Materials Lab at Thomson-CSF (France), she joined
Northwestern University, Evanston, Illinois, as a
Walter P. Murphy Professor and Director of the Center
for Quantum Devices in Fall 1991, where she created
the undergraduate and graduate program in solid state
engineering. She is one of the leading scientists in the field of semiconductor
Introduction xxvii

science and technology, pioneering the development and implementation of


major modern epitaxial techniques such as MOCVD, VPE, gas MBE, and
MOMBE for the growth of entire compositional ranges of III-V compound
semiconductors. She has authored or coauthored more than 1000 papers,
more than 30 book chapters, and 15 books, including the textbooks
Technology of Quantum Devices, Springer Science+Business Media, Inc. (2010),
Fundamentals of Solid State Engineering, 3rd Edition, Springer Science+ Business
Media, Inc. (2009), and The MOCVD Challenge, 2nd Edition, CRC Press (2010),
which discuss some of her pioneering work in InP-GaInAsP and GaAs-GaInAsP
based systems. She holds 50 U.S. patents and has given more than 1000 invited
and plenary talks. Her current research interest is in nanoscale optoelectronic
quantum devices.
An Imaging Perspective from
the Nanometer Scale
Advances in material science at the nanometer scale are opening new doors in
the area of optics and electronics. The ability to manipulate atoms and
photons, and fabricate new material structures offers opportunities to realize
new emitters, detectors, optics, ever-shrinking electronics, and integration of
optics and electronics. These developments are making a big impact in
optoelectronics and integrated circuits, among other fields. In particular,
imaging technology has the opportunity to leverage these developments to
produce new products for military, industrial, medical, security, and other
consumer applications.
The infusion of nanotechnology in modern times has already begun.
These advances are clearly evident in the visible-wavelength band due to pixel
scaling and nanometer-scale CMOS technology. CMOS cameras are available
in cell phones and many other consumer products. Similarly, carbon nanotubes,
graphene, and quantum dots are making inroads in the displays and visible
camera market. Advances in the infrared wavelengths for imaging technology
have been slow due to a lack of market volume and many technological barriers
in detectors and optical materials, as well as fundamental limits imposed by the
scaling laws of traditional optics. However, the advances in nanometer-scale
engineering coupled with innovations in photonics, optics, focal plane arrays,
and computation are paving the way for new approaches in infrared research
and development. There is, of course, much room for improvement in both the
visible and infrared imaging technologies. Further advancement in imaging
systems requires solutions for many technical challenges related to wide field of
view, resolution, pixel pitch, optics, multicolor, and form factor. Innovation is
also required to lower the cost of imagers. These solutions can be realized
through progress in nanometer-scale science and engineering.
Traditional research and development activities in infrared photodetectors
have been largely focused on pursuing bulk or epitaxially grown semi-
conductor layers that are reticulated to form detector arrays. Conventional
photodetectors such as p–n junctions and p–i–n photodiodes are some of the
depletion-mode devices widely used in photoreceivers and focal plane arrays.

xxix
xxx An Imaging Perspective from the Nanometer Scale

The optics is designed as multiple lenses made from bulk materials and aligned
in a barrel. However, traditional approaches are unlikely to yield large
improvements in infrared camera development. Specific limitations are large
format, multiple colors, and wide-band detector design with high resolution,
which require incompatible materials for different colors, scaling of pixel size,
and wide-band optics, to list a few. As a consequence, infrared cameras are
large and expensive, and generally limited to military applications. A
paradigm shift in the way components of cameras and other optoelectronic
devices are made is needed to fulfill the future requirements. This shift in
approach will make smaller and lower-cost infrared cameras, lasers, and many
other optoelectronic products available for both civilian and military markets.
Nanotechnology is paving the way for a new dimension involving more
versatile material designs that enable large format, multicolor, and wide-band
infrared focal plane arrays. One example is the type-II superlattice approach
that uses a set of different compound semiconductor materials to design
multiple band detectors on a single substrate. The type-II superlattice
technique takes advantage of nanometer-scale stacking of different exotic
materials to tailor the bandgap. The nanometer-scale manipulation of
different exotic materials, therefore, allows for a new material design whose
optical properties can be modified from the individual bulk material. Thus, an
artificially created new “lattice structure” can be formed in mixed
semiconductor crystals, allowing for bandgap engineering. Another example
is the nanometer-scale structuring of a thin compound semiconductor material
to fabricate a photonic crystal. Subwavelength-sized semiconductor pillar
arrays within a single detector can be designed and structured as an ensemble
of photon trapping units to significantly increase absorption and quantum
efficiency for a wide band of wavelengths. Each sub-element in each pixel can
be a 3D photonic structure fabricated using either a top-down or bottom-up
process. The sub-element architecture can be of different shapes such as
pyramidal, sinusoidal, or rectangular. Additionally, the sub-elements
themselves can have p–n junctions. The motivation for this design is to
significantly increase photon trapping of a wide range of wavelengths, and
their subsequent absorption and generation of electron–hole pairs in the
absorber material. Such a design also leads to a reduction in the material
volume and, thus, a decrease in the dark current. The subwavelength photonic
trap allows for high absorption and increases the signal-to-noise ratio.
Metamaterials to manipulate light is yet another technique leveraged by
nanotechnology and can be used to develop monolithic filters directly on
wide-band detectors. Such an arrangement offers a real shift in the way
infrared focal plane arrays are designed. Nanometer-scale structuring also has
merit in solar cells, lasers, and light-emitting diodes. Bandgap engineering and
nanometer-scale structuring both modify the fundamental building block of
the materials.
An Imaging Perspective from the Nanometer Scale xxxi

Nanotechnology is making a significant impact in the optics field. The


advances in nanophotonics and the associated physics of surface plasmon-
polaritons and subwavelength-aperture extraordinary optical transmission will
allow detector size to shrink smaller than the wavelength it detects. SPPs are
electromagnetic excitations on the surface of a metal whose electromagnetic
field is confined to the vicinity of the dielectric–metal interface, leading to a
significant enhancement of the electromagnetic field. This field enhancement
facilitates incident light to be funneled through subwavelength apertures
exhibiting extraordinary optical transmission. Nanophotonic designs can be
used to couple photons to very thin and tiny detectors. These nanometer-scale
optical designs would make it possible to make very high-density, large-format
focal plane arrays. Advances in the aforementioned nanotechnology, if realized
with high efficiency, will open doors for infrared cameras with unprecedented
form factors and functionality. These cameras could be as small as CMOS
cell-phone cameras and yet provide multicolor coverage of a broad range of
wavelengths in a single unit.
Efforts are underway to integrate optically efficient compound materials
into an electronically mature common platform such as silicon to produce
very efficient hybrid optoelectronics products. Incompatibility in different
material systems has been the primary barrier in identifying a unitary host
material for large-scale integration of electronics and photonics to produce
efficient optoelectronic systems. Over the last ten or more years, developments
and advances in the bottom-up synthesis of 1D nanowires and colloidal
quantum dots with precise control on the chemical compositions, morphol-
ogies, and sizes have enabled researchers to fabricate novel nanometer-scale
devices such as photodetectors, displays, nanowire field effect transistors,
light-emitting diodes, complementary inverters, complex logic gates, lasers,
and chemical sensors. Simultaneously, the current state-of-the-art silicon
CMOS technology has already been scaled down to nanometer feature sizes
and is approaching the physical lower limit of beneficial scaling. These trends
motivate a search for new technologies that may allow widespread and cost-
effective integration of nanometer-scale components in devices and circuits for
electronic as well as optoelectronic applications. For instance, quantum dots
of different sizes respond to different wavelengths. Direct integration of these
quantum dots on silicon integrated circuits opens the door for a new approach
to focal plane arrays and infrared cameras.
Nanometer-scale architectures play an important role in nature. Many
biological systems exhibit interesting structures that manipulate light. For
example, the Morpho butterflies are known for their brilliant colors arising
from the nanometer nature of the scales on their wings. The Melanophila
acuminata beetle, pythons, and other species use their thermal pits to sense
infrared light. These thermal pits are made up of nanometer-sized pigments.
Using quantum dots, bio-inspired nanometer engineering can lead to
xxxii An Imaging Perspective from the Nanometer Scale

fabricating artificial thermal pits similar to beetles’ or pythons’ thermal pits.


Biology, therefore, offers rich insight into the science and wonders of light
interaction at the nanometer scale.
There is an unlimited potential in nanotechnology. Scientists have only
scratched the surface. Progress in nanometer-scale fabrication will drive low-
cost manufacturing and continue to open new doors in optoelectronics
technology. This volume, The Wonder of Nanotechnology: Quantum
Optoelectronic Devices and Applications, edited by Manijeh Razeghi, Leo
Esaki, and Klaus von Klitzing presents the latest developments in the
application of nanotechnology to modern semiconductor optoelectronic
devices. The coeditor Prof. Razeghi is a Walter P. Murphy Professor and
Director of the Center for Quantum Devices at Northwestern University. She
has pioneered nanometer-scale architectures in semiconductor technology.
Her research in quantum materials has culminated in various technologies
such as type-II strained-layer superlattice infrared detectors, lasers, and
terahertz technology, to name a few. This volume is also blessed with the
participation of Nobel Prize winners, Leo Esaki and Klaus von Klitzing.
Their contributions in quantum physics have revolutionized nanometer-scale
science and have paved the way for nanotechnology to advance. The
collection of research efforts represented here provides a glimpse of a wide
range of activities in the optoelectronics science motivated by nanotechnol-
ogy. The collection is compiled from a recent MIOMD-XI conference held at
Northwestern University, Center for Quantum Devices in September 2012.
Nibir K. Dhar
Program Manager
Defense Advanced Research Project Agency
Arlington, Virginia, USA

Nibir K. Dhar received the Ph.D. in electrical


engineering from the University of Maryland in 1997.
After heading the Electro-Optics and Photonics branch
at the Army Research Laboratory, he joined the
Microsystems Technology Office at Defense Advanced
Research Project Agency as a program manager in
2008. He is one of the leading scientists in the field of
infrared imaging science and technology. He has
pioneered the development of infrared focal plane arrays on silicon substrates
for large-format-camera technology. He has developed and managed
numerous research projects in epitaxial and bandgap-engineered materials
including type-II superlattice, quantum dots, quantum wires, detectors, lasers,
and systems design. His current efforts at DARPA have led to novel
An Imaging Perspective from the Nanometer Scale xxxiii

architectures in focal plane array designs for wide-band and multi-color, pixel
sizes at subwavelengths, wafer scale optics, wafer scale IR cameras, novel
system architectures for gigapixel-class cameras, and bio-inspired nanometer-
scale sensor technologies. These efforts have culminated into a new set of
infrared camera technologies and tools that are revolutionizing the way focal
plane arrays, optics, and cameras are produced. Dr. Dhar has authored
numerous papers and chapters on infrared technology, served as chairperson
on numerous conferences and committees, and served as coeditor of several
conference proceedings. He mentored and served on eight doctoral thesis
advisory committees on various subjects. He is also Fellow of SPIE.
List of Contributors

Masayuki Abe J. Reinhardt Botha


3D-bio Co., Ltd., Hadano, Nelson Mandela Metropolitan
Kanagawa, Japan University, Port Elizabeth,
South Africa
Rolf Aidam
Fraunhofer Institute for Applied Federico Bottegoni
Solid State Physics, Freiburg, Politecnico di Milano, Milano,
Germany Italy and École Polytechnique,
Palaiseau, France
Kian Siong Ang
Nanyang Technnological Wolfgang Bronner
University, Singapore Fraunhofer Institute for Applied
Solid State Physics, Freiburg,
Mehdi Anwar Germany
University of Connecticut, Storrs,
Connecticut, USA Gail J. Brown
Air Force Research Laboratory,
Heather N. Arnold Wright-Paterson Air Force Base,
Northwestern University, Evanston, Ohio, USA
Illinois, USA
Peter J. Carrington
Andreas Bächle Lancaster University, Lancaster,
Fraunhofer Institute for Applied United Kingdom
Solid State Physics, Freiburg,
Germany Fabrice Cazier
University of the Littoral Opal
Kaushik Balakrishnan Coast, Dunkerque,
The University of Arizona, Tucson, France
Arizona, USA
Oray Orkun Cellek
Can Bayram Arizona State University,
T. J. Watson Research Center, Tempe, Arizona,
Yorktown Heights, New York, USA USA
xxxv
xxxvi List of Contributors

Weidong Chen Henri-Jean Drouhin


University of the Littoral Opal École Polytechnique, Palaiseau,
Coast, Dunkerque, France France

Hung Chi Chou Said Elhamri


University of Connecticut, Storrs, University of Dayton, Dayton,
Connecticut, USA Ohio, USA

Xiaojuan Cui David Evans


University of the Littoral Opal Sharp Laboratories of America,
Coast, Dunkerque, Camas, Washington, USA
France and Nanchang Hangkong
University, Nanchang, Jenyu Fan
China AdTech Optics, Inc., City of
Industry, California, USA
Leonid V. Danilov,
Ioffe Physical Technical Institute, Ferdinand Felder
St. Petersburg, Russia ETH Zurich, Zurich, Switzerland
and Phocone AG, Zurich,
Shadi A. Dayeh Switzerland
Los Alamos National Laboratory,
Los Alamos, New Mexico, Alberto Ferrari
USA and University of California, Politecnico di Milano, Milano,
San Diego, La Jolla, California, Italy
USA
Eric Fertein
Dorothée Dewaele University of the Littoral Opal
University of the Littoral Opal Coast, Dunkerque, France
Coast, Dunkerque, France
Matthias Fill
Gianni Di Domenico ETH Zurich, Zurich, Switzerland
Université de Neuchâtel, and Phocone AG, Zurich,
Neuchâtel, Switzerland Switzerland

Fengzhong Dong Guy Fishman


Anhui Institute of Optics & Fine Université Paris-Sud, Orsay,
Mechanics, Hefei, China France

Rachid Driad Frank Fuchs


Fraunhofer Institute for Applied Fraunhofer Institute for Applied
Solid State Physics, Freiburg, Solid State Physics, Freiburg,
Germany Germany
List of Contributors xxxvii

Palash Gangopadhyay Daniel Hofstetter


The University of Arizona, Tucson, Université de Neuchâtel, Neuchâtel,
Arizona, USA Switzerland

Xiaoming Gao Linda Höglund


Anhui Institute of Optics & Fine California Institute of Technology,
Mechanics, Hefei, China Pasadena, California,
USA
James W. Garland
Episensors, Inc., Bolingbrook, Alice Hospodková
Illinois, USA and Sivananthan Institute of Physics, Prague, Czech
Laboratories, Inc., Bolingbrook, Republic
Illinois, USA
Stefan Hugger
Ryan Gelfand Fraunhofer Institute for Applied
Northwestern University, Evanston, Solid State Physics, Freiburg,
Illinois, USA Germany

Matthew A. Grayson Eduard Hulicius


Northwestern University, Evanston, Institute of Physics, Prague, Czech
Illinois, USA Republic

Sarath D. Gunapala Edward V. Ivanov


California Institute of Technology, Ioffe Physical Technical Institute,
Pasadena, California, USA St. Petersburg, Russia

Heather J. Haugan Mohammad Jahjah


Air Force Research Laboratory, Rice University, Houston, Texas,
Wright-Paterson Air Force Base, USA
Ohio, USA
Juanita James
Manus Hayne Lancaster University, Lancaster,
Lancaster University, Lancaster, United Kingdom
United Kingdom
Jan Jarvis
Mark C. Hersam Fraunhofer Institute for Applied
Northwestern University, Evanston, Solid State Physics, Freiburg,
Illinois, USA Germany

Cory J. Hill Karina V. Kalinina


California Institute of Technology, Ioffe Physical Technical Institute,
Pasadena, California, USA St. Petersburg, Russia
xxxviii List of Contributors

Ehsan Kamrani Frédéric Lesage


École Polytechnique de Montréal, École Polytechnique de Montréal,
Québec, Canada Québec, Canada

Sam A. Keo Rafał Lewicki


California Institute of Technology, Rice University, Houston, Texas,
Pasadena, California, USA USA

Arezou Khoshakhlagh Hua Li


California Institute of Technology, Arizona State University, Tempe,
Pasadena, California, USA Arizona, USA

Mu J. Kim John K. Liu


Air Force Research Laboratory, California Institute of Technology,
Wright-Paterson Air Force Base, Pasadena, California, USA
Ohio, USA
Shi Liu
Michel Kinzer Arizona State University, Tempe,
Fraunhofer Institute for Applied Arizona, USA
Solid State Physics, Freiburg,
Germany Wenqing Liu
Anhui Institute of Optics & Fine
Paul Koenraad Mechanics, Hefei, China
Technical University of Eindhoven,
Eindhoven, The Netherlands Arkadiy Lyakh
Pranalytica, Inc., Santa Monica,
John Kohoutek California, USA
Northwestern University, Evanston,
Illinois, USA Yufei Ma
Rice University, Houston, Texas,
Anthony Krier USA and Harbin Institute of
Lancaster University, Lancaster, Technology, Harbin,
United Kingdom China

Sanjay Krishna Rabih Maamary


University of New Mexico, University of the Littoral Opal
Albuquerque, New Mexico, Coast, Dunkerque, France
USA
Krishnamurthy Mahalingam
Tim LaFave, Jr. Air Force Research Laboratory,
University of Texas at Dallas, Wright-Paterson Air Force Base,
Richardson, Texas, USA Ohio, USA
List of Contributors xxxix

Richard Maulini Ralf Ostendorf


Pranalytica, Inc., Santa Monica, Fraunhofer Institute for Applied
California, USA Solid State Physics, Freiburg,
Germany
Anas Mazady
University of Connecticut, Storrs, Jirí Pangrác
Connecticut, USA Institute of Physics, Prague, Czech
Republic
Maya P. Mikhailova,
Ioffe Physical Technical Institute, C. Kumar N. Patel
St. Petersburg, Russia Pranalytica, Inc., Santa Monica,
California, USA and University of
William C. Mitchel, California, Los Angeles, USA
Air Force Research Laboratory,
Wright-Paterson Air Force Base, Nasser Peyghambarian
Ohio, USA The University of Arizona, Tucson,
Arizona, USA
Hooman Mohseni
Northwestern University, Evanston, S. Tom Picraux
Illinois, USA Los Alamos National Laboratory,
Los Alamos, New Mexico, USA
Mario Mordmüller
Clausthal University of Technology, Elena A. Plis
Clausthal-Zellerfeld, Germany University of New Mexico,
Albuquerque, New Mexico, USA
Jason M. Mumolo
California Institute of Technology, Lu Qi
Pasadena, California, USA Lancaster University, Lancaster,
United Kingdom
Geok Ing Ng
Nanyang Technnological Sir B. Rafol
University, Singapore California Institute of Technology,
Pasadena, California, USA
Binh-Minh Nguyen
Los Alamos National Laboratory, Dinakar Ramadurai
Los Alamos, New Mexico, USA Episensors, Inc., Bolingbrook,
Illinois, USA and Sivananthan
Jean Nguyen Laboratories, Inc., Bolingbrook,
California Institute of Technology, Illinois, USA
Pasadena, California, USA
Ari Handono Ramelan
T. L. Hoai Nguyen Sebelas Maret University,
Institute of Physics, Hanoi, Vietnam Surakarta, Indonesia
xl List of Contributors

Manijeh Razeghi University of Illinois at Chicago,


Northwestern University, Evanston, Chicago, Illinois, USA
Illinois, USA
Erwin Smakman
Antoni Rogalski Technical University of Eindhoven,
Military University of Technology, Eindhoven, The Netherlands
Warsaw, Poland
David J. Smith
Devendra K. Sadana Arizona State University, Tempe,
T. J. Watson Research Center, Arizona, USA
Yorktown Heights, New York, USA
Alexander Soibel
Mohamad Sawan California Institute of Technology,
École Polytechnique de Montréal, Pasadena, California, USA
Québec, Canada
Elizabeth H. Steenbergen
Wolfgang Schade Air Force Research Laboratory,
Clausthal University of Technology, Wright Patterson Air Force Base,
Clausthal-Zellerfeld, Germany and Ohio, USA
Fraunhofer Heinrich Hertz Institute,
Goslar, Germany Przemysław Stefanski
Rice University, Houston, Texas,
Christian Schilling USA and Wroclaw University of
Fraunhofer Institute for Applied Technology, Wroclaw, Poland
Solid State Physics, Freiburg,
Germany Nikolay D. Stoyanov,
Ioffe Physical Technical Institute,
Stéphane Schilt St. Petersburg, Russia
Université de Neuchâtel, Neuchâtel,
Switzerland Frank Szmulowicz
Air Force Research Laboratory,
Paul Schuele Wright-Paterson Air Force Base,
Sharp Laboratories of America, Ohio, USA
Camas, Washington, USA
Boris Tadjikov
Xiaomeng Shen Pranalytica, Inc., Santa Monica,
Arizona State University, Tempe, California, USA
Arizona, USA
Jan Tarka
Siva Sivananthan Rice University, Houston, Texas,
Sivananthan Laboratories, Inc., USA and Wroclaw University of
Bolingbrook, Illinois, USA and Technology, Wroclaw, Poland
List of Contributors xli

William E. Tennant Joachim Wagner


Teledyne Imaging Sensors, Fraunhofer Institute for Applied
Camarillo, California, Solid State Physics, Freiburg,
USA Germany

David Z. Ting Hong Wang


California Institute of Technology, Nanyang Technnological
Pasadena, California, USA University, Singapore

Frank K. Tittel Tao Wang


Rice University, Houston, Texas, The Hong Kong Polytechnic
USA University, Hong Kong, China

Lionel Tombez Xiaojun Wang


Université de Neuchâtel, Neuchâtel, AdTech Optics, Inc., City of
Switzerland Industry, California, USA

Mariano Troccoli Yingjian Wang


AdTech Optics, Inc., City of Anhui Institute of Optics & Fine
Industry, California, USA Mechanics, Hefei, China

Stanley Tsao Jean-Eric Wegrowe


Northwestern University, Evanston, École Polytechnique, Palaiseau,
Illinois, USA France

Alexei Tsekoun Ulrike Willer


Pranalytica, Inc., Santa Monica, Clausthal University of Technology,
California, USA Clausthal-Zellerfeld, Germany

Raphael Tsu Zheng Xu


University of North Carolina at The Hong Kong Polytechnic
Charlotte, Charlotte, North University, Hong Kong,
Carolina, USA China

Gene Tsvid Yury P. Yakovlev


AdTech Optics, Inc., City of Ioffe Physical Technical Institute,
Industry, California, USA St. Petersburg, Russia

Magnus C. Wagener Quankui Yang


Nelson Mandela Metropolitan Fraunhofer Institute for Applied
University, Port Elizabeth, Solid State Physics, Freiburg,
South Africa Germany
xlii List of Contributors

Jinkyoung Yoo Yong-gang Zhang


Los Alamos National Laboratory, Shanghai Institute of Microsystem
Los Alamos, New Mexico, USA and Information Technology,
Shanghai, China
Robert J. Young,
Lancaster University, Lancaster, Yong-Hang Zhang
United Kingdom Arizona State University, Tempe,
Arizona, USA
Georgy G. Zegrya
Ioffe Physical Technical Institute, Chuanle Zhou
St. Petersburg, Russia Northwestern University, Evanston,
Illinois, USA
John Zeller
Magnolia Optical Technologies, Qiandong Zhuang,
Inc., Woburn, Massachussetts, USA Lancaster University, Lancaster,
United Kingdom
Qiaozhi Zha
The Hong Kong Polytechnic Markéta Zíková
University, Hong Kong, China Institute of Physics, Prague, Czech
Republic
Weijun Zhang
Anhui Institute of Optics & Fine Hans Zogg
Mechanics, Hefei, China ETH Zurich, Zurich Switzerland
Part I: Historic Overview
Chapter 1
Role of Symmetry in
Conductance, Capacitance,
and Doping of Quantum Dots
Raphael Tsu
University of North Carolina at Charlotte, Charlotte, North Carolina, USA

Tim LaFave, Jr.


University of Texas at Dallas, Richardson, Texas, USA

1.1 Introduction
1.2 Birth of the Superlattice
1.2.1 Response of a time dependent electric field and Bloch oscillation
1.3 Resonant Tunneling in Manmade Quantum Wells
1.3.1 Time dependent resonant tunneling
1.3.2 Quantum cascade laser with superlattice components
1.3.3 Type II superlattice
1.3.4 Terahertz sound in Stark ladder superlattices
1.3.5 Cold cathode
1.4 Size Dependent Dielectric Constant «(a)
1.5 Role of Symmetry in Capacitance of Few Electron Quantum Dots
1.5.1 A classical correspondence between quantum dots and atomic structure
1.5.2 Toward a general solution of the Thomson problem and atomic structure
1.5.3 The dielectric function and atomic dimension
1.6 Symmetry: Key in Interaction with Nanotechnology
1.7 A Few Important Considerations
References

1.1 Introduction
A significant difference between classical and quantum systems involving
interactions is generally accepted. Classical systems are characterized by
potentials for interactions with physical parameters like mass and charge
3
4 Chapter 1

involving constitutive equations such as stress, strain, electric potentials and


waves, and elastic, gaseous, and electromagnetic fields. Without explicit
wave motion, classical equations of motion also involve phase because time
is involved with all motion. When waves are present, such as sound and
electromagnetic waves, phase is definitively involved in determining
interactions, leading to modulation and ultimately to parametric amplifica-
tion. The existence of de Broglie waves for all matter mandates a wave
representation. Thus, quantum mechanics becomes the most important
addition to all interactions. However, as with classical systems, we need to
recognize that all parameters, including strain, thermal expansion, dielectric
constant, and magnetization in electric and magnetic fields are also
expressed in terms of constitutive relationships constructed on positive
definite average quantities in the absence of phase. These quantities are
typically characterized by the catch-all phrase random phase approximation
(RPA) introduced by Bohm and Pines1 3 to allow interactions to be
represented by parameters.
To most engineers, RPA is simply the square moduli. Therefore, in
reality, classical interactions expressed by averaging parameters are not
different from quantum interactions using RPA in terms of real and
imaginary parts of constitutive parameters such as the dielectric function,
and others, such as the dependence of uniaxial stress on bandgaps. Common
to all interactions is a dependence on symmetry, which may be distinguished
from phenomenological expressions as detailed many-body expressions.
Here we stress the degree of applicability of RPAs as parameters in quantum
devices. We shall show that whenever phase coherence in any interaction
exceeds the range of interaction, the applicability of RPA is in question. This
frequently occurs in nanometer-scale devices and materials. The examples
we have chosen include a few electrons in nanoscale capacitors, type-II
alignment in heterojunction superlattices, and resonant tunneling devices
where the original band structures are completely transformed when two
adjacent layers have the same energy and momentum, allowing for the
strongest interaction in opening new gaps for bandgap engineering. This is
quite similar to the formation of molecules from atoms. Molecular chemists,
especially polymer chemists, are involved with this sort of issue every day! In
other words, what we stress here are familiar day-to-day concerns for most
theoretical chemists! These points are discussed in terms of practical devices
including superlattices and resonant tunneling, particularly the physics of
quantum dots involving the capacitance of a few-electron system and
doping, as well as the type-II superlattice with broken bandgap alignment.
The strange conductance oscillations and the blinking of light emission
belong to systems where new considerations must be adopted. These
considerations include choosing which boundary conditions to use or, more
precisely, how to develop meaningful boundary conditions in interactions of
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 5

close encounters. Asked about his legacy of the famous Wigner–Seitz cell,
Frederick Seitz explained to Tsu, “The coordinates I introduced, ,100>,
,111>, and so on will outlast the validity of the Wigner–Seitz cell!” In other
words, determination of boundary conditions in quantum mechanics is a
fundamental issue. In our view, the validity of boundary conditions depends
on determining the degree of accuracy required of a given interaction. The
boundary condition for a large interfacial plane separating two regions is very
different from that of a curved common interface of nanometer dimensions.
The issue is whether averaging is to be applied to the boundary conditions
determining the wavefunctions or to the wavefunctions to be used at the common
boundary. In essence, we need to revisit the issue and applicability of RPA—
the difference between averaging probability densities versus wavefunctions as
commonly used in quantum mechanics. Learning to appreciate a new concept
needs a vehicle. This is why some of the background on the development of
manmade materials must be revisited—particularly those concerning the role
of coherence.

1.2 Birth of the Superlattice


Superlattices, manmade quantum materials, were introduced to expand the
available solids such as silicon for transport devices and GaAs for light-
emitting devices. The success of applying simple concepts to convince the
solid state device community was not based on luck but involved some
sound considerations. These included the application of Pippard’s simple
formula to calculate the current in a periodic band without the usual
shifted distribution function in standard textbooks used in the derivation of
Ohm’s law4 as well as some considerations not publicly known. Among
these publicly unknown considerations is how Frank Herman delivered the
band-edge alignment of GaAs/AlAs heterostructure—the best rules for
band-edge alignment have yet to be developed. Our view is that there is no
single recipe for the determination of band-edge alignment, but that certain
situations, particularly those having different symmetry, require special
considerations. We shall also go into some detail as to why resonant
tunneling was introduced.
Periodicity in real space leads to periodic Brillouin zones, which in turn
lead to Bragg refraction, causing an electron to be reflected. Without
scattering, oscillations result with zero average current in any given cycle.
With scattering, negative differential conductance (NDC) follows and is
the origin of gain. Therefore, low scattering gives rise to high coherence—
high NDC—the essential feature of amplifiers. Therefore, it is essential to
have the overall thickness of the layers below the electron scattering length
to acquire sufficiently long coherence, estimated by Esaki and Tsu to be
three times the mean free path. From the theoretical side, for scattering
representable by a finite mean free time, the transport equation in the most
general form may be exactly represented by Chamber’s path integral.4 This
6 Chapter 1

allows us to dispense with the need of Monte Carlo computations. Besides,


the Chamber’s path integral was used to develop the highly nonlinear
frequency response of a superlattice (SL).5
The physical realization of SLs in terms of NDC was finally achieved
with GaAs, with Ga1 xAlxAs as barriers in forming a periodic system.
There were a couple of very interesting facts in the development of the first
operating SL. How did we assign the band-edge alignment of the
heterojunction? Esaki asked Frank Herman, Director of the Large Scale
Computation Department of IBM, San Jose, who gave the world the first band
structure of Si to compute the band-edge alignment, whether the electron
affinity or the work function may be used to determine the alignment. He said
the answer is no. He added that he did it by aligning the 1s electron state
of the As atom between GaAs and AlAs, resulting in a rule of thumb: 80%
of the bandgap difference goes to the conduction band and 20% to the
valence band. Esaki was overjoyed at this point, but was quickly cooled
down by Frank’s statement that the accuracy is probably no more than
10%, which may negate our applicability. Esaki reminded Frank that he
should reveal this sort of accuracy estimate after we have our paper
published! In fact, this is one of the most difficult theoretical treatments
even today,6 particularly in dealing with type II with the so-called broken-
gap alignment.
Another very important issue involved the fact that IBM did not have
nearly as good results as Bell Labs (BTL). The IBM team was reminded by
a comment made by Al Cho, who jokingly claimed that Esaki’s cigar
smoking kept them from getting better materials. In reality, it was because
of the need to operate the GaAs injection laser at room temperatures (RTs)
that BTL was led to a developmental program on the double-heterojunc-
tion (DH) laser with two GaAlAs barriers to confine the excited species as
well as operate as light reflectors. They established a simple rule of not
exceeding 30% Al for minimizing strain-induced defects. Once the IBM
team finally learned, the difference was night and day! Another issue had
developed when Esaki asked Tsu to take a survey after he presented our
NDC result at IBM. Tsu told Esaki that more than 90% of those in the
IBM auditorium voted yes! He went on to claim a mandate to continue the
program. Ian Gunn got up and stated that he voted no, because NDC is
due to domain oscillations of GaAlAs alloy as in the Gunn diode. Tsu
followed to his office offering an idea of building a single GaAs well with
double barriers of GaAlAs on each side. Gunn reassured him that he
would be the first to support the idea. This idea leads to resonant
tunneling! However, Esaki did not want L. Chang and Tsu to get involved
in such a venture seemingly destined to fail because of pin holes! It was not
until he knew that the Nobel Prize in physics was decided for him and Ivar
Giaever, together with Brian Josephson, that he agreed to try the resonant
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 7

tunneling route.7 Resonant tunneling has developed into something


possibly more important than the SL (see for example, reasons given by
Tsu in Ref. 8). Modern electronics are driven by a need for speed. Speed
primarily dictates all operational features. We have basically returned to
3D structures, for example, when the source-to-drain separation is less than 10
nm with the cross-sectional area for the electron path of about 100 nm2.
We are moving away from 2D monolithic designs.

1.2.1 Response of a time-dependent electric field and Bloch


oscillation
The Bloch frequency is defined by vB  eFd/ħ, in which F is the applied
electric field, and d is the period of the SL. The condition for NDC becomes
vBt  1. Therefore, the physics of NDC in a manmade SL is intimately
related to the subject of the well-known Wannier–Stark ladder. Since these
earlier treatments, many arguments have appeared against the existence of the
Stark ladder with a finite number of periods. Using a vector potential to
preserve symmetry, Krieger and Iafrate9 were able to remove the objection.
The issue is similar to the fact that the states of a hydrogen atom in a constant
field are not discrete. Strictly speaking, stationary states do not exist, yet there
is no confusion in treating the problem in terms of transitions between
stationary states. By side stepping the issue of stationary states, they showed
that optical transitions involving a selection rule are consistent with the notion
of the Stark ladder.
Lebwohl5 showed that the exact transport solution using Green’s function
is the same as Chamber’s path integral method for the distribution function f,
with a force F, velocity v, and constant collision time t:
Z t0 Z t0
1
f ¼ f0 ðE DEÞ exp½ ðt0 tÞ=t dt0 , where DE ¼ F  v dt00 : ð1:1Þ
t
1 t

Starting with the distribution function for constant relaxation time t given by
Eq. (1.1) and with
1 @E
vx ¼ ,
ħ @kx
then
Z Z Zt0  
1 1 1 @Eðt0 Þ @EðtÞ dt
hvx i ¼ 3 f vx dk ¼ 3 f0 dk  exp½ðt  t0 Þ=t :
4p 4p ħ @kx @kx t
k 1
ð1:2Þ
8 Chapter 1

Taking a general field,9


X dkx
F ¼ F0 þ Fn exp ivn t
, vn ¼ nv1 , and ħ ¼ eF ,
n
dt
eF0 X 2eFn
kx ðtÞ ¼ kx ðt0 Þ þ ðt t0 Þ þ ðsin nv1 t sin nv1 t0 Þ, ð1:3Þ
ħ n>0
ħnv1
eF0 2eF1
kx ðtÞ ¼ kx ðt0 Þ þ ðt t0 Þ þ ðsin v1 t sin v1 t0 Þ ðn ¼ 1Þ ð1:4Þ
ħ ħv1
For the tightly binding E versus k, Ex ¼ E0 E1 cos(2pkx=K), and Ex(kx) ¼
Exð kx ), putting Eq. (1.3) in Eq. (1.2) with part integration, the expectation
value of velocity becomes
Zt
hvx i ¼ nH v0 sin½gðt, t0 Þdexp½ðt t0 Þ=tdt,0 ð1:5Þ
1
R !
where v0 ¼ E1d/ħ, nH ¼ 4p1 3 cosðkx dÞf0 d k , and g (t,t0 ) is defined by the sum
of the second and third terms on the right side of Eq. (1.4), or with
eF0 d 2eF1 d
gd ¼ ðt t0 Þ þ ðsin v1 t sin v1 t0 Þ: ð1:6Þ
ħ ħv1
The integration of Eq. (1.5) is readily performed using the expansion
X
þ1
expðiz sin uÞ ¼ expðinuÞJn ðzÞ,
n 1

where Jn are Bessel functions. Then, the expectation value of velocity becomes
X
1    
vB1 vB1 sinðm  nÞv1 t þ ðvB þ nv1 Þt cosðm  nÞv1 t
hvx i ¼ v0 H Jm Jn ,
m, n¼ 1
v1 v1 ðvB þ nv1 Þ2 t2 þ 1
ð1:7Þ

with vB  eFd/ħ, and vB1  eF1d/ħ. For H ¼ 1, hvx i in Eq. (1.7) was first
obtained by Tsu in 1990.10
For vB1=v1 ,, 1 (small F1),
hvx i ¼ hvx i0 þ Rehvx i1 cos v1 t þ Imhvx i1 sin v1 t, ð1:8Þ
where
vB t
hvx i ¼ v0 H , ð1:9Þ
ðvB tÞ2 þ 1
which is identical, as it should be, to the previous results. For the case of
H ¼ 1, then v0H ¼ E1d/ħ, and the maximum extent hxi m ¼ hvx i mt ¼ E1=2eF0.
Since length is measured by nd, with n as the number of periods, the maximum
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 9

number of periods covered is given by n ¼ E1 =2eF0 d. For vBt >> 1, hvx i ! 0,


hxi ¼ E1=eF0, and hxi ¼ 2hxi m. The electrons now oscillate with a period T ¼
2p/vB, which was known to Bloch in 1928, hence, the name Bloch oscillation. The
in-phase component with time goes as cos(vt), which we abbreviate by writing
Re hvx i, and the out-of-phase component with time goes as sin(vt), denoted by
Im hvx i. Thus, we sum all terms in Eq. (1.7) for n m ¼ 1, for linear response.
Then,
   
Rehvx i1 2v Imhvx i1 2v
Rehvi  , Imhvi  : ð1:10Þ
v0 cos vt vB1 v0 sin vt vB1
Rehvi and Imhvi for various vBt are plotted in Fig. 1.1. For simplicity, we
take only the case of small electric field, i.e., vB1 ,, vB.
In Fig. 1.1, for vBt ¼ 1, Re hvi is always positive, indicating a lack of gain or
self-oscillation. The Imhvi has a maximum at v ¼ vB. For vBt ¼ 2, Rehvi has a
minimum at v ¼ vB=2 and is negative, but Imhvi has a peak at v ¼ vB. With a
further increase to vBt ¼ 3, Rehvi has a maximum negative value at v ¼ 2vB=3,
and the Imhvi has a peak at v ¼ vB. Thus, the peak in the imaginary part always
appears at v ¼ vB, supposedly substantiating the intuitive understanding that the
system is oscillating at the Bloch frequency. Rehvi always has a maximum
negative value below vB. This indicates that self-oscillations occurring at the

Figure 1.1 The in-phase Rehvi1 and out-of-phase Imhvi1 components of the linear
response function of a SL with an applied electric field F ¼ F0 þ 2F1 cos vt, vB  eF0 d=ħ,
and vB1  eF1 d=ħ (reprinted from Ref. 10).
10 Chapter 1

maximum gain are never at the Bloch frequency. Only as vBt ! 1 does the
maximum gain coincide with the Bloch frequency. For both vBt >> 1 and vt >> 1,
it is seen that Rehvi3 can have a substantial negative region, indicating that in the
region of nonlinear optics, an intense optical field is needed for gain.
Experimentally, one needs to arrange the polarization with a component of the
electric field in the SL direction. For t ¼ 0.5 ps and vBt ¼ 3, eF0d  4 meV,
corresponding to F0  4  103 V cm 1 for d ¼ 10 nm. Therefore, the condition
for self-oscillation at v ¼ 2vB/3, or v  4  1012 Hz, should be quite accessible.
In fact, not only can a Bloch electron go into oscillation, it can also serve as an
amplifier. Similar to the traveling wave amplifier, Bloch oscillators have larger
bandwidth and higher power-handling capacity.
Also, higher-energy photons cause transitions between minibands, providing
additional nonlinear response. This is because k is conserved to within multiples
of the reciprocal lattice vector, as in umklapprozesse. In the usual solids, optical
nonlinearity arises from small nonparabolicity of the E–k relation as treated by
Jha and Bloembergen,11 as well as from optical phonons in a multilayer
dielectric medium treated by Bloembergen and Sievers.12 However, in
manmade SLs, nonparabolicity is enormous, leading to substantial second
and third harmonics, shown in Fig. 1.2, from Tsu and Esaki,13 where the
nonlinear effect is 20 greater than that calculated by Wolf and Pearson.14

Figure 1.2 Calculated |Jn| / J0 versus v for two values of t with J ¼ en0 hvx i, where n0 is the
usual sum over the density of states (DOS) (reprinted from Ref. 13).
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 11

1.3 Resonant Tunneling in Manmade Quantum Wells


Let us focus on a single quantum well of GaAs with two GaAlAs barriers on
each side, adopted to avoid any possibility of domain formation, as well as
with multiple wells in series. Before the theoretical calculation is presented, let
us touch on some general issues.
The energy E is the sum of longitudinal and transverse energies:
ħ2 kt2
E ¼ E‘ þ Et , with Et ¼ , ð1:11Þ
2m
0
and |T |2 ¼ ðk‘ =k‘ Þ D(E‘ ), the net current density from the left at E to the right
at E 0 is
Z1 Z1
e @E
J¼ 3 dk‘ dkt ½ f ðEÞ f ðE 0 Þ T Tðk0‘ =k‘ Þ : ð1:12Þ
2p ħ @k‘
0 0

Note that there is a term ðk0‘ =k‘ Þ in Eq. (1.12) that is left out in the
original Tsu–Esaki. 15 With separation of variables due to the
planar geometry, the transverse momentum k t is conserved so that T *T
depends only on the longitudinal direction. After integration over the
transverse d k t,
Z1  
em kT 1 þ exp½ðEf E‘ Þ=kb T
J¼  dE‘ T T ln : ð1:13Þ
2p2 ħ3 1 þ exp½ðEf E‘ eV Þ=kb T
0

For kB T ! 0,
 ZEf
em
J¼ ðEf E‘ ÞT TdE‘ , when eV  Ef : ð1:14Þ
2p2 ħ3
0

2 3
  EZf eV ZEf
em 6 7
J¼ 4 ðeV ÞT TdE‘ þ ðEf E‘ ÞT TdE‘ 5, when eV
Ef :
2p2 ħ3
0 Ef eV
ð1:15Þ
Let us discuss an important issue. Although T T reaches unity at V ¼ 0,
*

T*T may be very much reduced at V 6¼ 0, due to the loss of symmetry, a point
not highly appreciated at first. To achieve large NDC, one needs to design the
structure such that it is nearly symmetrical at the operating point V. We shall
go into detail on this point as well as some other important points later. But
first we offer a physical picture of why NDC appears. Figure 1.3(a) shows an
electron at energy E, incident from the left and transmitted to the right for: (a)
12 Chapter 1

Figure 1.3 (a) Electron transmission at an energy E incident from the left and transmitted
to the right through a single barrier. (b) The same barrier with a ‘cut,’ representing a QW
between two barriers, or double-barrier resonant tunneling (DBRT). (c) A resonant
transmission peak at energy E1 appears and is superposed onto the original barrier without
the ‘cut.’

through a single barrier of height Vb, and (b) a quantum well (QW) with a
state denoted E1, an “eigenstate” (resonant state to be precise) created by the
QW as in typical elementary quantum mechanics, and (c) transmission |T |2.
For a symmetrical structure, constructive interference gives rise to resonance
with T*T ¼ 1, centered about E1, similar to the optical Fabry–Pérot
interferometer.
Consider the structure in Fig. 1.3 but with an applied voltage between
the left and right contacts. A current peak appears at V  2E1. The
approximation sign is due to a loss of symmetry with an applied voltage. If
symmetry can be preserved, the resonant tunneling peak appears exactly at
V ¼ 2E1. The width of the current peak is about the width of the Fermi level EF.
As the voltage is such that the state E1 moves into the forbidden gap, the
supply of electrons from the left contact vanishes, resulting in a rapid decrease
in the transmitted current. Thus, even without the use of a formula for the
resonant tunneling current, the mechanism for NDC is easily understood.
0
Before we deal with the ðk‘ =k‘ Þ term left out from Eq. (1.12) in the
original Tsu–Esaki formula, we shall deal with some fairly elementary, but
important, principles. For example, most quantum mechanics texts do
not emphasize that 1 |R|2 6¼ |T|2. Let an electron incident on a barrier with
a potential V ¼ Vu(x), with the Heaviside function u(x), so that the wavefunction
for x , 0 is
c L ¼ eik x þ R e ik x
, ð1:16Þ
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 13

and for x > 0 is


0
cR ¼ TLR eik x : ð1:17Þ
After imposing the continuity of c, and continuity of the current
ħ=2imðc rc rc cÞ at the boundary at x ¼ 0, there results R ¼ (k – k0)=
(kþ k0), and TLR ¼ 2k/(k þ k0), so that kð1 jRj2 Þ ¼ jTLR j2 k0 , a statement of
current continuity. Similarly, with an electron incident from the left, using R0
and TRL , we obtain R0 ¼ R, and TRL ¼ 2k0 =ðk þ k 0 Þ, so that jR0 j2 ¼ jRj2 ,
but jTRL j2 ¼ jTLR j2 ðk0 =kÞ2 .
The reflection coefficient is the same if moving to the right or to the left,
but the transmission coefficients are not the same. We may now calculate the
net current going from the left to the right for our double-barrier quantum-
well tunneling structure:
Z  0
e 2 @«‘ k ‘
jLR ¼ 3 dk‘ jTLR j f ð«Þ½1 f ð«0 Þdkt , with «0 ¼ « þ eV : ð1:18Þ
4p ħ @k‘ k‘
Using dk‘ ¼ ðm =ħ2 Þðd«‘ =k‘ Þ ,
Z1  1=2 Z1
em 2 «‘ þ eV
jLR ¼ 2 3 jTLR j d«‘ d«t ½ f ð«Þ f ð«Þf ð« þ eV Þ, ð1:19Þ
2p ħ «‘
0 0

Z1   Z1
em 2 «‘ þ eV 1=2
jRL ¼ 2 3 jTLR j d«‘ d«t ½ f ð« þ eV Þ f ð«Þf ð« þ eV Þ,
2p ħ «‘
0 0
ð1:20Þ
and the net current from the left contact to the right contact becomes
Z1  1=2 Z1
em 2 «‘ þ eV
j ¼ jLR jRL ¼ jTLR j d«‘ d«t ½ f ð«Þ f ð« þ eV Þ:
2p2 ħ3 «‘
0 0
ð1:21Þ
The original Tsu–Esaki expression13 left out the (k 0‘ =k‘ ) ¼ ½ð«‘ þ eV Þ=«‘ 1=2
term, referred to as the ‘kinematic term’ by Coon and Liu.16 Theories dealing
with resonant tunneling appeared before and even after Coon and Liu
used the Tsu–Esaki expression instead of having the kinematic factor.
p
The magnitude of this factor is  3 because near resonance, eV  2E‘ .
Although this term generally does not affect the overall physical picture, let us
look at what happens to the transmitted electron at an energy eV above the
Fermi energy at the right contact. An electron jk 0‘ > resonantly appearing as a
hot electron at the right contact at an energy «‘ þ eV, readily scatters to the
equilibrium state jk‘ > at energy «‘ inside the right contact within perhaps a
14 Chapter 1

few angstroms. Early on, Tsu struggled with this difficulty and tried to create
a transitional layer inside the contact without much positive result. The
visualization was to create a transitional region in the contact somewhat
similar to what has been discussed by Datta.17 But any attempt to create two
planes, 1 and 2, inside the contacts presents a formidable task with dubious
consequences. From the intuitive pictures, we know that a large current in
solids almost certainly originates from a large carrier density such as in
metals, or via multiplication mechanisms.
Thus, we may view the process in the following sequence of events: (1)
Electrons gain energy under an applied voltage and exit into a contact defined
by the definition of a contact being an equal potential. (2) The extra energy is
readily lost via scattering toward equilibrium with a final product: creation of
heat. (3) Such a process may be described using Fermi’s golden rule in a rate
equation rather than a transport equation, allowing the establishment of
equilibrium. Sometimes it is necessary to define a hot electron temperature if
elastic scattering dominates inelastic events. Within the crude assumption that
the Fermi levels between the two contacts are similar, electrons enter
the collector at E þ eV, and after relaxation, return to the same Fermi
distribution of the contact. Inclusion of a self-consistent potential such as in
methods by Cahay et al.18 and Bandara and Coon,19 is in the right direction
but insufficient without taking scattering inside the contact into account.
Nevertheless, the net result may very well be the creation of a term that
cancels the kinematic term.
There is another nontechnical issue. The main reason for going to the
DBRT was to model NDC without the domain oscillations pointed out by
Gunn. Note that the very title of the paper, “Tunneling in a finite
superlattice,”15 indicated the frame of mind: producing the basis of extending
the tunneling results as a model for transport in a SL showing NDC, where
clearly equilibrium distribution should dominate every few tunneling events,
or at least within a length limited by the mean free path. At first there was the
book by C. B. Duke,20 which treated everything but the needed feature,
allowing resonance to occur as in a Fabry–Pérot optical filter. The double-
barrier structure is simply an electron filter that allows an electron
into the state approximated by k‘ ¼ np/w. Without an elaborate scattering
formulation, it is clear that transmitted electrons simply lose the extra energy
while cascading down. Note that by taking the velocity term as ħk‘ =m instead
of ħk‘0 =m accomplishes just that. By doing so, a complicated scattering
formulation inside the right contact is circumvented. Whatever one does to
take scattering in the contact into account, the end result will involve giving
back the extra energy. From this perspective, the Tsu–Esaki formulism
represents a crude approximation. However, the events showed that the
original work of Tsu–Esaki has led to an enormous amount of improvement,
including many-body and hot electron effects. We need to recognize that the
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 15

left-out term may be important in some cases, preserving fundamental


consistency within the simple assumptions. Nevertheless, it is disappointing
that the extra correction, including electron accumulation at the left and
depletion at the right, made by Bandara and Coon,19 significantly increases
the tunneling current, contrary to intuition, and decreases as obtained by
Cahay, et al.18 in a full self-consistent calculation. Most people involved in
experimental work may prefer to see a correction factor that decreases the
peak-to-valley ratio. Certainly the theory of resonant tunneling is still being
developed after 30 some years. Duke’s20 treatment is correct but lacks a
specific means to deal with coherent resonances. Vassell, et al.21 were the first
to point out the absence of the ‘kinematic’ term in the Tsu–Esaki version;
however, their presentation was quite confusing because they used k0 for the
incident electron from the left and k for the transmitted electron to the right,
and yet, in their formula Eq. (2.23), the velocity operator is v(k) instead of
v(k0). In any case, the treatment of Coon and Liu16 is at least clear and gives
consistent results as far as it went. Nonetheless, they introduced an
orthogonality process that was somewhat incorrect, as noted by Noteborn.22
Where do we stand then? The self-consistent calculation by Cahay, et al.18 is
most appealing because their results fit simple logic—tunneling current goes
down rather than up as in Bandara and Coon, 1989.19
It is important to note that the sequential tunneling (ST) model first
conceptualized by Luryi23 represents a subset of the coherent tunneling (CT)
model presented because, with unavoidable elastic as well as inelastic scattering
in the QW, relaxation must be present in the well. It should not be surprising
that the ST model developed by Payne24 and Weil and Vinter25 also shows
NDC. As long as tunneling is into or out of a discrete state in the QW, outside
of the energy of this discrete state, tunneling cannot occur. As long as the mean
free path is greater than the well width, scattering can only broaden the
linewidth of this state, usually denoted by , because there is no state available
outside this discrete state into which an electron may scatter. The use of a non-
Hermitian Hamiltonian can naturally express this line broadening. Nonetheless,
such an approach is embraced by very few because quantum mechanics for
most people is synonymous with Hermitian operators.

1.3.1 Time-dependent resonant tunneling


In the 1970s, W. Stevens26 spent some time at IBM Research with Tsu
discussing the need of a time-dependent treatment of resonant tunneling. The
computation of time-dependent tunneling by Subrata Sen,27 working under
Tsu (1987–1989) with an M.S. thesis on tunneling using the Laplace transform
has been summarized by Tsu.28 The computation is very complex; however,
some of the typical essential results are shown in Fig. 1.4. Note that at
resonance, the rise smoothly reaches an overshoot. However, farther away
from resonance, rapid oscillations (in some way) may be misinterpreted as
16 Chapter 1

Figure 1.4 Transmitted current J versus t (fs) for the case of GaAs well width w ¼ 6 nm,
and GaAlAs barrier b ¼ 2 nm. The energy of resonance is E4 ¼ 0.0682 eV, E3 ¼ 0.079 eV,
E2 ¼ 0.05 eV, and E1 ¼ 0.03 eV [reprinted from Ref. 26, taken from Sen’s unpublished thesis
(Ref. 27)].

instability in experiments! It is interesting that after the excitation is cut off,


decay is not monotonic; rather, rapid oscillatory decay appears as shown in
the figure. When excitation is cut off before reaching a maximum, the
transmitted current is evidently proportionally reduced. The maximum buildup
time is about 200 fs, which explains the fast device speed greater than terahertz.
An estimate of a signal delay time may be obtained with the uncertainty
relation DEDt ħ , where DE is the linewidth of the transmission peak of the
QW structure. Another estimate, generally more accurate, involves t ¼ Qt0 ,
with t0 being the transit time given by t0 ¼ d=ðħk=m Þ, and Q ¼ DE=E.
A rigorous expression for the delay time of a signal propagating through a
linear network is t ¼ df=dv ¼ ðdf=dkÞðdk=dvÞ, with f ¼ kd þ u, where f
is the total phase shift, and u is the phase of the transmission amplitude
through the DBRT structure. The delay time t for a structure with barrier
width and well width ¼ 2.5 nm and 6 nm, respectively, is shown in Fig. 1.5.
Note that the approximate values shown as circles are close to t. At
resonance, t is very long.
The computed value of the buildup time at resonance from Fig. 1.4, or
using the estimate in Fig. 1.5, is 200 fs, which is considerably shorter than
the computed values of several picoseconds obtained by, for example, Gong,
et al.31 and citations therein. These studies used a time-dependent Schrödinger
equation but assumed variables separable in both spatial and time coordinates
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 17

Figure 1.5 Delay time t ¼ df=dk, for the first two resonant peaks. Solid line: t0 ¼ dm*/ħk.
Dashed line and circle: t0Q (reprinted from Ref. 30).

(without the procedures established by Stevens26 or Sen27) and used a Green’s


function to include the initial value in space. This discrepancy of almost a
factor of 30 dictates further study in detail. Our initial assessment points to the
fact that all variables including space and time being assumed as separable
may be incorrect.

1.3.2 Quantum cascade laser with superlattice components


The quantum cascade laser (QCL) was first successfully designed at BTL
under F. Capasso (Faist et al.32). The idea was patented even before BTL
was successful. However, the patented version would not work because
when many periods are in series, any fluctuation can start domain
oscillation, as pointed out by Gunn years ago. Therefore, we shall single
out the QCL as an example of how the problem can be checked by
introducing components that are each controlled separately, with the three
major components being the injector, the optical transition between the
upper state to the lower state, and the collector. This is the basis of the SL,
or more precisely, resonant tunneling devices (RTDs), which are divided
into components that, together, function as a device. Almost all devices
such as MOSFETs, flash memory, detectors, and even resistive switches,
involve components. In fact, the first optically pumped QW laser using very
thin GaAs/AlGaAs QWs constitutes a step in the direction of utilizing QWs
as components in forming a quantum device.33 Its components represent SL
structures or resonant tunneling sections. In fact, the QCL may be referred
to as a heterojunction injection laser (HIL).

1.3.3 Type-II superlattice


The type-II SL was introduced because Esaki and Tsu thought that NDC
may be accomplished at a reduced electric field with heterojunctions using
InAs and GaSb forming an overlapping conduction-band–valence-band
18 Chapter 1

interface.34 Due to overlapping conduction and valence bands across an


interface with sufficiently long mean free path, the crossover point in energy
momentum would split into new conduction and valence bands, similar to the
formation of molecules by interacting atoms. Stronger interactions due to
thinner individual layers result in bandgap widening; thus, tunable bandgaps
are obtained by designing proper type-II SL layer thicknesses. Although this
approach was not successful in realizing NDC at a lower field, it resulted in
many useful applications in IR detectors.35,36

1.3.4 Terahertz sound in Stark ladder superlattices


Application of an electric field to a weakly coupled semiconductor SL gives
rise to an increase in the coherent folded phonon generated by a femtosecond
optical pulse.37 The condition occurs whenever the Stark energy eFd is greater
than the energy of the phonon—in this case, the folded phonon. Why did it
take 35 years after the first paper by Tsu and Döhler38 to realize a phonon
laser using SLs? The complexity involved is sufficient in deterring most
researchers. This work represents a jump in the sophistication of the SL
structure.

1.3.5 Cold cathode


GaN is known to have a low work function. Having a resonant tunneling
diode consisting of a GaN (4-nm) QW with an Al0.5Ga0.5N (2-nm) barrier and
vacuum serving as the other barrier, electron emission begins at a field an
order of magnitude below common field emissions. As shown in Fig. 1.6,
under application of a high electric field, the quantum levels E1 and E2 are
aligned with the Fermi level of electrons from the n-doped GaN on the left,

Figure 1.6 Nanostructured layer of the cold cathode (reprinted from Ref. 39).
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 19

Figure 1.7 Solid state field-emission cathodes with TiO2 on Pt with a field of 50–140 V/mm
for increased tunneling (reprinted Ref. 40).

resulting in a large emission of electrons via resonant tunneling to the right


and reaching the collector. We observed emission at an applied field below
106 V/cm.39,40

1.4 Size-Dependent Dielectric Constant ´(a)


The response of a medium to an applied potential f0 with an induced potential
fi is such that the total self-consistent potential can be formulated with the use
of the quantum mechanical analog of the classical Liouville equation,41
@r
iħ ¼ ½H, r, ð1:22Þ
@t
and the self-consistent potential is represented by the integral equation,
Z Z
d 3 r0
fðrÞ ¼ f0 ðrÞ þ e2 d 3 r00  ðr0 , r00 Þ f ð r00 Þ: ð1:23Þ
jr r0 j
Not only is it necessary to contend with the integral of Eq. (1.23), but the
problem is drastically simplified and universally defined for unbounded,
spatially uniform systems with
ðr0 , r00 Þ ¼ ðr0 , r00 Þ: ð1:24Þ
For a finite structure, ðr0 , r00 Þ 6¼ ðr0 , r00 Þ, and there is no simple basis set for
which the integral equation can be solved for a general f0 ðrÞ. Thus, in principle,
a universal scalar dielectric function cannot be defined, although a response
function does exist once a special set of input/output arrangements has been
specified.
20 Chapter 1

Figure 1.8 Size-dependent static dielectric constant «(a) for Si. Solid line: modified Penn
model with «B ¼ 12; dash–dot line: modified Penn model with «B ¼ 11.3; line with crosses:
converted from Walter–Cohen42 with q ¼ p/a; long-dash line: from Lannoo et al.43; short-
dash line: from Wang and Zunger44 (adapted from Ref. 41).

Using a modified Penn model, Fig. 1.8 shows the reduction of the size-
dependent dielectric constant of a silicon nanosphere. Basically, the reduction
of dielectric constant in the nanoscale regime is caused by quantum
confinement restricting the movement of electrons. However, strictly, the
dielectric function cannot be rigorously defined in quantum dots.
In essence, there is no basis set to define «(a), except in cases in which the
medium is either uniform, or at least periodic, unless some sort of average
may be defined. The best we can do is to either apply the RPA or some sort of
averaging procedure. In fact, this problem arises in all constitutive equations,
particularly so for physics in the nanoregime, which shall be treated in a
special way at the end of this chapter.

1.5 Role of Symmetry in Capacitance of Few-Electron


Quantum Dots
Capacitance is a measure of the ability to store electrons. For a given metallic
electrode shape and capacitor dimensions, the capacitance is conventionally
considered constant. However, in general, equipotential surfaces within
dielectric systems in the absence of metallic electrodes depend on the spatial
distribution of electrons. Capacitance may then be expressed in terms of the
total interaction energy due to the confinement of electrons within a capacitor.
To properly reflect the discrete nature of excess electron charges in
dielectrics, a fully partitioned electrostatics interactions framework, the
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 21

discrete charge dielectric (DCD) model, was developed.45,46 The DCD model
is fundamentally rooted in a Green’s function approach to solutions of the
classical Poisson equation. Electrostatic distributions of N electrons are
obtained by global minimization of the total Coulomb repulsion and
polarization interaction energy. The total potential energy stored within a
given N-electron quantum dot may be expressed in terms of the summation of
three unique types of electrostatic interactions:
" #
N X N X
1X N X N
1X N
UðNÞ ¼ UC ðqi , qj Þ þ Udir ðqi , si Þ þ Uind ðqi , qj Þ : ð1:25Þ
2 i 1 j6 i i 1
2 i 1 j6 i

The first term in Eq. (1.25) is a summation over all Coulomb repulsion
interaction energies UC among all N electrons. The bracketed terms are
summations over two types of polarization interaction energies: direct
polarization energies Udir, involving each electron qi and its associated
polarized surface charge element si, and indirect polarization energies Uind,
involving interactions between electrons qj and surface charge elements
formed by other electrons. Of interest here is the treatment of free electrons in
spherical quantum dots. The fundamental physics involves changes of spatial
charge symmetry resulting from the introduction of individual electrons. For
example, the global minimum energy of a spherical quantum dot containing a
single electron is obtained when the electron resides at the origin of the sphere
(Fig. 1.9). As the second electron is introduced, the first electron is displaced,
and each electron takes up location on either side of the origin to minimize the
global electrostatic energy [Eq. (1.25)]. When the dielectric constant inside is
greater than outside, the polarized dielectric consists of a net-polarized surface
charge that repels electrons to the interior—the fundamental cause of
dielectric confinement. As N increases, the distance of each electron from the
origin increases due to Coulomb repulsion, as shown schematically in
Fig. 1.9. Indeed, to a good approximation, a global minimum energy is
obtained for each N-electron system when all electrons are at the same
distance b from the origin. As the symmetric phase of the system changes with
the addition of each new electron, parameters may be characterized as
interphasic. Parameters characteristic of a particular N-electron phase may
then be characterized as monophasic. Figure 1.9 shows monophasic solutions
for up to N ¼ 12 electrons in a spherical quantum dot of dielectric constant
« ¼ 20«0.
From within the framework of the DCD model, the monophasic
capacitance45 48 emerged as a rigorously derived general expression of classical
electrostatic capacitance. The expression C(N) ¼ (Ne)2/U(N) is dependent on
the average electrostatic potential experienced by each electron in the quantum
dot in order to circumvent a thorough evaluation of complex 3D equipotential
surfaces from which capacitance must be defined due to the unique spatial
22 Chapter 1

Figure 1.9 Minimized potential energy configurations of N electrons in a dielectric sphere,


where « ¼ 20«0, and of radius a ¼ 100 nm in free space using the DCD model.45 A common
radius b is found to minimize each N-electron energy. Note that b increases with electron
number N.

configuration of each N-electron phase. The classical monophasic capacitance


C(N) agrees with the so-called “quantum” capacitance, which itself is
dependent on an average chemical potential.49 Both expressions are based on
the summation of differential capacitances due to the introduction of individual
electrons.
The monophasic capacitance of quantum dots also agrees with the
conventional classical capacitance in the many-electron limit. It is important
to identify the conventional expression of capacitance, C(Q) ¼ Q2 /2U, as that
based on the physically unrealistic presumption of a smallest charge
increment, dq ¼ 0, suitable only for many-electron systems (including those
with metallic electrodes). However, the DCD model maintains the discrete
nature of the elementary charge e and is therefore sensitive to unique spatial
symmetry configurations of electrons within nanoscale materials whose
electrical behavior is governed by only a few electrons. On the other hand, the
conventional electrostatics approach50,51 involves construction of a continuous
free charge density r in which discrete charges are wholly ignored. In few-
electron capacitors (e.g., quantum dots), equipotential surfaces that define
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 23

capacitance are governed by the locations of electrons that cannot be treated


with the conventional framework involving r.
For a single-electron quantum dot, the DCD model yields twice the stored
energy given by the conventional model. In particular, U(1) ¼ 2U, as only the
direct polarization term in Eq. (1.25) applies, and one-half of this term is the
formation energy45,47,48 associated with work done to polarize the isolated
dielectric—that is, to form the net-polarized surface charge element si.
Conventionally, this energy term is set to zero but only when the dielectric is
placed within a fixed external electric field50 as may be supplied by metallic
electrodes. However, in an isolated quantum dot, mathematically equivalent
image charges qi0 that are externally located may be treated as sources of an
external electric field. This field cannot be fixed, and energy associated with
the displacement of all qi0 due to their interactions with the dielectric quantum
dot must be included as stored energy as it is associated with the real electron
charges qi. Consequently, the monophasic capacitance is consistent with the
conventional expression for a single-electron quantum dot; that is, C(N ¼ 1) ¼
C(Q ¼ 1e) because U(1) ¼ 2U.

1.5.1 A classical correspondence between quantum dots


and atomic structure
Spherical quantum dots are known to exhibit behavior consistent with
electron shell-filling in natural atomic structure when quantum mechanically
treated.52 54 Abrupt jumps and dips in the capacitance of quantum dots
appear in accordance with the closing and opening of electron shells. These
electrical features may be usefully exploited by application of external
electrode configurations, producing unique electrical and magnetic fields to
induce particular electronic states within quantum dots. Thus, quantum dots
are also called “designer,” or “artificial,” atoms. Bednarek et al.52 attempted a
classical electrostatic evaluation of the capacitance of spherical quantum dots
only to report no electron shell-filling behavior (abrupt capacitance dips and
jumps). During his doctoral studies, 46 LaFave recognized that electrostatic
charge configurations within a uniform dielectric sphere are akin to solutions
of the mathematical Thomson problem.
The Thomson problem, the general solution of which remains unknown,55
is the determination of optimal distributions of equal point charges on a
fictitious unit sphere. Having devised the DCD model and noting a distinction
from its conventional textbook counterpart, LaFave was concerned that the
approach taken by Bednarek et al. was based on the conventional capacitance
expression (for which dq ¼ 0!). Moreover, he noted remarks by J. R.
Edmundson regarding solutions of the Thomson problem based on geometric
and symmetry-based approaches. For N ¼ 11 and 13 point charges, their
minimum energy configurations exhibit “low C2v [point group] symmetry”
that exhibits four symmetry operations including two vertical reflection planes
24 Chapter 1

(xz) and (yz),56 while the solution for N ¼ 12, sandwiched between them, is
one of only five highly symmetric geometries of the Platonic solids. If there is a
classical electrostatic correspondence with the atomic structure, it would be
due to abrupt differences in the spatial symmetry of neighboring solutions in
the Thomson problem. Energy solutions of the Thomson problem are well fit
by the smooth function57,58
N2
UðNÞ ¼ aN 3=2 : ð1:26Þ
2
The solutions tend to vary slightly from this function. Indeed, there are no
noticeable variations in the vicinity of 11
N
13 to reflect the disparate
changes in spatial symmetry noted by Edmundson. The problem is that the
energies U(N) depend on changes in both N and symmetry. In February 2006,
LaFave devised a procedure to evaluate the energy associated strictly with
changes in charge symmetry, that is, interphasic energy DUþ(N), by moving a
single electron from any given N-electron configuration [Fig. 1.10(a)] to the
origin. The remaining (N 1) electrons relax to form a corresponding N 1
configuration about q0 [Fig. 1.10(b)], a transformation that leaves the net charge
Q ¼ Ne unchanged, while changing only the spatial symmetry of the N electron.
Moreover, it is noted that as q0 moves to the origin of a dielectric sphere,
its mathematically equivalent image charge q00 moves away from the sphere—a
transformation similar to the ionization of a single electron from an atom.

Figure 1.10 Discrete symmetry changes between neighboring (a) N-electron systems
(N ¼ 5 shown as example) are obtained by displacing (b) one electron q0 to the origin,
resulting in an (N 1)-electron solution of the Thomson problem. The potential energy
distribution associated with this transition exhibits (c) disparities that correspond remarkably
well58 with shell-filling features in natural atomic structure. (See color plate section.)
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 25

Instead of the common appearance of uniformly smooth distributions of


energy in classical electrostatic evaluations of such systems, a nonuniform
distribution of interphasic energy associated with these discrete symmetry
changes followed. Using the newly devised DCD model to explore classical
electrostatic properties of spherical quantum dots of silicon embedded in a
uniform silicon dioxide matrix for up to N ¼ 7 electrons large, abrupt energy
jumps were observed between N ¼ 2 and 3 and between N ¼ 4 and 5. LaFave
identified these nonuniformities as consistent with electron shell filling
behavior: closing the 1s shell with two electrons and opening the 2s shell
with a markedly higher energy with three electrons as well as subsequent
closing of the 2s shell with four electrons followed by opening of the 2p shell
beginning with five electrons.
These observations led to the evaluation of the interphasic energies
associated with larger-N systems, consistent with the entire atomic structure of
the periodic table, through at least N ¼ 100 electron solutions. Indeed, each
anticipated electron shell is closed with a lower interphasic energy than the
preceding N-electron energy, and the subsequent electron shell is opened with
disparate energy jumps precisely where one expects them to be, as shown in
Fig. 1.10(c). Among the few exceptions to this trend, for example, is the lack
of a disparate energy jump between N ¼ 20 and 21. However, this is consistent
with the known proximity of 3d energy states with the low-lying 4s state,
argued as the source of shell-filling rule (Hund’s rule, Aufbau principle, etc.)
violations (half-filled 4s shell) for Cr (Z ¼ 24) and Cu (Z ¼ 29). The same
general trend of energy disparities is found using only numerical solutions of
the Thomson problem, DUþ (N) [Fig. 1.10(c) (open circles)].
Many other features of the interphasic energy distribution shown in
Fig. 1.10(c) are discussed in a recently submitted paper.59 LaFave reported
several features of this symmetry-based electrostatics approach that corre-
spond well with shell-filling behavior in atomic structure as well as a few
landmark features in nuclear structure.46 For one, the distribution of “charge
hardness” (or “chemical hardness” in chemistry), given by the difference
between ionization energy I and electron affinity A,
h¼I A ¼ jUðNÞ UðN 1Þj jUðNÞ UðN þ 1Þj, ð1:27Þ
consists of an even/odd trend of lower even-N hardness values than odd-N
hardness values up to N ¼ 24 whereupon the trend reverses through N ¼ 29 —
further evidence of correspondence with shell-filling rule violations for Cr and
Cu. Furthermore, the distribution of hardness values through N ¼ 100
exhibits an “extinction” at precisely N ¼ 83 electrons through about N ¼ 88,
coincident with the fact that atomic number 83 (bismuth, Bi) is the largest
known stable nucleus. In other words, there is no interphasic energy
preference for the addition of an 84th charge (in this case, proton) to the
26 Chapter 1

83-charge system, nor an 85th charge that may appear within a pair of
protons, for instance, nor an 86th or 87th charge, for that matter.
Interestingly, Glasser and Avery58 chose not to report any error
evaluation with respect to the fit function, Eq. (1.26), noting that solutions
of the Thomson problem are not random but are in fact “systematic.” As
demonstrated in Fig. 1.10(c), LaFave shows that interphasic symmetry-
dependent energy corresponding to the periodic table of elements is the
underlying physical nature of this “systematic” behavior. Moreover, noting a
regular, periodic occurrence of the largest disparities in Fig. 1.10(c) at N ¼
(11, 12), (31, 32), (47, 48), and (71, 72), one may conjecture that spatial
limitations imposed on discrete point charges constrained to a spherical region
of space (e.g., dielectric sphere or central field potential) is the underlying
physical mechanism responsible for the Periodic law—the very foundation of
the entire field of chemistry. Indeed, regular occurrence of these disparities
vanishes for N > 100! This may be verified by the interested reader using data
found in an interactive database hosted by Syracuse University.60
These results should not be entirely surprising since the quantum
mechanical Schrödinger equation treats the kinetic energy of particles quite
well, whereas the classical Poisson equation usefully treats the potential
energy of charged particles. Moreover, as noted earlier, energy associated with
a discrete symmetry change due to the displacement of q0 to the origin is
related to the ionization of a neutral atom.

1.5.2 Toward a general solution of the Thomson problem


and atomic structure
The quadratic term in Eq. (1.26) is often associated with the energy of a
metallic shell of unit radius, N2 /2, from which the half-integer term represents
subtraction of the self-energies of N uniformly charged disks57 to leave behind
N discrete point charges. This term may also be associated with a purely
random distribution of N point charges, Ur ¼ N(N 1)/2, which forms an
upper limit58 useful for initializing global optimization algorithms to search for
each lowest energy configuration. However, a well-defined charge distribution,
including the single point charge q0 added to the origin of a given (N 1)-
electron solution [e.g., Fig. 1.10(b)], can be shown as a significantly lower upper
limit to each subsequent N-electron solution.59 Addition of q0 trivially increases
the energy of each (N 1)-electron solution by (N 1) in the Thomson
problem. The remaining energy to be removed from this new upper limit to
obtain a global minimum is the interphasic energy distribution of Fig. 1.10(c),
p p
which may be exceptionally fit with f ðNÞ ¼ b N þ 1=2 . The N term is the
first-order term of the discrete derivative of the half-integer term (binomially
expanded) in the empirical fit function, Eq. (1.26), while the half-integer term is
part of the discrete derivative of the quadratic term.59 Subsequently, these
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 27

discrete charge transitions usefully illustrate an approach to a general solution


of the Thomson problem.
Joe Quinn, an accomplished mathematician at University of North
Carolina (UNC) at Charlotte suggests that mathematically, our procedure
generates the essence of spherical harmonics. Indeed, generating functions
related to the spherical harmonics found in single-electron wavefunctions may
be part of the general solution to the Thomson problem. The well-defined
charge transformation involving introduction of q0 to a given (N 1)-electron
configuration represents possible sawtooth forms of such a generating
function as shown in Fig. 1.11. One approach may be to immediately
increase U(N) in Fig. 11(a) for integer values of N by (N 1) with the
introduction of q0 followed by a gradual relaxation of U(N) to the
neighboring N-electron solution. However, the more realistic form appears to
be Fig. 1.11(b), where q0 is allowed to gradually appear in the (N 1)-electron
configuration as U(N) linearly rises to the upper limit of a subsequent
N configuration when q0 is fully “charged” at the origin. From this upper limit,
any number of possible transformations may ensue as q0 moves to its final
location in the N-electron minimized-energy configuration of the Thomson
problem while maintaining the net charge of the entire volume.
Due to the nature of the problem, the generating function must be
periodic and have local minima at integer values of N. Notably, the smoothly
increasing fit function, Eq. (1.26), does not meet the necessary requirement of
periodic local minima. Periodic generating functions due to a variety of
possible transformative charge schemes may include smoothly [Fig. 1.11(c)]
or sharply defined [Fig. 1.11(d)] minima for integer N. The general solution of

Figure 1.11 Open circles denote numerical N-electron solutions of the Thomson problem.
General solutions require local minima at integer values of N. A few possibilities are
shown.61
28 Chapter 1

the Thomson problem may be as mathematically complex as atomic structure.


At the core of this venture is symmetry.

1.5.3 The dielectric function and atomic dimension


The necessity of a rigorously defined dielectric function within regions of
charged particles is evidenced by results of the DCD model compared with
empirical ionization energies as well as the interphasic energy distributions of
Fig. 1.10(c), where disparities of the Thomson problem treated within a
dielectric sphere (solid circles) are more pronounced than when treated on a
unit sphere in free space (open circles). As shown in Fig. 1.12, with (a) the
interphasic energy adjusted using empirical atomic radii remp,61 that is,
DUþ(N,«, remp) ¼ DUþ(N,«)/remp, the DCD model of electrons in a fixed-size
dielectric sphere yields energy trends consistent with (b) trends found in
empirical ionization energies.62 The small difference in scale between the two
plots points to a small variation of the dielectric constant of the uniform
sphere. Development of a dielectric function throughout the entire volume of
space in the vicinity of charged particles is anticipated to yield a size-varying
component to the DCD model that may allow it to be directly used to study
parameters in atomic—and nuclear—structure.

Figure 1.12 (a) Interphasic energy versus electron number N using dielectric constant « ¼
20«0 adjusted with empirical atomic radii remp61 and (b) ionization energy of neutral atoms.62
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 29

Presently, the DCD model is restricted to the confines of a dielectric


sphere of fixed size. Similarly, the Thomson problem is restricted to the
surface of a unit sphere. In addition to the presence of a dielectric function,
the dielectric sphere used in the DCD model allows the size of the sphere in
the Thomson problem to vary. This contributes to pronounced features in
Fig. 1.10(c).

1.6 Symmetry: Key in Interaction with Nanotechnology


At first sight, interactions described in quantum mechanics seemed to
represent basic laws without the need to involve parameters such as those
represented by constitutive equations, i.e., dielectric function, elastic
constants, band structures, and, particularly, band-edge offsets. Upon closer
inspection, the same parameters we rely on in classical mechanics including
thermal expansion, dielectric function, elastic constants, or, generally, the so-
called constitutive relationship, are familiar parameters used to describe
classical interactions. These are identical to those used in quantum mechanics
including pressure coefficients of bandgaps, band-edge alignments of
heterojunctions, and so on. We would not, for a brief moment, deny the
fact that these parameters are obtained with the use of RPA in a manner quite
similar to the procedure of counting in classical mechanics. It is appropriate to
ask whether there are interactions that RPA cannot correctly, or with
sufficient accuracy, describe. It is easy for us to agree that in the formation of
molecules, particularly polymers, symmetry plays an essential role in
obtaining the correct bonding of individual atoms into molecules or clusters.
Chemists consciously recognize the cardinal role of symmetry, as well as
interactions, in the formation of molecules. In fact, symmetry also plays a
leading role in classical mechanics. For instance, the collision between two
sticks is quite different from that between two spheres or two cubes. Most of
us are familiar with the role of an interface in interaction such as light falling
on a plane surface. Theoretically, the boundary conditions describing
interactions require no more than some simple symmetry considerations.
This is because space may be divided into two half-spaces that share symmetry
relations: right-left or up-down. When we deal with a curved interface, the
boundary conditions may still be defined along the curved surface but with
highly complex mathematics. For example, we know how to solve the
scattering of a plane wave by a sphere. We can expand the plane wave into
spherical waves and match over the spherically symmetrical boundary
conditions. Now, if the scattering object is a half-sphere, the scattering
problem becomes very challenging.
Tsu’s dissertation63 on the use of Heisenberg S-matrix applied to
electromagnetic scattering led him to discover that great simplification results
from using symmetry properties to reduce the essential parts of the scattering
30 Chapter 1

matrix. Therefore, even the band-edge alignment for heterojunctions requires


some clever applications of symmetry in reducing the complexity of the
diagonalization process for prescribing band-edge alignment. It should be
understood that band-edge alignment for two semiconductors such as GaSb-
InAs, a type-III or broken-gap heterojunction really should not be defined
with a single set of interfaces because we need to be clear that the value given
to us is only good for a planar interface! Since heterojunctions play an
increasingly important role in electronic devices, what is described here carries
some importance among the science and engineering communities. Something
very important has surfaced in trying to obtain a very small entity in terms of
quantum dots having dimensions less than a few nanometers using partially
annealed very thin amorphous silicon, representing work assigned to Quiyi
Ye64 while doing her postdoctoral research under Tsu and Nicollian beginning
in 1991, involving several students, X. L Li,65 D. Boeringer,66 and
A. Bowhill.67 We had hundreds of traces for the conductance change between
states, going from two states to many states, having values of conductance
change going from one to the other, DG ¼ nG0, with G0 ¼ e2/h ¼ 39 ms, with n
usually whole numbers such as 4, 6, or half-integers, e.g., 3/2,
5/2,. . . The appearance of fractions in conductance is explained by the
periodicity of the conductance G in solid with translational symmetry.68
It is difficult to pick one trace as the typical conductance versus applied
voltage because we have at least a few hundred such traces, as shown in
Fig. 1.13, and many show hysteresis. When we had multiple repeats, we
thought at first that they were oscillations with applied voltage. But, soon, we
discovered that they were oscillating with time! Although Fig. 1.14 shows
slowing down in time and eventually stopping, we have traces that continue to
oscillate for several days! These results led us to think that such instability

Figure 1.13 Conductance G (ms) versus V in volts showing that the linewidth is kBT at RT
(reprinted from Ref. 65).
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 31

Figure 1.14 Conductance oscillation measured at 1 MHz showing slowing down near the
end of a 900-s trace, with switching speed changing from 2 s to more than 10 s, ending in
the high conducting state (from one of many unpublished traces taken in 1993).

may be caused by interactions leading to 1/f noise, long range, and having the
most mysterious part being nearly all different from hundreds of traces! For
these reasons, Tsu was led to think in terms of wave impedance of electrons
(Fig. 1.13) having integer nG0 in empty space but integers as well as fractions
in solids with periodicity. See Chapter 11 of Ref. 29.
Tsu spent a large portion of his life looking into superlattices and resonant
tunneling with well-developed theories and experiments until running into this
kind of phenomena, which prevented him from exploring the subject in full,
partially due to running into problems in publications too often to be seriously
accepted by him as well as others! He now thinks that the phenomena may be
related to polar catastrophe69 taking place between nanoscaled interfaces or
that it may be involved with some undiscovered mechanism of resistive
switching. These oscillations may have periods anywhere in the range from
hertz to megahertz! In fact, we have also observed light emission in these
current oscillations. As mysterious as it may seem, yet something of which we
are sure, such data did not appear in clusters much larger than nanometers in
size! An educated guess involves a nanometer-sized system, a quantum dot or
coupled quantum dots, having a complicated interface other than planar that
involves collective excitations and de-excitations with the most obvious
appearance of oscillations and hysteresis!

1.7 A Few Important Considerations


As illustrated, when the number of electrons in a given device is quite small
(N , 100) instead of several thousand as in many so-called nanodevices, the
addition or removal of an electron subjects the systems to a change of
32 Chapter 1

symmetry. Therefore, as MOSFETs are further reduced to the nanoscale, we


must take into account the role of symmetry as a function of electron number.
Quiyi Ye, SUNY-Albany, who worked for a number of years developing the
smallest source-drain metal-oxide semiconductor field-effect transistor
(MOSFET), gave a seminar at UNC Charlotte when she was working on
35-nm S-D MOSFET. When asked to estimate the smallest number of
electrons involved on the gate, she suggested 380. Hence, practical devices are
approaching a regime in which the DCD model of capacitance becomes
important. The nonlinearly decreasing energy distribution as electron number
decreases [Fig. 1.10(c)] requires fresh consideration as N is further reduced
below 100 in practical devices. We need to consider the basic concept in
technology, or for that matter, of all things involving action/consequence, input/
output, or merely causality. Waves involve phase and may superimpose (add
and subtract). This makes it clear that second-order differential equations can
only be counted with the density of states in k-space. Particles cannot cancel
each other; they are all positive and definite, and permit adding or counting.
Therefore, we may develop a process of counting by giving an absolute
square in developing constitutive relationships such as dielectric function,
elastic constants, etc., moreover, with the use of the RPA, originally
introduced by Bohm and Pines. However, in fact, throughout the develop-
ment of science and statistics, we have been using RPA. To engineers it is
simply the sum of the squares! As we knew long before the invention of lasers,
and for that matter, before electromagnetic broadcasting with antennas, we
gain by forming an array of dipoles arranged in some sort of phase
relationships to gain directivity. However, once we start doing this, we lose the
simple constitutive relationships such as adding the bulk modulus in 3D per
unit volume, or adding in line per unit length, and so on. We also realize that
parametric amplifiers, unlike lasers, are not designed with the use of rate
equations, where gain or loss is based on population inversion. In fact, the
very first parametric amplifier was made in England in the 19th century with
mechanical components. Tsu translated IBM’s research on parallel computing
to Chinese scientists more than 30 years ago. Computer science emphasized
the theory of parallel computing: one must be aware of the possibility of
interference and interactions, quite similar to interaction in components for
electronic devices. When phase (or simply time sequences) plays a role in
determining some particular operation, we need to ensure that we are not
throwing away something similar to phase.
In a nutshell, RPA is not simply limited to waves. Any classical collisions
involve time, so phase is also important! Therefore, in the next phase of
research involving resonant tunneling, or anything in nanoscience and
technology, symmetry should play a role, with the recognition that RPA
may not apply. One simple example involves the Yagi antenna,70 where RPA
is meaningless!
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 33

References
1. D. Pines and D. Bohm, “A collective description of electron interactions
II: Collective vs individual particle aspects of the interactions,” Phys. Rev.
85(2), 338 (1952).
2. D. Bohm and D. Pines, “A Collective description of electron interactions
III: Coulomb interactions in a degenerate electron gas,” Phys. Rev. 92(3),
609 (1953).
3. P. Nozières and D. Pines, “Correlation energy of a free-electron gas,”
Phys. Rev. 111(2), 442–454 (1958).
4. A. B. Pippard, Dynamics of Conduction Electrons, Gordon & Breach, New
York (1965).
5. P. A. Lebwohl and R. Tsu, “Electrical properties in a superlattice,”
J. Appl. Phys. 41(6), 2664 (1970).
6. The interested reader is directed to online lecture notes for the Rensselaer
Polytechnic Institute course on Semiconductor Devices and Models: E. F.
Schubert, “Band Diagrams of Heterostructures,” Rensselaer Polytechnic
Institute (2003).
7. L. L. Chang, L. Esaki, and R. Tsu, “Resonant tunneling in semiconductor
double barriers,” Appl. Phys. Lett. 24(12), 593 (1974).
8. R. Tsu, “Superlattices: Problems and new opportunities, nanosolids,”
Nanoscale Res. Lett. 6, 127–136 (2011).
9. J. B. Krieger and G. J. Iafrate, “Time evolution of Bloch electrons in a
homogeneous electric field,” Phys. Rev. B 33(8), 5494 (1986).
10. R. Tsu, Q.-Y. Ye, and E. H. Nicollian, “Resonant tunneling in
microcrystalline silicon quantum box diode,” Proc. SPIE 1361, 232–237
(1990) [doi: 10.1117/12.24358].
11. S. S. Jha and N. Bloembergen, “Nonlinear optical susceptibilities in
group-IV and III-V semiconductors,” Phys. Rev. 171(3), 891–898 (1968).
12. N. Bloembergen and A. J. Sievers, “Nonlinear optical properties of
periodic laminar structures,” Appl. Phys. Lett. 17(11), 483–486 (1970).
13. R. Tsu and L. Esaki, “Nonlinear optical response of conduction electrons
in a superlattice,” Appl. Phys. Lett. 19(7), 246–248 (1971).
14. P. A. Wolf and G. A. Pearson, “Theory of optical mixing by mobile
carriers in semiconductors,” Phys. Rev. Lett. 17(19), 1015–1017 (1966).
15. R. Tsu and L. Esaki, “Tunneling in a finite superlattice,” Appl. Phys. Lett.
22(11), 562–565 (1973).
16. D. D. Coon and H. C. Liu, “Tunneling currents and two-body effects in
quantum well and superlattice structures,” Appl. Phys. Lett. 47(2), 172–
174 (1985).
34 Chapter 1

17. S. Datta, Electronic Transport in Mesoscopic Systems, Cambridge


University Press, Cambridge (1995).
18. M. Cahay, S. McLennan, S. Datta, and M. S. Lundstrom, “Importance of
space-charge effects in resonant tunneling devices,” Appl. Phys. Lett. 50
(10), 612–614 (1987).
19. K. M. S. V Bandara and D. D. Coon, “Derivation and correction of the
Tsu–Esaki tunneling current formula,” J. Appl. Phys. 66(2), 693–696
(1989).
20. C. B. Duke, Tunneling in Solids, Academic Press, New York (1969).
21. M. O. Vassell, L. Johnson, and H. F. Lockwood, “Multibarrier tunneling
in Ga1 xAlxAs/GaAs heterostructures,” J. Appl. Phys. 54(9), 5206–5213
(1983).
22. H. Noteborn, “Quantum Tunneling Transport in Double Barrier
Heterostructure,” Ph.D. thesis, Eindhoven University of Technology,
Eindhoven, The Netherlands, 54–59 (1993).
23. S. Luryi, “Frequency limit of double-barrier resonant-tunneling
oscillators,” Appl. Phys. Lett. 47(5), 490–492 (1985).
24. M. C. Payne, “Transfer Hamiltonian description of resonant tunneling,”
J. Phys. C: Solid State Phys. 19(8), 1145 (1986).
25. T. Weil and B. Vinter, “Equivalence between resonant tunneling and
sequential tunneling in double-barrier diodes,” Appl. Phys. Lett. 50(18),
1281–1283 (1987).
26. K. W. H. Stevens, “A one-dimensional barrier and time-dependent
tunneling,” J. Phys. C: Solid State Phys. 16, 3649 (1983).
27. S. Sen, “Time Dependent Solution in Double Barrier Resonant
Tunneling, M.S. thesis, A&T State University, Greensboro, North
Carolina (1989).
28. R. Tsu, “Challenges in nanotechnology,” Nanotechnol. 12(3), 625 (2001).
29. R. Tsu, Superlattice to Nanoelectronics, Second ed., Elsevier, Burlington,
MA (2011).
30. F. R. Zypman, V. B. Campos, and R. Tsu, “Damping in quantum
systems” (unpublished) (1988).
31. J. Gong, X. Liang, and S. Ban, “Tunneling time of electronic wave packet
through a parabolic quantum well with double barrier,” Phys. Stat. Sol. b
244(6), 2064–2071 (2007).
32. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and A. Y.
Cho, “Quantum cascade laser,” Science 264, 553–556, (1994).
33. J. P. Van der Ziel, R. Dingle, R. C. Miller, W. Wiegmann, and W. A.
Norland, Jr., “Laser oscillation from quantum states in very thin
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 35

GaAs Al0.2Ga0.8As multilayer structures,” Appl. Phys. Lett. 26(8), 463


(1995).
34. G. A. Sai-Halacz, R. Tsu, and L. Esaki, “A new semiconductor lattice,”
Appl. Phys. Lett. 30(12), 651–653 (1977).
35. G. Bishop, E. Plis, J. B. Rodriguez, Y. D. Sharma, H. S. Kim, L. R.
Dawson, and S. Krishna, “nBn detectors based on InAs/GaSb type-II
strain layer superlattice, J. Vac. Sci. Technol. B 26, 1145 (2008).
36. M. Razeghi, D. Hoffman, B.-M. Nguyen, P.-Y. Delaunay, E. K. Huang,
and M. Z. Todrow, “Recent advances in LWIR Type-II AnAs/GaSb
superlattice photodetectors and focal plane arras at the Center for Quantum
Devices,” Proc. SPIE 6940, 694009 (2008) [doi: 10.1117/12.782854].
37. R. P. Beardsley, A. V. Akimov, M. Henini, and A. J. Kent, “Coherent
terahertz sound amplification and spectral line narrowing in a stark ladder
superlattice,” Phys. Rev. Lett. 104(8), 085501 (2010).
38. R. Tsu and G. Döhler, “Hopping conduction in a ‘superlattice’,” Phys.
Rev. B 12(2), 680 (1975).
39. V. Semet, V. T. Binh, J. P. Zhang, J. Yang, M. A. Khan, and R. Tsu,
“Electron emission through a multilayer planar nanostructured solid-state
field-controlled emitter,” Appl. Phys. Lett. 84(11), 1937 (2004).
40. V. Semet, V. T. Binh, and R. Tsu, “Shaping electron field emission by
ultrathin multilayered structure cathodes,” Microelectron. J. 39(3–4), 607–
616 (2008).
41. R. Tsu, D. Babić, and L. Ioriatti, “Simple model for the dielectric
constant of nanoscale silicon particle,” J. Appl Phys. 82(3), 1327 (1997).
42. J. P. Walter and M. L. Cohen, “Wave-vector-dependent dielectric
function for Si, Ge, GaAs, and ZnSe,” Phys. Rev. B 2(6), 1821 (1970).
43. M. Lannoo, C. Delerue, and G. Allan, “Screening in semiconductor
nanocrystallites and its consequences for porous silicon,” Phys. Rev. Lett.
74(17), 3415 (1995).
44. L.-W. Wang and A. Zunger, “Dielectric constants of silicon quantum
dots,” Phys. Rev. Lett. 73(7), 1039 (1994).
45. T. LaFave, Jr., “Discrete charge dielectric model of electrostatic energy,”
J. Electrostatics 69(5), 414–418 (2011).
46. T. P. LaFave, Jr., “The Classical Electrostatic Periodic Table, Capaci-
tance of Few Electron Dielectric Spheres and a Novel Treatment of One-
and Two-Electron Finite Quantum Wells,” Ph.D. thesis, University of
North Carolina, Charlotte (2006).
47. T. LaFave, Jr. and R. Tsu, “A new definition of capacitance of a few
electron systems,” Progress in Electromagnetics Research Symposium,
Hangzhou, China, March 24–28, 1269–1274 (2008).
36 Chapter 1

48. T. LaFave, Jr. and R. Tsu, “Capacitance: A property of nanoscale materials


based on spatial symmetry of discrete electrons,” Microelectron. J. 39(3–4),
617–623 (2008).
49. G. J. Iafrate, K. Hess, J. B. Krieger, and M. Macucci, “Capacitive nature
of atomic-sized structures,” Phys. Rev. B 52(15), 10737 (1995).
50. J. D. Jackson, Classical Electrodynamics, Third ed., John Wiley & Sons,
p. 41 (1999).
51. D. J. Griffiths, Introduction to Electrodynamics, Third ed., Prentice Hall
of India, New Delhi p. 92 (1999).
52. S. Bednarek, B. Szafran, and J. Adamowski, “Many-electron artificial
atoms,” Phys. Rev. B 59(20), 13036 (1999).
53. M. Macucci, K. Hess, and G. J. Iafrate, “Simulation of electronic
properties and capacitance of quantum dots,” J. Appl. Phys. 77(7), 3267
(1995).
54. M. Macucci, K. Hess, and G. J Iafrate, “Numerical simulation of shell-
filling effects in circular quantum dots,” Phys. Rev. B 55(8), R4879 (1997).
55. S. Smale, “Mathematical problems for the next century,” Math. Intell.
20(2), 7–15 (1998).
56. J. R. Edmundson, “The distribution of point charges on the surface of a
sphere,” Acta Cryst. A 48, 60–69 (1992).
57. T. Erber and G. M. Hockney, “Equilibrium configurations of N equal
charges on a sphere,” J. Phys. A: Math. Gen. 24(23), L1369 (1991).
58. L. Glasser and A. G. Every, “Energies and spacings of point charges on a
sphere,” J. Phys. A: Math. Gen. 25 2473–2482 (1992).
59. T. LaFave, Jr., J. Electrostatics (2013) revisions submitted for review.
60. Thomson Applet hosted at Syracuse University: http://thomson.phy.syr.edu.
61. J. C. Slater, “Atomic radii in crystals,” J. Chem. Phys. 41(10), 3199–3204
(1964).
62. NIST, “Ground levels and ionization energies for the neutral atoms,”
http://physics.nist.gov/PhysRefData.
63. R. Tsu, “The Theory and Application of the Scattering Matrix for
Electromagnetic Waves,” Ph.D. thesis, The Ohio State University,
Columbus, Ohio (1960).
64. Q.-Y. Ye, R. Tsu, and E. H. Nicollian, “Resonant tunneling via
microcrystalline-silicon quantum confinement,” Phys. Rev. B 44(4),
1806–1811 (1991).
65. X.-L. Li, “Fabrication and Electrical Characterization of Silicon
Microcrystalline Quantum Dot Devices,” M.S. thesis, University of
North Carolina at Charlotte (1993).
Role of Symmetry in Conductance, Capacitance, and Doping of Quantum Dots 37

66. D. Boeringer and R. Tsu, “Avalanche amplification of multiple resonant


tunneling through parallel silicon microcrystallites,” Phys. Rev. B 51(19),
13337 (1995).
67. R. Tsu, J. Morais, and A. Bowhill, “Visible light emission in silicon-
interface adsorbed gas superlattices,” Mat. Res. Soc. Symp. Proc. 358, 825
(1995).
68. R. Tsu and T. Datta, “Conductance and wave impedance of electrons,”
Progress In Electromagnetics Research Symposioum, Hangzhou, China,
March 24–28 (2008).
69. W. Sitaputra and R. Tsu, “Defect induced mobility enhancement:
Gadolinium oxide(100) on Si(100),” Appl. Phys. Lett. 101(22), 222903
(2012).
70. D. M. Pozar, “Beam transmission of ultrashort waves: An introduction to
the classical paper by H. Yagi,” Proc. IEEE 85(11), 1857–1863 (1997).

Raphael Tsu is a Distinguished Professor of electrical


engineering at the University of North Carolina at
Charlotte. He is a fellow of the American Physical
Society, winner of the IBM Outstanding Contribution
Award (1975), the Alexander von Humboldt Award
(1975), and co-recipient (with Leo Esaki, 1973 Nobel
Prize in Physics) of the American Physical Society’s
International New Materials Prize (1985). Dr. Tsu has
published nearly two hundred scholarly papers in scientific journals, authored
a monograph on quantum wells and superlattice materials and devices of
which he is a coinventor, and holds several patents for his discoveries and
inventions. After several years working as a member of the technical staff at
Bell Laboratories (BTL) at Murray Hill, NJ, developing ultrasonic amplifiers,
Professor Tsu moved to IBM’s T.J. Watson Research Center in Yorktown
Heights, NY, as an associate to Dr. Leo Esaki, the inventor of Esaki diodes
and Physics Nobel laureate in 1973. That was the beginning of his well-known
collaboration with Esaki on the theory of manmade quantum materials,
superlattices, and quantum wells. Tsu also provided the first quantum
theoretical calculations of negative differential conductance (NDC) in such
artificial materials. His research has been cited nearly 10,000 times and has an
H index of 42.
38 Chapter 1

Tim LaFave, Jr. is a research associate in the


Department of Electrical Engineering at the University
of Texas at Dallas. He earned his doctorate degree in
Electrical Engineering under the direction of Professor
Raphael Tsu in 2006. LaFave earned his Bachelor’s
degree in physics from the Illinois Institute of Technol-
ogy, Chicago, and a Master’s degree in applied physics
from the University of North Carolina at Charlotte
involving research on a novel ultrahigh-vacuum molecular beam epitaxy
(UHV-MBE) process to fabricate highly p-doped MOSFET source and drain
structures incorporating doping and metallization in a single process step as
well as a new silicon-on-insulator technology. His list of noteworthy
accomplishments include discovery of classical electrostatic correlations
between J. J. Thomson’s plum pudding model and atomic electron shell-
filling, development of the discrete charge dielectric (DCD) model, derivation
of classical monophasic capacitance, and integration of nanophotonic coupler
processing technologies with conventional multi-quantum well (MQW)
optical waveguides in InP-based materials including process optimization
strategies. He is currently developing technologies related to a fiber-bundle-
based brush optode proposed for use in functional near-infrared spectroscopy
(fNIRS) studies of brain activity, continues research on numerous electrostatic
correspondences between the Thomson problem and the periodic table of
elements, and is developing a new pedagogical “physics-first” approach to
STEM education.
Part II: Materials
Chapter 2
Electrical, Optical, and
Structural Studies of InAs/
InGaSb VLWIR Superlattices
Gail J. Brown
Air Force Research Laboratory, Materials & Manufacturing Directorate,
Wright-Paterson Air Force Base, Ohio, USA

Said Elhamri
Department of Physics, University of Dayton, Dayton, Ohio, USA

William C. Mitchel, Heather J. Haugan, Krishnamurthy Mahalingam,


Mu J. Kim, and Frank Szmulowicz
Air Force Research Laboratory, Materials & Manufacturing Directorate,
Wright-Paterson Air Force Base, Ohio, USA

2.1 Introduction
2.2 Sample Fabrication/Design
2.3 Structural Characterization
2.4 Optical Characterization
2.5 Electronic Transport Measurements
2.6 Electronic Transport Modeling
2.7 Summary
References

2.1 Introduction
InAs/InGaSb superlattice (SL) materials are an excellent candidate for
infrared photodiodes with cutoff wavelengths beyond 15 mm, i.e., in the very
long-wavelength infrared (VLWIR) range. There are relatively few options
for high-performance infrared detectors to cover wavelengths longer than
15 mm, especially for operating temperatures above 15 K. A variety of
41
42 Chapter 2

possible SL designs cover the VLWIR range, including designs with and
without indium alloying of the GaSb layers.1 For homogeneous InGaSb
alloys, transport modeling found that alloy scattering should be negligible
for electrons.2 In addition, there can be benefits for incorporating InGaSb
into the VLWIR SL design; these benefits include a higher molecular beam
epitaxy (MBE) growth temperature, which should reduce point defects in the
InGaSb, simpler interfaces with the continuous indium flux, suppressed
Auger recombination rates, and larger absorption coefficients due to thinner
periods in these designs.
Our focus is on designs with 25% indium in the gallium antimonide to
achieve energy bandgaps less than 50 meV with a SL period on the order of 68
Å. Similar to the work reported on InAs/GaSb LWIR and VLWIR SLs,3 5
our designs employ InGaSb layers less than seven monolayers in width. While
the SL designs are strain balanced to the GaSb substrate, care was also taken
to minimize strain spikes in the interfacial regions. High-resolution transmis-
sion electron microscope images were analyzed to create strain mapping
profiles of the SL layers and interfaces. By focusing on a narrow set of
VLWIR SL designs, the deposition parameters for the MBE SL growth could
be carefully optimized.
The electrical and optical properties of the VLWIR SLs were
characterized by variable-temperature Hall effect measurements and by
infrared photoresponse spectra. The photoresponse spectra consistently
showed a bandgap energy of 47 3 meV for the samples studied and a 50%
cutoff wavelength at 19 mm. The repeatability of these very narrow-
bandgap SLs over multiple sample depositions even while some growth
parameters were being adjusted shows the tight control obtainable with
MBE. Narrow-bandgap designs are very sensitive to small changes in layer
widths.6 Variable-temperature Hall effect measurements found that the
mobility of electrons in the SL was 10,000 cm2/Vs below 80 K and was
relatively constant in magnitude.

2.2 Sample Fabrication/Design


The VLWIR SLs were grown by MBE using standard metal effusion cells
for Ga and In, and valved cracker cells for As and Sb. In order to minimize
cross contamination of the anion fluxes, the V/III flux ratio was set at a
minimum of 3 for both the InAs and GaSb depositions. The grow rates
were: 1.20 Å/s for GaSb, 1.6 Å/s for In0.25Ga0.75Sb, and 0.33 Å/s for InAs.
The SL stack (0.5 mm) and the GaSb buffer layer (0.5 mm) were deposited
on lightly doped n-type GaSb (100) wafers at a temperature of 410 8C for
InAs/GaSb and 430 8C for InAs/InGaSb. For the InGaSb-based VLWIR
SL, we used a design of 47.0 Å InAs/ 21.5 Å In0.25Ga0.75Sb as calculated by
Grein et al.7 to have a bandgap of 80 meV at 40 K. The interface between
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 43

Table 2.1 Sample designs, SL period measured by x-ray diffraction, and the optical
bandgap energy determined by the photoresponse spectra.

Sample InAs (Å) GaSb (Å) In (%) IF (Å) Period (Å) Eg (meV)

A 47 21.5 25 0 68 46
B 47 21.5 25 0 68 44
C 46.5 18 0 1.5/2.5 69 63
D 47 21.5 25 0 68.5 45

the InAs and InGaSb layers was not intentionally controlled by shutter
sequence to be either InSb-like or GaAs-like. The residual strain of the two
InGaSb-based SLs remained small and slightly compressive at þ0.2%
(samples A and B). For the GaSb-based VLWIR SL, we used a design
based on our previous studies,8 of 48 Å InAs / 20.5 Å GaSb, including the
controlled InSb-like interfaces. The controlled interfaces are necessary to
strain balance the lattice-mismatched InAs. With the controlled InSb-like
interfaces, the net strain of sample C was close to zero. The structural
parameters of the samples, such as SL period, residual strain, and individual
layer thickness, were confirmed by high-resolution transmission electron
microscopy (HRTEM) and high-resolution x-ray diffraction (HRXRD)
measurements. A summary of the intended SL designs, measured HRXRD
SL period, and measured bandgap energy determined from the onset of the
photoresponse spectra is given in Table 2.1.

2.3 Structural Characterization


In this section we present results from a HRTEM study, wherein the SLs
were imaged using recent techniques based on aberration-corrected TEM.
As reported in recent studies,9 12 the improved spatial resolution achieved
by aberration correction offers the capability for quantitative image
analysis, particularly with regard to determining the composition and strain
profiles across interfaces at atomic resolution. In the present study, an
investigation is performed to examine the strain distribution in these SLs. A
detailed description of the methods adopted, including the techniques for
image acquisition and analysis and its application to InAs/GaSb SLs, are
presented in a recent report.12 In brief, the SLs were imaged using a Titan
80-300 TEM equipped with an (image) spherical-aberration corrector, and
strain analysis of the HRTEM images was performed using the peak-pair
method.13 The analysis was performed such that the strain component «xx
was parallel to the interface (along [011]) and component «yy was along the
growth direction ([100]).
Figure 2.1 is a HRTEM image of sample A, showing the individual layers
in the SL near the substrate region, where the In0.25Ga0.75Sb and InAs layers
44 Chapter 2

Figure 2.1 A HRTEM image of sample A showing the first few layers in the InGaSb/InAs
SL near the GaSb substrate.

appear dark and bright, respectively. From these images the average SL
period was determined to be 67.6 0.3 Å, with an average layer thickness of
24.1 1.6 Å for InAs and 44.1 1.2 Å for In0.25Ga0.75Sb. The results from
strain analysis of the HRTEM images are shown in Fig. 2.2, where Fig. 2.2(a)
is a map of the strain tensor «yy, and Fig. 2.2(b) is a profile of its distribution
across individual layers, averaged parallel to the interface within the area
indicated in the figure. It is observed that the InGaSb layers (bright yellow
regions) are in compressive strain, which is consistent with the In content
(x ¼ 0.25) in these layers, and that the InAs layers (bright green) are in tensile
strain of about 0.01, which is in agreement with theoretical calculations based
on published values of elastic constants. The InGaSb-on-InAs and InAs-on-
InGaSb interfaces are seen to exhibit strain inversion so that the overall strain
in these regions is negligible.
While, for the most part, the observations for sample A were similar to
other SLs reported in recent studies,11,12,14 it is important to emphasize
that the strain distribution profiles at the InGaSb-on-InAs interfaces for
this sample were distinctly different from those observed in earlier studies.
To elaborate further, we present results from a recent study14 that pertain
to sample D in Table 2.1. Although samples A and D are similar in design,
the HRXRD profiles for the two samples showed that sample A was in
compressive strain with respect to the GaSb substrate (« ¼ þ0.17%),
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 45

Figure 2.2 Strain analysis of sample A showing (a) the map of the strain tensor «yy parallel
to the growth direction and (b) a plot of the strain profile averaged parallel to the interface,
over the boxed region in (a). (See color plate section.)

whereas sample D was closely lattice matched (« ¼ 0.0%). Figure 2.3(a)


shows the strain map for sample D, and Fig. 2.3(b) shows the profile of
«yy, averaged parallel to the interface within the boxed region in Fig. 2.3(a).
The profile in Fig. 2.3(b) shows sharp negative spikes at the InGaSb-on-
InAs interface (denoted by arrows) that are not observed in the strain
profile for sample A [Fig. 2.2(b)]. The negative spikes indicate that this
interface is in tensile strain and that the dominant bond type at this
interface is Ga–As. In both samples, the InGaSb layers have a peaked
compressive strain of around 3%, while the regions corresponding to the
InAs-on-InGaSb interfaces are nearly strain balanced due to strain
inversion. Based on the values of overall strain for the two samples
determined by HRXRD, it is clear that the tensile strain at the InGaSb-on-
InAs interface is important for achieving strain balance in the alloyed SL.
As observed in earlier reports, the maps of «xx for both of the samples
(A and D) showed negligible values, indicating that these interfaces were
coherent with the GaSb substrate.
46 Chapter 2

Figure 2.3 Strain analysis of sample D showing (a) the map of the strain tensor «yy parallel
to the growth direction and (b) a plot of the strain profile averaged parallel to the interface,
over the boxed region in (a). (See color plate section.)

2.4 Optical Characterization


The photoresponse spectra were measured on the SL samples using the
photoconductive mode, where indium strip contacts were applied to the top
of the SL stack. The samples were typically 5  10 mm in optical area with
3 mm between the two strip contacts on the long sides of the rectangular
samples. Care was taken to keep the indium strips away from the edge of the
samples. Due to the less than 1-kV resistance of the samples at low
temperature, the spectra were collected in a current bias mode versus using a
voltage bias. Spectra were measured from 8 K up to 80 K for these narrow-
bandgap samples. Since a Fourier transform infrared (FTIR) spectrometer
was used to collect the spectra, the photoresponse does not have quantitative
units and is instead expressed in arbitrary units. However, a comparison can
be made between samples run under the same experimental conditions to
measure improvements to the SL deposition conditions.
The photoresponse spectrum of a typical VLWIR SL (sample A) is
shown in Fig. 2.4. The bandgap is determined by drawing a line down the
photoresponse onset and finding the energy for zero photoresponse. This
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 47

Figure 2.4 Photoresponse spectrum at 8 K for sample A.

avoids the band-tail issue. The measured bandgap energies are shown in
Table 2.1. For a much larger sample set than these few samples, the average
bandgap energy for the same SL design was 47 3 meV. The measured
bandgap energies are lower than the theoretically predicted value of 80 meV
by Grein et al.,7 and the cutoff wavelength of 19 mm, measured at the point
where the photoresponse intensity has dropped by 50%, is longer. The
HRXRD and cross-sectional TEM measurements confirm that the SL
samples grown were very close to the intended design so that the discrepancy
between theory and experiment must originate in the modeling.
A good photoresponse signal was measured despite the fact that the SL
total thickness was only 3% of the VLWIR photon wavelength. For a
maximum absorption coefficient of 2500 cm 1 at 5.6 mm, the absorption
quantum efficiency would only be 7% in the mid-infrared in a single pass,
and about half this value by l > 12.5 mm. The heavy fringing on the long-
wavelength portion of the spectrum is not noise but a result of multiple
internal reflections through the substrate/buffer/SL stack. The lightly n-type-
doped GaSb wafers do have significant infrared transparency.15 As shown in
Fig. 2.4, the SL has its maximum response over a wide wavelength range, up
to 15 mm.
The impact of reducing the interface strain on the SL photoresponse was
studied. For this study we compared sample A and sample D used in the
HRTEM study. These two samples were grown about a week apart such that
the overall MBE conditions were nearly the same except for the arsenic beam
equivalent pressure, which was set 30% higher for sample D. A comparison of
the two photoresponse spectra measured at 8 K is shown in Fig. 2.5. Overall,
48 Chapter 2

Figure 2.5 Comparison of the photoresponse spectra of samples A and D taken under the
same experimental conditions at 8 K.

the two spectra are very similar, having the same onset energy and 50% cutoff
wavelength. There is a difference in the magnitude of the photoresponse such
that sample D produced about 80% of the signal of sample A. Our run-to-run
variation of photoresponse intensity when remounting and retesting the same
sample is on the order of 10% or less. So, the intensity difference between these
two samples is larger than experimental variation. Still, the intensity difference
is not very large, so the impact of a negative strain spike at the InGaSb-on-InAs
interface may be relatively minor.

2.5 Electronic Transport Measurements


The use of lightly n-type GaSb substrates for SL deposition provides the
opportunity to measure SL transport properties without any contribution
from the substrate.16 The substrate had a carrier concentration of 2  1016 cm 3
at 10 K and mobility below 4000 cm2/Vs in the temperature range from
5 K to 300 K. Given that the n-type substrate electrons do not freeze out,
and their mobilities remain finite at low temperatures, the charge carrier
contributions from the substrate would be expected to dominate the Hall
transport measurements, as occurs for the standard n-type GaSb wafers
that are more heavily doped with tellurium. However, the combination of
a lightly n-type substrate with the intrinsically p-type GaSb buffer layer
provides electrical isolation of the VLWIR SL over a wide temperature
range. This eliminates the need for inserting AlGaSb barrier layers (which
can roughen the subsequent SL layers), trying to grow a comparable quality
SL on semi-insulating GaAs versus GaSb, or trying to remove the substrate
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 49

Figure 2.6 Carrier concentration versus inverse temperature for sample A.

Figure 2.7 Mobility versus temperature for sample A.

and measure properties without negatively impacting the SL material in the


process.
The in-plane transport properties of sample A are shown in Figs. 2.6 and
2.7. Figure 2.6 shows the carrier concentration versus inverse temperature
data, while Fig. 2.7 shows mobility versus temperature. The sample is n-type
at all temperatures, which is typical for VLWIR SL designs where InAs is the
major portion of the SL period. The electron sheet concentration is relatively
independent of temperature below 80 K, with value of 6.4  1011 cm 2 at
10 K. Above that temperature the concentration increases rapidly with
increasing temperature. This is most likely due to thermal activation of free
carriers, possibly across the SL bandgap. Activation from some other layer in the
structure cannot be ruled out at this time. The mobility in Fig. 2.7 also shows
distinctly different behavior above and below 80 K. Above this temperature it
shows behavior similar to bulk semiconductors, as seen in the lightly n-type
GaSb in Fig. 2.8, with decreasing mobility from 140 K to 80 K, similar to ionized
50 Chapter 2

Figure 2.8 Mobility as a function of temperature for a lightly n-type GaSb substrate.

impurity scattering, followed by a decreasing mobility reminiscent of optical


phonon scattering at temperatures above 140 K. Below 80 K, mobility is only
weakly dependent on temperature.
Among the possible low-temperature scattering mechanisms, two are
particularly important for SLs, interface roughness scattering11 (IRS) and
alloy scattering2 (AS). For a constant carrier concentration, IRS has been
proposed to be independent of temperature,17 while AS is expected to go as
temperature to the minus one-half power squared. To investigate the impact
of alloy scattering, two samples were grown to nearly identical specifications,
except that sample B had alloyed InGaSb while sample C used GaSb. The
temperature dependences of the mobilities of these two samples from 10 K to
80 K are shown in Fig. 2.9 along with a solid line representing a T 1/2
dependence. It is clear from Fig. 2.9 that alloying the GaSb layer does not

Figure 2.9 Mobility versus temperature (linear T scale) for alloyed and unalloyed SLs.
Sample B (o) and sample C (x).
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 51

significantly affect either the magnitude or the temperature dependence of the


electron mobility at low temperatures. For thin GaSb or InGaSb layers, there
is significant wavefunction overlap/penetration of the electron wavefunction
into the alloyed layer, so AS needs to be considered.

2.6 Electronic Transport Modeling


In this section we calculate temperature-dependent perpendicular and horizon-
tal IRS-limited mobilities18,19 for sample C by expanding on our earlier
work on low-temperature transport in InAs/GaSb SLs.20,21 The present
treatment is specialized to electron transport, following the details provided
in the low-temperature calculation:18 20 the electron band structure «ðkz Þ and
wavefunctions in the growth direction kz are calculated using the 3  3
envelope-function approximation (EFA). However, the electron and hole
masses mjj in the in-plane direction are found from the full 8  8 EFA.22
Temperature-dependent lattice constants, bandgap energies, and other physical
data for InAs and GaSb are taken from Vurgaftman et al.23 Hence, the band
structure, carrier density, and carrier screening24 27 are fully temperature
dependent. The source of IRS scattering rates are fluctuations D in InAs layer
width, which are characterized by the lateral correlation length of interface
fluctuations L.28 33 Using the calculated electron and heavy-hole energy bands
for sample C, the chemical potential was calculated as a function of
temperature. Then, the Boltzmann equation was solved for the relaxation
rates, which were then used to calculate electron mobilities. The calculated
horizontal and vertical mobilities and the measured horizontal mobility for
sample C are shown in Fig. 2.10. The value of L ¼ 200 Å best fits the shape of
the measured horizontal mobility. The magnitude of the measured mobility is
best fit using the vertical roughness parameter D ¼ 1.62 Å, if both interfaces are

Figure 2.10 Calculated horizontal and vertical mobilities, using L ¼ 200 Å and D ¼ 1.65 Å,
and the measured horizontal mobility as a function of temperature for the 48.1-Å InAs/20.4-Å
GaSb SL.
52 Chapter 2

Figure 2.11 Temperature dependence of IRS-limited, horizontal mobilities for a 48.1-Å


InAs/20.4-Å GaSb SL as a function of correlation length.

equally rough. In the temperature interval shown, the horizontal mobility is


relatively flat, while the vertical mobility decreases. The overall agreement
between experimental and theoretical horizontal mobility is good.
While the calculations highlighted in Fig. 2.10 show a relatively small
temperature dependence of the IRS-limited horizontal mobility, changes in
the selection of D and L can lead to IRS-limited mobilities that exhibit a much
stronger temperature dependence, as shown in Fig. 2.11. For these
calculations the same sample C design parameters were used, but D was set
to 3 Å and L was varied from 30 Å to 300 Å. Therefore, the relatively
constant mobilities we see consistently below 80 K in a variety of SL samples
with different designs may have another explanation, rather than simply IRS-
limited behavior.

2.7 Summary
There are many advantages to using InGaSb alloys in the design and growth
of VLWIR InAs/(In)GaSb SLs: higher MBE growth temperature, simpler
interface growth and mitigation of strain spikes, reduced Auger rates,7 and
higher quantum efficiency. Alloy scattering of charge carriers was shown not
to be a factor in electronic transport, so there are no disadvantages to
incorporating indium into the GaSb layers. Variable-temperature Hall effect
measurements found that the in-plane mobility of electrons in the SL was
10,000 cm2/Vs below 80 K and was relatively constant in magnitude.
Theoretical modeling of the vertical transport in the IRS limit found that the
electron mobility perpendicular to the SL layers was nearly equivalent to the
in-plane mobility below 20 K but then had a stronger temperature dependence
and dropped to 6,000 cm2/Vs by 60 K. Vertical transport is an important
parameter in photodiode performance.
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 53

A quantitative analysis of the strain distribution was performed at the


atomic scale by aberration-corrected TEM. The results highlight the role of
strain distribution at the InGaSb-on-InAs interfaces in the SLs examined in
this study. Capability for these measurements is important for understanding
HRXRD data, particularly with regard to interpreting effective SL strain
measurements.
The photoresponse spectra of the 47.0-Å InAs/21.5-Å In0.25Ga0.75Sb SL
design consistently had bandgap energy of 47 3 meV and a 50% cutoff
wavelength at 19 mm. The repeatability of these very narrow-bandgap SLs
over multiple sample depositions and while some growth parameters were
being adjusted confirms the tight control obtained with our MBE system. By
focusing on a narrow set of VLWIR SL designs, the deposition parameters for
MBE SL growth can be carefully optimized.

References
1. E. R. Heller, K. Fischer, F. Szmulowicz, and F. L. Madarasz,
“Superlattice parameters for optimum absorption in InAs/InxGa1 xSb
superlattice infrared detectors,” J. Appl. Phys. 77, 5739 (1995).
2. J. J. Tietjen and L. R. Weisberg, “Electron mobility in GaAs1 xPx alloys,”
Appl. Phys. Lett. 7(10), 261–263 (1965).
3. P.-Y. Delaunay, B.-M. Nguyen, D. Hoffman, and M. Razeghi, “320256
infrared focal plane array based on type-II InAs/GaSb superlattice with a
12-m m cutoff wavelength,” Proc. SPIE 6542, 654204 (2007) [doi: 10.1117/
12.723832].
4. Y. Wei, A. Gin, M. Razeghi, and G. J. Brown, “Advanced InAs/GaSb
superlattice photovoltaic detectors for very long wavelength infrared
applications,” Appl. Phys. Lett. 80(18), 3262 (2002).
5. Y. Wei, A. Gin, M. Razeghi, and G. J. Brown, “Type-II InAs/GaSb
superlattice photovoltaic detectors with cutoff wavelength approaching
32 mm,” Appl. Phys. Lett. 81(19), 3675 (2002).
6. F. Szmulowicz, E.R. Heller, K. Fischer, and F. L. Madarasz,
“Optimization of absorption in InAs/InxGa1 xSb superlattices for long-
wavelength infrared detection,” Superlattices and Microstructures 17(4),
373–379 (1995).
7. C. H. Grein, W. H. Lau, T. L. Harbert, and M. E. Flatte, “Modeling of
very long infrared wavelength InAs/GaInSb strained layer superlattice
detectors,” Proc. SPIE 4795, 39–43 (2002) [doi: 10.1117/12.452265].
8. H. J. Haugan, G. J. Brown, and L. Grazulis, “Effect of interfacial
formation on the properties of very long wavelength InAs/GaSb super-
lattics,” J. Vac. Sci. Technol. B 29(3), 03C101 (2011).
54 Chapter 2

9. K. Mahalingam, K. G. Eyink, G. J. Brown, D. L. Dorsey, C. F.


Kisielowski, and A. Thust, “Compositional analysis of mixed cation-
anion III-V semiconductor interfaces using phase retrieval high-resolution
transmission electron microscopy,” J. Microscopy 230, 372–381 (2008).
10. K. Mahalingam, K. G. Eyink, G. J. Brown, D. L. Dorsey, C. F.
Kisielowski, and A. Thust, “Quantifying stoichiometry of mixed anion-
cation III-V semiconductor interfaces at atomic resolution,” Appl. Phys.
Lett. 88, 091904 (2006).
11. K. Mahalingam, H. J. Haugan, G. J. Brown, K. G. Eyink, and B. Jiang,
“Quantitative strain analysis of interfaces in InAs/GaSb superlattices by
aberration-corrected HAADF-STEM,” Proc. SPIE 8268, 826831 (2012)
[doi: 10.1117/12.911914].
12. K. Mahalingam, H. J. Haugan, G. J. Brown, and K. G. Eyink,
“Quantitative analysis of interfacial strain in InAs/GaSb superlattices by
aberration-corrected HRTEM and HAADF-STEM,” Ultramicroscopy
127, 70–75 (2013)
13. P. L. Galindo, S. Kret, A. M. Sanchez, J-Y. Laval, A. Yanez, J. Pizarro,
E. Guerrero, T. Ben, and S. I. Molina, “The peak pairs algorithm for
strain mapping from HRTEM images,” Ultramicroscopy 107, 1186
(2007).
14. H. J. Haugan, G. J. Brown, S. Elhamri, W. C. Mitchel,
K. Mahalingam, M. Kim, G. T. Noe, N. E. Ogden, and J. Kono,
“Impact of growth temperature on InAs/GaInSb strained layer super-
lattices for very long wavelength infrared detection,” App. Phys. Lett.
101, 171105 (2012).
15. L. P. Allen, P. Flint, G. Dallas, D. Bakken, K. Blanchat, G. J. Brown,
S. R. Vangala, W. D. Goodhue, and K. Krishnaswami, “GaSb substrates
with extended IR wavelength for advanced space based applications,”
Proc. SPIE 7298, 72983P (2009) [doi: 10.1117/12.817858].
16. H. J. Haugan, G. J. Brown, S. Elhamri, S. Pacley, B. V. Olson, and
T. F. Boggess, “Post growth annealing study on long wavelength
infrared InAs/GaSb superlattices,” J. Appl. Phys. 111, 053113 (2012).
17. C. A. Hoffman, J. R. Meyer, E. R. Youngdale, F. J. Bartoli, and R. H.
Miles, “Interface roughness scattering in semiconducting and semimetallic
InAs-Ga1 xInxSb superlattices,” Appl. Phys. Lett. 63(16), 2210 (1993).
18. F. Szmulowicz, H. Haugan, S. Elhamri, and G. J. Brown, “Calculation of
the temperature dependence of the vertical and horizontal mobiities in
InAs/GaSb superlattices,” Infr. Phys. &Techn. 56, 76–79 (2013).
19. F. Szmulowicz and G. J. Brown, “Calculation of interface roughness
scattering-limited vertical and horizontal mobilities in InAs/GaSb super-
lattices as a function of temperature,” J. Appl. Phys. 113(1), 014302 (2013).
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 55

20. F. Szmulowicz and G. J. Brown, “Calculation of the vertical and


horizontal electron mobilities in InAs/GaSb superlattices,” Appl. Phys.
Lett. 98, 182105 (2011).
21. F. Szmulowicz, H. J. Haugan, S. Elhamri, and G. J. Brown, “Calculation
of vertical and horizontal mobilities in InAs/GaSb superlattics,” Phys.
Rev. B 84, 155307 (2011).
22. F. Szmulowicz, H. J. Haugan, and G. J. Brown, “Effect of interfaces and
the spin-orbit band on the band gaps of InAs/GaSb superlattices beyond
the standard envelope-function approximation,” Phys. Rev. B 69, 155321
(2004).
23. I. Vurgaftman, J. R. Meyer, and L. R. Ram-Mohan, “Band parameters
for III–V compound semiconductors and their alloys,” J. Appl. Phys. 89,
5815 (2001).
24. B. Laikhtman and R. A. Kiehl, “Theoretical hole mobility in a narrow Si/
SiGe quantum well,” Phys. Rev. B 47, 10515 (1993).
25. D. K. Ferry and S. M. Goodnick, “Quantum Confined Systems,” in
Transport in Nanostructures, Cambridge University Press, Cambridge,
UK (1977).
26. P. F. Maldague, “Many-body corrections to the polarizability of the two-
dimensional electron gas,” Surf. Sci. 73, 296–302 (1973).
27. Y. Okuyama and N. Fakuda, “Electron-phonon interactions in modulation-
doped AlxGa1 xAs/GaAs heterojunctions,” Phys. Rev. B 40, 9744 (1989).
28. S. Mori and T. Ando, “Electronic properties of a semiconductor
superlattice II. Low temperature mobility perpendicular to the super-
lattice,” J. Phys. Soc. Japan 48(3), 865–873 (1980).
29. I. Dharssi and P. N. Butcher, “The effect of phonon confinement on
perpendicular electron transport in a GaAs /GaAlAs superlattice,”
J. Phys. Condens. Matter 2, 119–125 (1990).
30. F. Aristone, P. Gassot, J. F. Palmier, D. K. Maude, B. Goutiers,
J. L. Gauffier, J. C. Portal, and F. Mollot, “Probing the interface
fluctuations in semiconductor superlattices using a magneto-transport
technique,” Superlattices & Microstructructures 15, 225–228 (1994).
31. J. Q. You, L. Zhang, and Q. B. Yang, “Longitudinal magnetotransport in
long-period semiconductor superlattices,” Phys. Rev. B 55, 15757 (1997).
32. G. J. Warren and P. N. Butcher, “A mobility calculation for a GaAs/
GaAlAs superlattice,” Semicond. Sci. Technol. 1, 133–136 (1986).
33. G. Etemadi and J. F. Palmier, “Effect of interface roughness on non-
linear vertical transport in GaAs/AlAs superlattices,” Solid State
Commun. 86, 739–743 (1993).
56 Chapter 2

Gail J. Brown is a principal physicist with the


Nanoelectronics Materials Branch of the Materials
and Manufacturing Directorate of the Air Force
Research Laboratory. Dr. Brown has worked on
developing semiconductor materials for infrared detec-
tor applications since 1980. She is the program manager
for very long-wavelength infrared detector materials. In
addition, she leads a team of researchers studying the
epitaxial growth, theoretical modeling, and property characterization of InAs/
Ga(In)Sb superlattice materials for infrared detector applications. Dr. Brown
has coauthored more than 200 journal articles and proceedings papers and has
given numerous invited talks. She has chaired and cochaired more than
25 conferences and symposia on topics covering a variety of areas such as
photodetectors, quantum sensing, semiconductor nanostructures for electron-
ics and optoelectronics, and metamaterials. Dr. Brown is a Fellow of the Air
Force Research Laboratory Fellow, SPIE, and the American Physical
Society. Her outstanding contributions in fundamental scientific studies
earned her the USAF Basic Research award in 2002.

Said Elhamri graduated from Kenyon College and received his Ph.D. in
physics from the University of Cincinnati in 1995. Following a two-year
postdoctoral position, he joined the University of Dayton in 1997 where he is a
professor of physics. In recognition of his achievement in the classroom and
the lab, he has received both teaching and research awards. He has served as a
visiting scientist in the Nanoelectronic Materials Branch at Wright Patterson
Air Force Base on several occasions. His research interests are in transport
studies of quantum semiconductor structures, including nitride heterostruc-
tures, Sb-based type-II superlattices, and graphene.

William C. Mitchel is senior scientist for Electromag-


netic Materials with the Materials and Manufacturing
Directorate of the Air Force Research Laboratory.
Dr. Mitchel has more than thirty years of experience in
semiconductor physics research. He is a fellow of the
American Physical Society and the Air Force Research
Laboratory and is a member of IEEE, MRS and TMS.
His research has covered a wide range of semiconductor
materials, from neutron transmutation doped Si and semi-insulating GaAs
through III-V strained-layer superlattices, GaN-based heterostructures, and
Electrical, Optical, and Structural Studies of InAs/InGaSb VLWIR Superlattices 57

graphene. The emphasis has been on determination of electronic transport


properties, and investigation and identification of electrically active point
defects. His most recent research has been on deep-level defects in semi-
insulating SiC, the electrical transport properties of GaSb/InAs strained-layer
superlattices, AlGaN/GaN heterostructures, and graphene films. He has
authored or coauthored more than 280 journal articles, book chapters, and
conference proceedings.

Heather J. Haugan received her Doctoral degree in physics from the State
University of New York at Buffalo, and is currently a research scientist in
the Materials and Manufacturing Directorate at the Air Force Research
Laboratory. Her research has been focused on the molecular beam
epitaxial growth of novel detector materials such as InAs/Ga(In)Sb
superlattice materials. She has authored/coauthored approximately 60
peer-reviewed journal articles and a book chapter during the last 10 years,
and her papers have been cited over 500 times in leading journals. As an
acknowledged expert in this field, one of her proceeding papers was
highlighted in a review article in the prestigious industry-trade III-V Review
publication in 2006. Her article described significant new accomplishments
in detector materials.

Krishnamurthy Mahalingam received his Ph.D. in


materials engineering from Purdue University and is a
senior research scientist at UES, Inc. His research
expertise is primarily in areas involving transmission
electron microscopy (TEM) and computational model-
ing of materials structure and properties. His current
research is focused on developing quantitative techni-
ques based on aberration corrected (S)TEM for
determining the atomic structure and composition of interfaces in III-V
semiconductor and multi–ferroic-oxide heterostructures. His other areas of
research include investigating plasmonic resonances in metallic nanoparticles
and hybrid III-V nanostructures using STEM/EELS and EFTEM imaging
techniques.
58 Chapter 2

Mu J. Kim received the B.S. degree in electrical


engineering from the University of Washington, Seattle,
WA in 2004 and the M.S. degree in electrical
engineering and electro-optics from the Air Force
Institute of Technology, Wright-Patterson Air Force
Base, OH, in 2012. Captain Kim is currently a
Nanoelectronic Materials Program Manager at the
Materials and Manufacturing Directorate, Air Force
Research Laboratory, Wright-Patterson Air Force Base. Prior to his current
position, he worked as a Lead Test Engineer and managed an Integrated Test
Team, conducting system testing for the Air Force and joint-service
Command and Control acquisition programs at Hanscom Air Force Base,
Massachusetts. His current research interests include optimizing type-II
strained-layer superlattice materials for infrared sensor applications.

Frank Szmulowicz is a theoretical solid state physicist


working for the University of Dayton Research
Institute (UDRI). He received his Ph.D. in solid state
theory from Case Western Reserve University in 1977.
Since 1978, he has been working in the Materials and
Manufacturing Directorate at the Air Force Research
Laboratory through contracts with UDRI, where he is
a leader of the Materials Characterization Group and
holds the title of Distinguished Research Physicist. Dr. Szmulowicz has been
involved in the modeling of the electronic structure and the optical and
electronic properties of semiconductor quantum wells, quantum dots, and
superlattices for opto-electronic device applications such as infrared detectors
and nonlinear optical devices. He is a Fellow of the American Physical Society
and is a recipient of the 1984 and 1996 Wohlleben/Hochwalt Outstanding
Professional Research Awards from the University of Dayton Research
Institute. He has published more than 160 articles in refereed journals and has
contributed to several book chapters. He serves as a cochair of the SPIE
conference on Quantum Dots and Nanostructures.
Chapter 3
InAs/InAs1 xSbx Superlattices
on GaSb Substrates:
A Promising Material System
for Mid- and Long-Wavelength
Infrared Detectors
Elizabeth H. Steenbergen
Air Force Research Laboratory/Materials and Manufacturing Directorate, Wright
Patterson Air Force Base, Ohio, USA
Oray Orkun Cellek, Hua Li, and Shi Liu
School of Electrical, Computer and Energy Engineering, Arizona State University,
Tempe, Arizona, USA
Xiaomeng Shen
School of Engineering for Matter, Transport and Energy, Arizona State University,
Tempe, Arizona, USA
David J. Smith
Department of Physics, Arizona State University, Tempe, Arizona, USA
Yong-Hang Zhang
School of Electrical, Computer and Energy Engineering, Arizona State University,
Tempe, Arizona, USA

3.1 Introduction
3.2 Design
3.3 Growth and Structural Characteristics
3.4 Optical Characteristics
3.5 Infrared Detectors
3.6 Summary
References

59
60 Chapter 3

3.1 Introduction
Semiconductor superlattices (SLs) have intrigued researchers for more than
40 years1,2 due to their electronic properties that reveal the quantum effects
occurring in the periodic structure of nanometer-thin layers of differing
materials. Mid-infrared SLs have advanced from a material of purely
scientific interest to one being practically implemented in devices for
detectors and lasers intended for military target recognition, chemical
detection, and night vision systems because of the advantages over devices
composed of bulk materials. SLs promise larger effective masses, leading to
lower tunneling currents,3 less Auger recombination arising from proper
bandgap engineering,4 longer wavelengths (smaller bandgaps) available than
in the individual materials provided from type-II band alignments, and
varying bandgaps designed by choosing compositions and layer thicknesses.
The advantages of type-II SLs (T2SLs) are expected to lead to lower dark
currents, higher operating temperatures, and greater quantum efficiencies
for infrared detectors.
As one of the many promising antimonide T2SLs, InAs/InAs1 xSbx
T2SLs were proposed for mid-wavelength infrared (MWIR) and long-
wavelength infrared (LWIR) light-emitting diodes, lasers, and photodetec-
tors.5 Although MWIR and LWIR InAs/InAs1 xSbx T2SL structures grown
on InAs substrates6 and GaSb substrates7 were successfully demonstrated in
the 1990s, they were set aside as potential infrared detector materials in favor
of the InAs/InxGa1 xSb SL.4 However, the short minority carrier lifetime, 30
ns,8 of LWIR InAs/InxGa1 xSb T2SLs currently limits the detector
performance as evidenced by higher-than-predicted dark currents9 and
reduced quantum efficiencies.10
Recent measurements using time-resolved photoluminescence (PL) on an
8-mm LWIR InAs/InAs1 xSbx T2SL show that the minority carrier lifetime is
greater than 412 ns at 77 K, more than one order of magnitude greater than
that of LWIR InAs/InxGa1 xSb SLs.11 Shortly after this result was reported,
another order of magnitude improvement in the carrier lifetime was
demonstrated: 9 ms at 77 K for a 5.4-mm MWIR InAs/InAs1 xSbx T2SL.12
The significant improvement in lifetime is mainly due to less Shockley–Read–
Hall (SRH) nonradiative recombination, possibly due to the lack of mid-
bandgap defect energy states related to Ga in the T2SL, and is expected to
improve the detector dark current, quantum efficiency, and operating
temperature. The detailed strain-balanced design, molecular beam epitaxy
(MBE) growth, structural properties from high-resolution x-ray diffraction
(HRXRD) and transmission electron microscopy (TEM), and optical
properties from PL and time-resolved PL are presented in this chapter. The
excellent quality of these T2SL samples exemplifies the promise of the InAs/
InAs1 xSbx SLs for infrared device applications.
InAs/InAs1xSbx Superlattices on GaSb Substrates… 61

3.2 Design
The design of the SL bandgap and the experimental results correlate well for
the InAs/GaSb SL as it has been studied for over 30 years. The InAs/
InAs1 xSbx SL, however, is less studied, and a few critical material parameters
for the SL design have been recently debated. The type-II band alignment
(Fig. 3.1) with the InAs conduction band higher in energy than the InAsSb
conduction band13 16 and the type-II band alignment with the InAsSb
conduction band higher in energy than the InAs conduction band5,6,16,17 have
both been reported. The magnitude of the band offsets for InAs and InAs1 xSbx
must be known, in addition to the band alignment, in order to design the SL
bandgap. The fractional valence-band offsets are defined as
DEc Ec InAs Ec InAsSb
Qc ¼ ¼ , ð3:1Þ
DEg Eg InAs Eg InAsSb

DEv Ev InAsSb Ev InAs


Qv ¼ ¼ , ð3:2Þ
DEg Eg InAs Eg InAsSb

Qc þ Qv ¼ 1, ð3:3Þ
and the signs of the offsets (shown in Fig. 3.1) are based on the band
alignment type. The bandgap bowing of InAs1 xSbx adds an additional
variable that is not present for the InAs/GaSb SL. The InAs1 xSbx bandgap is
written as18
Eg InAsSb ¼ ð1 xÞEg InAs þ xEg InSb xð1 xÞCEg InAsSb , ð3:4Þ

Figure 3.1 Three possible band alignments between InAs and InAs1–xSbx (reprinted from
Ref. 20).
62 Chapter 3

where CEg InAsSb is the bandgap bowing factor. The recommended value for
CEg InAsSb is 0.67 eV,18 but a more recent value of 0.8 eV was found for
relaxed InAs1 xSbx alloys grown on compositionally graded buffer layers.19
The allocation of the bowing between the conduction and valence bands has
been assigned several different values in the literature.20 Figure 3.2 shows the
InAs1 xSbx conduction and valence bands versus x for different bowing
percentages in the valence band CEv bowing when the valence-band energy is
written as20
Ev InAsSb ¼ ð1 xÞEv InAs þ xEv InSb xð1 xÞCEv bowing : ð3:5Þ
Another important aspect of the InAs/InAs1 xSbx SL design is strain
balance, which is achieved in a slightly different manner than that used for the
InAs/GaSb SL. InAs/InAs1 xSbx SLs are strain balanced on GaSb by
choosing appropriate combinations of layer thicknesses and InAs1 xSbx alloy
compositions as calculated with the zero-stress method,21 which takes the
elastic constants of the layers into account. In order to achieve high-quality
material with low misfit dislocation densities, the critical thicknesses22 of InAs
and InAs1 xSbx on GaSb are used as the limits for the layer thicknesses in the
strain-balanced SL designs. GaSb is the ideal substrate for strain balancing
InAs/InAs1 xSbx SLs because its lattice constant is between that of the two
layers, eliminating the need for complicated, compositionally graded buffer

Figure 3.2 InAs1–xSbx conduction and valence bands calculated at 0 K with an InAs/
InSb valence-band offset of 0.59 eV, CEg_InAsSb of 0.67 eV, and different scenarios for the
InAs1–xSbx bandgap bowing distribution between the conduction and valence bands, which
can result in different band-edge alignments of InAs/InAs1–xSbx heterojunctions (reprinted
from Ref. 20). (See color plate section.)
InAs/InAs1xSbx Superlattices on GaSb Substrates… 63

layers and thus simplifying the growth process. Unlike the InAs/GaSb SL, it is
not necessary to control the interface between the two layers during the
growth of the InAs/InAs1 xSbx SL to achieve high-quality strain-balanced
materials.
To study the valence-band offsets and valence-band bowing at higher
Sb mole fractions of x ¼ 0.28–0.40, a set of InAs/InAs1 xSbx SL samples
were designed, starting with the type-II (Fig. 3.1) alignment with Ev InAs ¼
–0.59 eV,18 Ev InSb ¼ 0 eV,18 and CEv bowing ¼ –0.65 eV.23 The InAs, InSb,
and InAs1 xSbx material parameters were primarily taken from Ref. 18.
A three-band envelope-function approximation model,24 which includes coupling
between the electrons, light holes, and spin-orbit split-off holes, is used to
calculate the SL effective bandgap, defined as the electron-to-heavy-hole
transition energy.
The first set of InAs/InAs1 xSbx SL samples (see Table 3.1) was grown by
MBE on undoped 2-in GaSb substrates with 500-nm GaSb buffer layers at
IQE, Inc. The SLs consist of the number of periods necessary for a total
thickness of 500 nm, are unintentionally doped n-type, and are capped with
10 nm of pþ InAs. AlSb barriers,10 nm in thickness, above and below the SL
minimize surface recombination and therefore increase the PL signal intensity.
PL measurements were made with a Fourier-transform infrared (FTIR)
spectroscopy system using the double-modulation technique. The samples
were measured at 12 K in a cryostat with a ZnSe window and optically excited
with a 780-nm laser diode modulated at 50 kHz with an average power density
on the order of 10 W/cm2. The PL signal was collected with gold-coated
parabolic mirrors and analyzed with an FTIR system equipped with a 15-mm
HgCdTe detector. The PL results are shown in Fig. 3.3.
The same model used to design the samples was employed to fit the
measured PL peak positions using various valence-band offsets CEg InAsSb and
CEv bowing values as fitting parameters. Over the range of x ¼ 0.28–0.40,
CEv bowing was found to vary linearly with x, as shown in Fig. 3.4, for both

Table 3.1 Summary of the InAs/InAs1–xSbx SL samples grown by MBE at IQE, Inc. and
their peak PL emission wavelengths at 12 K (from Ref. 20).

Sample x  0.01 SL period 0.5 (nm) PL peak (mm)

A (27) 0.34 8.5 5.1


B (29) 0.37 8.4 5.4
C (30) 0.39 8.6 5.7
D (31) 0.35 10.7 6.2
E (32) 0.35 9.5 5.8
F (33) 0.28 24.5 7.7
G (43) 0.40 7.3 5.2
H (44) 0.30 18.0 7.2
I (60) 0.35 9.8 5.9
64 Chapter 3

Figure 3.3 Normalized PL spectra of the InAs/InAs1–xSbx SL samples summarized in


Table 3.1, with x ¼ 0.28–0.40 at 12 K (reprinted from Ref. 20). (See color plate section.)

Figure 3.4 The calculated InAs1–xSbx bandgap bowing attributed to the valence band for
CEg_InAsSb ¼ 0.67 eV (solid symbols) and for CEg_InAsSb ¼ 0.80 eV (open symbols) for the
IQE samples studied here and two sets of samples from Refs. 25 and 26. The model used
Ev_InAs ¼ –0.59 eV and Ev_InSb ¼ 0 eV (reprinted from Ref. 20).
InAs/InAs1xSbx Superlattices on GaSb Substrates… 65

CEg InAsSb ¼ 0.67 eV (solid symbols) and 0.80 eV (open symbols), with the
magnitude of CEv bowing decreasing as x increased. The CEv bowing values that
were fit using CEg InAsSb ¼ 0.80 eV (open symbols) are slightly larger than
those fit using CEg InAsSb ¼ 0.67 eV (solid symbols) with the discrepancy
increasing as x increases. The linear fits for CEv bowing for the sample set
studied here are shown extended to x ¼ 0.05 in Fig. 3.4 for CEg InAsSb ¼ 0.67 eV
(CEv bowing ¼ 1.58x – 0.62 eV as a dash-dot line) and for CEg InAsSb ¼ 0.80 eV
(CEv bowing ¼ 1.76x – 0.65 eV as a dotted line). Also shown are calculated
CEv bowing values for two sets of sample structures from the literature: MBE-
grown structures on InAs substrates25 and MOCVD-grown structures on GaSb
substrates.26 Although there is more spread in data from the literature,25,26 the
CEv bowing values lie reasonably along the line calculated for the data presented
here.20
The results are shown in terms of the fractional valence-band offset
Qv [Eq. (3.2)] for InAs and InAs1 xSbx strained on the substrate in Fig. 3.5 for
the present set of samples and for Liu25 and Lackner26 samples for the cases of
CEg InAsSb ¼ 0.67 eV (solid symbols) and CEg InAsSb ¼ 0.80 eV (open
symbols). Together, all three sets of samples cover the InAs1 xSbx
composition range of x ¼ 0.07–0.40. The best fitting Qv values for the three
sets are shown in Table 3.2 for the unstrained- and strained-on-the-substrate
cases. The results agree with each other within experimental error, with the
IQE set covering x ¼ 0.28–0.40 giving the lowest value and the least variance.

Figure 3.5 The fractional valence-band offset Qv versus x for InAs/InAs1–xSbx, strained on
the substrate, for the IQE samples studied here and two sets of samples from Refs. 25 and
26 (reprinted from Ref. 20).
66 Chapter 3

Table 3.2 Summary of the InAs/InAs1–xSbx fractional valence-band offset Qv for three sets
of SL structures (from Ref. 20).

Sample Set Qv DEv /DEg

CEv_bowing 0.67 eV CEv_bowing 0.80 eV

Strained on the substrate

Liu25 1.82  0.07 1.54  0.06


Lackner26 1.86  0.14 1.57  0.12
IQE grown20 1.75  0.03 1.45  0.02
Unstrained

Liu 25
1.26  0.06 1.09  0.06
Lackner26 1.25  0.09 1.08  0.08
IQE grown20 1.03  0.03 0.87  0.03

The Qv values for CEg InAsSb ¼ 0.80 eV (open symbols) are 0.30 less than
those for CEg InAsSb ¼ 0.67 eV (solid symbols). However, the calculated
fractional valence-band offset is observed to be fairly constant versus x for
both values of InAs1 xSbx bandgap bowing using the current model for the
range of samples studied. Therefore, designing InAs/InAs1 xSbx SLs using the
type-II alignment and Qv parameter is simpler than using the CEv bowing
parameter. The calculated bowing in the InAs1 xSbx valence band and the
fractional valence-band offset parameter seem to depend on the growth
conditions, resulting in the variation in the data shown in Figs. 3.4 and 3.5 for
the samples grown by three different machines. It should be noted that the
model used here assumes abrupt interfaces between the two SL layers. In
reality, As and Sb intermixing occurs during the SL growth and results in
compositional grading at the interfaces. The present calculations do not take
into account any interface grading or layers.
The experimental unstrained Qv parameter, 1.03, along with CEg InAsSb ¼
0.67 eV, was used to examine the trend of the SL bandgap versus the SL
layers’ thicknesses and the InAs1 xSbx composition, as shown in Fig. 3.6.
Within the composition range of x ¼ 0.30–0.45, InAs/InAs1 xSbx SL designs
are shown to cover the entire MWIR and LWIR ranges. For a given x,
smaller bandgaps (or longer wavelengths) result from thicker layers, but the
overlap between the electron and heavy-hole wavefunctions decreases with
increasing layer thicknesses. The absorption coefficient is proportional to the
square of the wavefunction overlap (shown in Fig. 3.7) and the density of
states, so larger wavefunction overlaps are desirable. To optimize the
wavefunction overlap for a particular bandgap, the layers should be thin with
larger x, but material growth becomes more challenging as x increases due to
the competition between arsenic and antimony for incorporation into the film.
To optimize the absorption coefficient, a tradeoff must occur between thin
InAs/InAs1xSbx Superlattices on GaSb Substrates… 67

Figure 3.6 Calculated effective bandgaps lg covering the MWIR and LWIR, for strain-
balanced type-II InAs/InAs1–xSbx SLs on GaSb substrates for four different InAs1–xSbx
compositions.

Figure 3.7 Calculated square of the electron and heavy-hole wavefunction overlap for
different strain-balanced type-II InAs/InAs1–xSbx SL designs having bandgaps equivalent to
8, 10, and 12 mm.

layers for large wavefunction overlaps and thicker layers for increased density
of states. More detailed calculations incorporating the density of states and the
wavefunction overlap are necessary to properly maximize the SL absorption at
a particular bandgap. The wavefunction overlap is inherently lower for the
longer-wavelength designs due to the larger x resulting in larger valence-band
68 Chapter 3

offsets and increased heavy-hole confinement. Figures 3.6 and 3.7 show the SL
bandgap and overlap saturating for very thin layers. This is due to the material
approaching an alloy for such thin layers.

3.3 Growth and Structural Characteristics


InAs/InAs1 xSbx SLs have been grown both by MBE and metalorganic
vapor deposition (MOCVD) on GaSb substrates. A recent study of both InAs/
GaSb and InAs/InAs1 xSbx SLs grown by MOCVD found the InAs/InAs1 xSbx
SL more suitable for MOCVD growth than the InAs/GaSb SL.27 The InAs/GaSb
SL required two interfacial layers, 1 ML InAs0.90Sb0.10 þ 1 ML In0.20Ga0.80Sb,
at both interfaces to control the strain, while the InAs/InAs1 xSbx SL was
strain balanced simply by the layer thicknesses without any interfacial
control.27 MOCVD growth may enable lower-cost production of infrared
detectors, although the cost of MBE growth is becoming competitive with that
of MOCVD.
The second set of InAs/InAs1 xSbx SL samples grown by MBE at Arizona
State University (ASU) has bandgap energies corresponding to 4–12 mm.28 The
layer thicknesses, Sb compositions x for the InAs1 xSbx layers, and the PL peak
positions of these samples at 12 K are summarized in Table 3.3. The MBE
growth of these samples was carried out in the III-V chamber of a VG V80H
dual-chamber MBE system. The substrate temperature was monitored using
an IRCON Modline3 infrared pyrometer. CreaTec-EMERALT MBE control
software simultaneously controlled the shutter operation, temperature ramps,
and As and Sb cracking-cell valve positions. The SL layers were grown at
substrate temperatures of 400–450 8C, depending on the Sb composition
desired in the InAs1 xSbx layers. The schematic of the sample structure is
shown in Fig. 3.8 and consists of a 400-nm GaSb buffer grown on a GaSb
substrate, a 1-mm thick InAs/InAs1 xSbx SL inserted between two 10-nm AlSb
barriers, and a 10-nm thick GaSb cap layer.

Table 3.3 The structural and composition parameters of SL samples grown by MBE at
ASU and their peak PL emission wavelengths at 12 K (from Ref. 28).

Sample InAs thickness InAs1 xSbx x  0.01 lp (mm)


0.5 (nm) thickness  0.5 (nm)

1 (B1761) 8.1 2.4 0.14 4.0


2 (B1789) 4.7 1.4 0.46 5.5
3 (B1774) 9.3 2.7 0.36 7.4
4 (B1769) 8.3 2.6 0.34 7.5
5 (B1772) 8.5 2.5 0.38 7.8
6 (B1776) 18.8 5.5 0.31 9.5
7 (B1775) 15.4 4.5 0.35 9.8
8 (B1778) 18.9 5.5 0.36 12.1
9 (B1811) 18.9 5.5 0.34 12.9
InAs/InAs1xSbx Superlattices on GaSb Substrates… 69

Figure 3.8 Epilayer structure of the PL samples grown on GaSb substrates by MBE at
ASU and detailed in Table 3.3 (reprinted from Ref. 28).

Structural characterization of the ASU-grown samples was carried out


using high-resolution XRD and TEM. Figure 3.9 shows the measured
XRD patterns of InAs/InAs1 xSbx SL samples (Samples 3, 7, 8) with
similar Sb compositions (x  0.36) but with different periods (12, 20, and
24 nm). A larger SL period results in a smaller separation of the satellite
peaks. The FWHMs of the zero-order SL (SL0) peaks confirm the
repeatability of the structural quality of the SLs with different periods. The
SL0-peak FWHMs of the samples sequentially grown during the growth
campaign are plotted in Fig. 3.10. After only 5 MBE runs from the
beginning of the campaign, the FWHM improved to 20 arcsec, which is
limited by the resolution of the XRD measurement. The 20-arcsec SL0
FWHM result is comparable with the best results for InAs/InxGa1 xSb SLs
reported in the literature.29 Figure 3.11 illustrates an example of the SL
being perfectly strain balanced on the GaSb substrate. For the IQE
samples listed in Table 3.1, the XRD SL0 FWHMs are 30–40 arcsec, and
atomic force microscopy (AFM) scans revealed RMS roughness of 1.6–2.7
Å for 20  20 mm2 areas. Electron microscopy also showed the excellent
structural quality of these ASU-grown SL samples, with no growth imperfections
visible across distances measuring many microns. Figure 3.12 shows examples
of electron micrographs of representative cross sections of the same three
samples measured in Fig. 3.9. Overall, the XRD, AFM, and TEM results
confirm that high-structural-quality InAs/InAs1 xSbx SLs on GaSb substrates
can be achieved in relatively few growth runs regardless of the group, MBE
machine, or growth recipe.
70 Chapter 3

Figure 3.9 HRXRD patterns of the ASU-grown InAs/InAs1–xSbx SLs with x  0.36 and
periods of 12, 20, and 24 nm (reprinted from Ref. 28).

Figure 3.10 Improvement in the SL zero-order peak FWHM to 20 arcsec during an ASU
growth campaign. The FWHM was measured with HRXRD (reprinted from Ref. 28).

3.4 Optical Characteristics


The FTIR spectrometer double-modulation technique was used to character-
ize the PL of SLs with peak positions greater than 5 mm in order to
discriminate the PL signal from the room-temperature background blackbody
radiation signal. The pumping laser, either 532 nm or 780 nm, was modulated
InAs/InAs1xSbx Superlattices on GaSb Substrates… 71

Figure 3.11 HRXRD (004 and 113) patterns for ASU-grown InAs/InAs1–xSbx SL Sample 5
showing the SL0 peak overlapping the substrate peak, indicating perfect strain balance of
the SL on the GaSb substrate.

Figure 3.12 Electron micrographs showing typical cross sections of the ASU-grown InAs/
InAs1–xSbx SLs with x  0.36 and periods of (a) 12 nm, (b) 20 nm, and (c) 24 nm.
72 Chapter 3

Figure 3.13 PL spectra of the ASU T2SL structures covering the MWIR and LWIR bands
at 12 K. The measurements were carried out under identical conditions, and the same
order of magnitude PL intensity is conserved in all wavelength ranges, showing the
excellent optical properties of the samples and reproducibility of the MBE growths
(reprinted from Ref. 28). (See color plate section.)

at 50–60 kHz, and the PL signal was collected and collimated using parabolic
gold-coated mirrors and then detected by an mercury cadmium telluride
(MCT) detector.
Figure 3.13 shows the PL spectra covering 4–12 mm for the samples
listed in Table 3.3 measured under identical conditions at 12 K. The
samples with similar Sb compositions but increasing periods (Samples 5, 3, 8)
have longer peak wavelengths and smaller wavefunction overlaps, as
expected. The samples with similar periods but increasing Sb compositions
(Samples 1, 4, 5 and Samples 6, 8; the two groups have similar periods
within the group but different periods between the groups) also have longer
peak wavelengths. The PL spectra of Samples 6 and 7 illustrate that a larger
Sb composition with a smaller period can increase the wavefunction overlap
for designs of similar peak wavelengths, or bandgaps, agreeing with the
modeling results.
For thermally activated nonradiative recombination mechanisms, smaller
bandgaps result in higher nonradiative recombination rates, which lower the
PL intensity. Decreasing PL peak intensity with decreasing SL bandgap was
reported for InAs/InxGa1 xSb SLs with an x-axis intercept of 11.0 mm at
77 K.30 Figure 3.14 also shows a decrease in the total number of integrated PL
photons with increasing wavelength with an estimated x-axis intercept of
14.6 mm at 12 K. This qualitative discussion suggests that the optical quality
of these samples is conserved over the range of 4–12 mm. However, the
InAs/InAs1xSbx Superlattices on GaSb Substrates… 73

Figure 3.14 Integrated PL photon intensity versus PL peak wavelength dependence at


12 K temperature (reprinted from Ref. 28).

detailed balance between the radiative and nonradiative recombination


mechanisms needs further study.
The normalized PL spectra for the IQE samples listed in Table 3.1 are
shown in Fig. 3.3. The PL peaks cover 5–7.7 mm at 12 K. The temperature-
dependent PL spectra for samples F and B are shown in Fig. 3.15(a) and (b),
respectively.31 The peak energy position versus temperature is also plotted and
fit with the Varshni and Fan32 equations for sample F, showing agreement
with the expected bandgap versus temperature behavior.
The normalized integrated intensity of the spectra is plotted versus
temperature for samples F and H in Fig. 3.16(a) and samples B and G in
Fig. 3.16(b). The data above 60 K in Fig. 3.16(a) fit well to a 1/T2 curve,
which is indicative of SRH recombination.33 The normalized integrated
intensity versus temperature in Fig. 3.16(b) cannot be described by the 1/T2
form. Instead, the intensity is quite constant below 60 K and drops almost
linearly above 60 K. The constant temperature dependence at low
temperatures suggests that radiative recombination dominates33 below 60 K.
Time-resolved PL (TRPL) measurements were performed on the IQE SL
samples in Table 3.1 from 11 to 250 K.11 The PL lifetimes for samples F and
H [Fig. 3.17(a)] are observed to increase from low temperature (11 K) to a
maximum of 412 ns at 77 K for sample F and of 358 ns at 110 K for sample H.
The lifetime of sample H saturates at the two lowest temperatures measured.
These lifetimes are an order-of-magnitude longer than the SRH-limited
lifetime of 30 ns that was previously observed in LWIR InAs/InxGa1 xSb SL
absorber layers8,19,34 at 77 K. At temperatures below 77 K, the PL lifetime
increases with increasing temperature, indicating that the lifetime is
dominated by radiative recombination and that the radiative lifetime is
shorter than the nonradiative (SRH or Auger) lifetime. At temperatures above
74 Chapter 3

Figure 3.15 Temperature-dependent spectral PL data for (a) sample F and (b) sample B
from Table 3.1 (reprinted from Ref. 31). (See color plate section.)

150 K, the PL lifetime decreases sharply with increasing temperature,


signifying that nonradiative recombination is dominating. Around 77–150 K,
both radiative and nonradiative recombination contribute significantly to the
lifetime.
The PL lifetimes for samples B and G [Fig. 3.17(b)] behave differently
from those in Fig. 3.17(a). The lifetime is observed to decrease sharply from
low temperature (11 K) to a minimum at 60 K and then slowly increase to a
local maximum of 304 ns for sample B and of 288 ns for sample G at 200 K.
At temperatures above 200 K, the PL lifetime decreases with increasing
temperature, again signifying that nonradiative recombination is dominating.
InAs/InAs1xSbx Superlattices on GaSb Substrates… 75

Figure 3.16 Temperature-dependent normalized integrated PL intensity for samples (a) F


and H and (b) B and G from Table 3.1 (reprinted from Ref. 31).

The decrease in lifetime as temperature is decreased from the local maximum


at  200 K is most likely due to radiative recombination, as it was in Fig. 3.17(a).
However, the increase in lifetime as temperature is decreased from the local
minimum at 60 K seems to contradict the expected behavior for radiative-
dominant recombination at low temperature. To gain further insight, the spectral
PL results are combined with these lifetime results.
Radiative-dominant recombination at temperatures below 77 K for
samples F and H is suggested by the decreasing lifetime measured as
temperature is decreased from a local maximum [see Fig. 3.17(a)] and
supported by the deviation from the 1/T2, SRH-dominant behavior seen in
Fig. 3.16(a) at temperatures below 77 K. At temperatures above the local
maximum, the lifetime decreases as temperature is increased, and that
behavior can be attributed to nonradiative recombination. Meanwhile,
76 Chapter 3

Figure 3.17 Temperature-dependent lifetime data for samples (a) F and H and (b) B and G
from Table 3.1. The data points are connected with a spline to guide the eye (reprinted from
Ref. 31).

samples B and G show similar behavior in the lifetime data [see Fig. 3.17(b)]
for temperatures above 60 K: there is a local maximum around 200 K, from
which the lifetime decreases toward high temperature due to nonradiative
recombination and decreases toward low temperature due to radiative
recombination. The temperature of that local maximum varies with the SL
period and the calculated wavefunction overlap, as an increased overlap
(decreased SL period) results in a stronger contribution from radiative
recombination and therefore observation of radiative-dominant behavior at
higher temperatures. Samples F and H have periods of 245 Å and 180 Å,
InAs/InAs1xSbx Superlattices on GaSb Substrates… 77

respectively, and calculated wavefunction overlaps of 0.26 and 0.37,


respectively. Samples B and G have SL periods that are considerably smaller,
73 Å and 107 Å, half that of sample F, with overlaps of 0.51 and 0.63,
respectively, twice that of samples F and H.
At temperatures below 60 K, samples B and G show an unanticipated
behavior: the carrier lifetime is seen to increase rapidly as temperature
decreases. Given that the integrated PL data in Fig. 3.16(b) suggests radiative
recombination in this temperature region, this trend is unexpected. This
behavior has been observed in other material systems. In p-type bulk
HgCdTe, the radiative lifetime at low temperatures has been seen to increase
exponentially due to background carrier freezeout.35 However, Hall
measurements on the n-type T2SL samples studied here demonstrate that
the background carriers do not freeze out in the in-plane direction at low
temperatures. It has been shown that at the lowest temperatures investigated,
the SL holes primarily move in-plane rather than in the growth direction.36
The localization of holes in the growth direction results in a lower
wavefunction overlap with electrons and lowers the probability of holes
recombining with electrons. The lower recombination rate due to carrier
localization in the vertical direction could explain the increased radiative
lifetime at low temperature.

3.5 Infrared Detectors


Infrared detectors made of InAs/InAs1 xSbx SLs on GaSb substrates are still
in the early stage of development. The uncalibrated spectral response of an
LWIR pn diode photodetector consisting of a 1-mm thick strain-balanced
InAs/InAs0.79Sb0.21 SL on GaSb revealed an 8.3-mm bandgap at 77 K,
20 meV smaller than the calculated bandgap.37 A MWIR pn diode
photodetector reported a 5.4-mm cutoff wavelength at 77 K using a 1-mm
thick InAs/InAs0.65Sb0.35 SL on GaSb and PR up to 210 K.38 However, the
dark current was not as low as expected. The nBn structure, which can help
lower the dark current due to the majority carrier barrier but also requires a
larger turn-on voltage, was used with a 13.2-mm cutoff, 2.2-mm thick InAs/
InAs0.62Sb0.38 SL, but this detector suffered from low quantum efficiency.39
The InAs/InAs1 xSbx SLs material properties are promising, but more work
is required in the future for infrared detectors to realize the advantages that
the material offers.

3.6 Summary
InAs/InAs1 xSbx SLs being promising for longer carrier lifetimes but less
studied than InAs/GaSb SLs required an investigation of the material
parameters to properly design the strain-balanced SL bandgap. A model was
78 Chapter 3

developed to predict the InAs/InAs1 xSbx SL bandgap and refined with the
experimental results. For the range of x ¼ 0.28–0.40, the fractional valence-
band offset between InAs and InAs1 xSbx strained on GaSb was 1.75  0.03,
and the amount of bowing in the InAs1 xSbx valence band varied linearly with
x. To optimize the wavefunction overlap for a particular bandgap, the layers
should be thin with larger x; however, the wavefunction overlap is inherently
lower for longer-wavelength designs due to larger x resulting in larger valence-
band offsets and increased heavy-hole confinement.
The InAs/InAs1 xSbx SLs grown by MBE on GaSb substrates achieved
high structural quality, as indicated by HRXRD, in relatively few growth
runs compared to the InAs/Ga Sb SL. PL confirmed that SL bandgaps from
4 to 12 mm are easily attained with the InAs/InAs1 xSbx material system.
Temperature-dependent PL and TRPL indicated an order of magnitude
increase in the carrier lifetime and that radiative recombination dominated the
carrier lifetime at low temperatures. Some infrared detectors composed of
InAs/InAs1 xSbx SLs have been reported, but more work is required for the
infrared detector properties to improve so that the advantages offered by this
material are realized. Better understanding of the cause of the longer lifetime
of InAs/InAs1 xSbx SLs will enable further improvements in the material and
thus the detectors.

References
1. L. Esaki and R. Tsu, “Superlattice and negative differential conductivity
in semiconductors,” IBM J. Res. Dev. 14, 61–5 (1970).
2. G. Sai-Halasz, R. Tsu, and L. Esaki, “A new semiconductor superlattice,”
Appl. Phys. Lett. 30, 651–3 (1977).
3. D. L. Smith, T. C. McGill, and J. N. Schulman, “Advantages of the
HgTe-CdTe superlattice as an infrared detector material,” Appl. Phys.
Lett. 43, 180–2 (1983).
4. D. H. Chow, R. H. Miles, J. N. Schulman, D. A. Collins, and T. C. McGill,
“Type II superlattices for infrared detectors and devices,” Semicond. Sci.
Technol. 6, C47–C51 (1991).
5. Y.-H. Zhang, “InAs/InAsxSb1 x Type-II Superlattice Midwave Infrared
Lasers,” in Optoelectronic Properties of Semiconductors and Superlattices,
Vol. 3: Antimonide-Related Strained-Layer Heterostructures, M. O. Manasreh,
Ed., Gordon and Breach Science Publishers, Amsterdam, 461–500 (1997).
6. Y.-H. Zhang, “Continuous wave operation of InAs/InAsxSb1 x mid-
infrared lasers,” Appl. Phys. Lett. 66(2), 118 (1995).
7. A. Y. Lew, E. T. Yu, and Y. H. Zhang, “Atomic-scale structure of InAs/
InAs1 xSbx superlattices grown by modulated molecular beam epitaxy,”
J. Vac. Sci. Technol. B 14(4), 2940 (1996).
InAs/InAs1xSbx Superlattices on GaSb Substrates… 79

8. B. C. Connelly, G. D. Metcalfe, H. Shen, and M. Wraback, “Direct


minority carrier lifetime measurements and recombination mechanisms in
long-wave infrared type-II superlattices using time-resolved photo-
luminescence,” Appl. Phys. Lett. 97, 251117 (2010).
9. J. E. Hubbs, V. Nathan, M. Z. Tidrow, and M. Razeghi, “Radiometric
characterization of long-wavelength infrared type II strained layer
superlattice focal plane array under low-photon irradiance conditions,”
Opt. Eng. 51(6), 064002 (2012) [doi: 10.1117/1.OE.51.6.064002].
10. D. R. Rhiger, “Performance comparison of long-wavelength infrared type
II superlattice devices with HgCdTe,” J. Electron. Mater. 40(8), 1815
(2011).
11. E. H. Steenbergen, B. C. Connelly, G. D. Metcalfe, H. Shen, M. Wraback,
D. Lubyshev, Y. Qiu, J. M. Fastenau, A. W. K. Liu, S. Elhamri,
O. O. Cellek, and Y.-H. Zhang, “Significantly improved minority
carrier lifetime observed in a long-wavelength infrared III-V type-II
superlattice comprised of InAs/InAsSb,” Appl. Phys. Lett. 99, 251110
(2011).
12. B. V. Olson, E. A. Shaner, J. K. Kim, J. F. Klem, S. D. Hawkins, L. M. Murray,
J. P. Prineas, M. E. Flatte, and T. F. Boggess, “Time-resolved optical
measurements of minority carrier recombination in a mid-wave infrared
InAsSb alloy and InAs/InAsSb superlattice,” Appl. Phys. Lett. 101,
092109 (2012).
13. Y. B. Li, D. J. Bain, L. Hart, M. Livingstone, C. M. Ciesla, M. J. Pullin,
P. J. P. Tang, W. T. Yuen, I. Galbraith, C. C. Phillips, C. R. Pidgeon, and
R. A. Stradling, “Band alignments and offsets in In(As,Sb)/InAs
superlattices,” Phys. Rev. B 55, 4589 (1997).
14. M. J. Pullin, P. J. P. Tang, S. J. Chung, C. C. Phillips, R. A. Stradling,
A. G. Norman, Y. B. Li, and L. Hart, “300 K light emitting devices for
the 3-10 mm band from arsenic rich InAs/InAs1 xSbx strained layer
superlattices,” in Proc. Seventh Int. Conf. Narrow Gap Semiconductors
1995, 8–12 (1995).
15. P. J. P. Tang, M. J. Pullin, Y. B. Li, C. C. Phillips, R. A. Stradling, S. J. Chung,
W. T. Yuen, L. Hart, D. J. Bain, and I. Galbraith, “A magneto-
photoluminescence investigation of the band offset between InAs and
arsenic-rich InAs1 xSbx alloys,” Appl. Phys. Lett. 69, 2501 (1996).
16. Y. B. Li, R. A. Stradling, A. G. Norman, P. J. P. Tang, S. J. Chung, and
C. C. Phillips, “Band offsets for InAs1–xSbx/InAs1 ySby strained layer
superlattices derived from interband magneto-optical studies,” in Proc.
22nd Int. Conf. Physics of Semiconductors, 1496–9 (1995).
17. S.-H. Wei and A. Zunger, “InAsSb/InAs: a type-I or a type-II band
alignment,” Phys. Rev. B 52, 12039 (1995).
80 Chapter 3

18. I. Vurgaftman, J. R. Meyer, and L. Ram-Mohan, “Band parameters for


III-V compound semiconductors and their alloys,” J. Appl. Phys. 89, 5815
(2001).
19. G. Belenky, G. Kipshidze, D. Donetsky, S. P. Svensson, W.L. Sarney, H.
Hier, L. Shterengas, D. Wang, and Y. Lin, “Effects of carrier
concentration and phonon energy on carrier lifetime in type-2 SLS and
properties of InAs1 xSbx alloys,” Proc. SPIE 8012, 80120W (2011) [doi:
10.1117/12.883625].
20. E. H. Steenbergen, O. O. Cellek, D. Lubyshev, Y. Qiu, J. M. Fastenau,
A. W. K. Liu, and Y.-H. Zhang, “Study of the valence band offsets
between InAs and InAs1 xSbx alloys,” Proc. SPIE 8268, 82680K (2012)
[doi: 10.1117/1 2.907101].
21. N. Ekins-Daukes, K. Kawaguchi, and J. Zhang, “Strain-balanced criteria
for multiple quantum well structures and its signature in x-ray rocking
curves,” Cryst. Growth & Des. 2, 287–292 (2002).
22. J. W. Matthews and A. E. Blakeslee, “Defects in epitaxial multilayers,”
J. Cryst. Growth 27, 118–125 (1974).
23. C.-J. Wu, G. Tsai, and H.-H. Lin, “Band alignment of InAs1 xSbx (0.05 <x <
0.13)/InAs0.67P0.23Sb0.10 heterostructures,” Appl. Phys. Lett. 94, 211906 (2009).
24. F. Szmulowicz, E. R. Heller, K. Fisher, and F. L. Madarasz,
“Optimization of absorption in InAs/InxGa1 xSb superlattices for long-
wavelength infrared detection,” Superlattice Microst. 17, 373 (1995).
25. P.-W. Liu, G. Tsai, H. H. Lin, A. Krier, Q. D. Zhuang, and M. Stone,
“Photoluminescence and bowing parameters of InAsSb/InAs multiple
quantum wells grown by molecular beam epitaxy,” Appl. Phys. Lett. 89,
201115 (2006).
26. D. Lackner, O. J. Pitts, M. Steger, A. Yang, M. L. W. Thewalt, and S. P. Watkins,
“Strain balanced InAs/InAsSb superlattice structures with optical
emission to 10 mm,” Appl. Phys. Lett. 95, 081906 (2009).
27. Y. Huang, J.-H. Ryou, R. D. Dupuis, V. R. D’Costa, E. H. Steenbergen,
Fan, Y.-H. Zhang, A. Petschke, M. Mandl, and S.-L. Chuang, “Epitaxial
growth and characterization of InAs/GaSb and InAs/InAsSb type-II
superlattices on GaSb substrates by metalorganic chemical vapor
deposition for long wavelength infrared photodetectors,” J. Cryst. Growth
314, 92–6 (2011).
28. O. O. Cellek, H. Li, X.-M. Shen, Z. Lin, E. H. Steenbergen, D. Ding, S. Liu,
Q. Zhang, H. S. Kim, J. Fan, M. DiNezza, W. H. G. Dettlaff, P. T. Webster,
Z. He, J.-J. Li, S. R. Johnson, D. J. Smith, and Y.-H. Zhang, “InAs/InAsSb
type-II superlattice: a promising material for mid-wavelength and long-
wavelength infrared applications,” Proc. SPIE 8353, 83533F (2012) [doi:
10.1117/12.925076].
InAs/InAs1xSbx Superlattices on GaSb Substrates… 81

29. L. Zheng, M. Tidrow, S. Bandara, L. Aitcheson, and T. Shih, “Update on


III-V antimonide based superlattice FPA development and material
characterization,” Proc. SPIE 8012, 80120S (2011) [doi: 10.1117/
12.888093].
30. L. Höglund, A. Khoshakhlagh, A. Soibel, D. Z. Ting, C. J. Hill, S. Keo,
and S. Gunapala, “Photoluminescence study of long wavelength super-
lattice infrared detectors,” Proc. SPIE 8155, 81550M (2011) [doi: 10.1117/
12.894294].
31. E. H. Steenbergen, B. C. Connelly, G. D. Metcalfe, H. Shen, M. Wraback, D.
Lubyshev, Y. Qiu, J. M. Fastenau, A. W. K. Liu, S. Elhamri, O. O. Cellek,
and Y.-H. Zhang, “Temperature-dependent minority carrier lifetimes of
InAs/InAs1 xSbx type-II superlattices,” Proc. SPIE 8512, 85120L (2012) [doi:
10.1117/12.930949].
32. I. A. Vainshtein, A. F. Zatsepin, and V. S. Kortov, “Applicability of the
empirical Varshni relation for the temperature dependence of the width of
the band gap,” Phys. Solid State 41, 905–908 (1999).
33. C. L. Canedy, W. W. Bewley, C. S. Kim, M. Kim, I. Vurgaftman, and
J. R. Meyer, “Dependence of type II ‘W’ mid-infrared photoluminescence
and lasing properties on growth conditions,” J. Appl. Phys. 94, 1347
(2003).
34. J. Pellegrino and R. Dewames, “Minority carrier lifetime characteristics in
type II InAs/GaSb LWIR superlattice nþpþ photodiodes,” Proc. SPIE
7298, 72981U (2009) [doi: 10.1117/12.819641].
35. S. E. Schacham and E. Finkman, “Recombination mechanisms in p-type
HgCdTe: Freezeout and background flux effects,” J. Appl. Phys. 57, 2001
(1985).
36. J. Nguyen, D. Z. Ting, C. J. Hill, A. Soibel, S. A. Keo, and S. D. Gunapala,
“Dark current analysis of InAs/GaSb superlattices at low temperatures,”
Infrared Phys. Technol. 52, 317–321 (2009).
37. D. Lackner, M. Steger, M. L. W. Thewalt, O. J. Pitts, Y. T. Cherng, S. P. Watkins,
E. Plis, and S. Krishna, “InAs/InAsSb strain balanced superlattices for optical
detectors: Material properties and energy band simulations ,” J. Appl. Phys. 111,
034507 (2012).
38. T. Schuler-Sandy, S. Myers, B. Klein, N. Gautam, P. Ahirwar, Z.-B. Tian,
T. Rotter, G. Balakrishnan, E. Plis, and S. Krishna, “Gallium free type II
InAs InAsSb superlattice photodetectors,” Appl. Phys. Lett. 101, 071111
(2012).
39. H. S. Kim, O. O. Cellek, Z.-Y. Lin, Z.-Y. He, X.-H. Zhao, S. Liu, H. Li,
and Y.-H. Zhang, “Long-wave infrared nBn photodetectors based on
InAs/InAsSb type-II superlattices ,” Appl. Phys. Lett. 101, 161114 (2012).
82 Chapter 3

Elizabeth Steenbergen received her Ph.D. in electrical


engineering in 2012 from Arizona State University
(ASU) and received the Palais Outstanding Doctoral
Student Award from the ASU Electrical Engineering
department. She joined the Air Force Research
Laboratory where her research focus is type-II super-
lattices for infrared detection and infrared photolumi-
nescence characterization of quantum semiconductor
materials. She was a finalist for the AFRL/RX Cleary Scientific Award for
outstanding scientific achievement in 2013. She has authored or coauthored
1 book chapter, 15 refereed journal papers and refereed proceeding papers,
5 U.S. patents, and 30 invited and contributed conference presentations.

Oray Orkun Cellek received his Ph.D. degree in


electrical engineering in 2006 from Middle East
Technical University, Ankara, Turkey. He joined the
Arizona State University research group of Prof. Yong-
Hang Zhang as a postdoctoral research associate in
2011. His research focus is infrared photodetectors for
imaging applications.

Hua Li received the M.S. degree in physics from Nanjing


University, China, in 2002, and the Ph.D. degree in
microelectronics from Shanghai Institute of Microsystem
and Information Technology, Chinese Academy of
Sciences, in 2007. In 2011, he joined the MBE Optoelec-
tronics group, Arizona State University, where is in charge
of epitaxial growth of antimonide type-II superlattices.
His main areas of interest include the epitaxial growth of
III-V semiconductors and material characterization techniques for optoelectron-
ics devices, such as photodetectors, lasers, and solar cells.

Shi Liu is a Ph.D. student of Electrical Engineering at


Arizona State University. He received his B.S. degree in
Physics from Peking University, China in 2010 and joined
the direct-Ph.D. program at Arizona State University. Shi
Liu’s research interests include design, growth, and charac-
terization of optoelectronic devices. He has been working
with his colleagues on type-II superlattices for infrared
photodetector applications and novel thin film GaAs solar
cells. He has published three articles in journals and conference proceedings.
InAs/InAs1xSbx Superlattices on GaSb Substrates… 83

Xiaomeng Shen received her B.S. degree from the


Material Science and Engineering Department in
Shanghai Jiao Tong University, China in 2010.
Currently, she is in a Ph.D. program in material science
and engineering at Arizona State University. She joined
ASU MBE optoelectronics group in 2011, where her
research focuses on material structural characterization
on III/V semiconductors (particularly superlattice)
using high-resolution x-ray diffraction and transmission electron microscopy.

David J. Smith received the B.Sc. (Honors) and Ph.D.


degrees from The University of Melbourne, Melbourne,
Australia, in 1970 and 1978, respectively. Since 1984, he
has been with Arizona State University, where he is
currently a Regents’ Professor with the Department
of Physics. His long-term research interests have centered
on the development and applications of atomic-resolution
electron microscopy.

Yong-Hang Zhang received his B.S. and M.S. in


China, performed research at the Max Planck Institute
for Solid States, Stuttgart, Germany, and received his
doctoral degree in physics from the University of
Stuttgart in 1991. He then worked as an Assistant
Research Engineer at the University of California,
Santa Barbara before he joined Hughes Research Labs
in 1993. In 1996, he was appointed Associate
Professor in the Department of Electrical Engineering at ASU and was
then promoted to Full Professor in 2000. He is the founding director of the
Center for Photonics Innovation at ASU and a fellow of OSA. His areas of
research interest include MBE growth, optical properties of semiconductor
heterostructures, and optoelectronic devices and their applications. He has
edited 3 books/proceedings, authored or coauthored 2 book chapters, 234
refereed journal papers, and refereed proceeding papers, has been issued 8
U.S. patents, and has given 302 invited and contributed conference
presentations. He has graduated a total of 16 Ph.D. students and has
supervised an additional 55 Ph.D./M.S. students, postdoctoral researchers,
and visiting scholars.
Chapter 4
Thermal Conductivity and
Thermal Distribution in
Superlattice Structures
Chuanle Zhou and Matthew A. Grayson
Electrical Engineering and Computer Science, Northwestern University, Evanston,
Illinois, USA

4.1 Introduction
4.2 Thermal Conductivity Tensor
4.2.1 Cross plane thermal conductivity
4.2.2 In plane thermal conductivity
4.2.3 Error analysis
4.3 Thermal Conductivity of T2SL
4.4 Thermal Distribution
4.5 Conclusion
Acknowledgments
References

4.1 Introduction
Optimization of high-power semiconductor light emitters requires knowledge
of the thermal conductivity tensor of its various functional semiconductor
layers from room temperature down to cryogenic temperatures. Optical
devices such as long-wavelength (LWIR) and midwave infrared (MWIR)
high-output light-emitting diodes (LEDs), quantum cascade lasers (QCLs),
and interband cascade lasers (ICLs) perform best at low temperatures in
continuous wave (CW) or are commonly operated not too much higher than
room temperature in pulsed mode,1 6 and the lifetime of an optical device
decreases exponentially with increasing temperature. Therefore, it is impor-
tant to know the thermal conductivity of the active region and cladding layer
85
86 Chapter 4

in such structures to model the heat flow, and it is preferable to use a material
with high thermal conductivity to deliver the heat out of the active region of
the device and reduce the operating temperature. Furthermore, most of the
optical devices nowadays are made of nanostructured materials, such as
semiconductor superlattices, which can have anisotropic thermal conductivi-
ties, either by virtue of their anisotropic structure or their anisotropic shape
with length scales below the phonon mean free path. The heat conduction
model can be more accurate if both the in-plane and cross-plane thermal
conductivity are calibrated.
We use the two-wire 3v method7 to characterize thin film thermal
conductivities for both in-plane and cross-plane directions.8 Previous
publications on the 3v method did not perform detailed error analysis on
different parameters or explicitly address the most important parameter. To
calibrate our experiment and analysis, we measured a 2.5-mm GaAs film from
20 K to 300 K, and analyzed different parameters of the fitting to determine
which experimental parameters are important for an accurate fitting.
Temperature-dependent thermal conductivities of the GaAs film show a
significantly smaller thermal conductivity than in the bulk GaAs substrate,
with only weak temperature dependence and no evidence of the low-
temperature phonon peak seen in the bulk material. We interpret this as
evidence that the phonon mean free path is limited by the GaAs layer
thickness of 2.5 mm.
InAs/GaSb type-II broken-gap superlattices (T2SLs) have been successfully
developed for use in LWIR and MWIR detectors9 13 with band-engineered
cutoff wavelengths and a reduction in dark current compared to direct-gap bulk
semiconductors. High-power LWIR and MWIR ICLs and photodiodes (PDs)
based on T2SL-band-structure engineering1 3,14 18 also offer tunable infrared
light emission. In this work, we measure the cross-plane thermal conductivity of
T2SLs19 from 13 K to 300 K using the 3v method.20 22 Using the measured
thermal conductivity of T2SL, we set up a power-law approximation for
modeling thermal conductivity.23 We calculate the temperature profile in the
active region using a simple analytical calculation. Adding the temperature
dependence to the thermal conductivity, we find that the maximum temperature
in the active region of an emitter will increase or decrease by a significant
amount with negative or positive power-law exponents in the thermal
conductivity, respectively. Therefore, the actual operating temperature of the
device can be easily estimated with these analytical tools.

4.2 Thermal Conductivity Tensor


The 3v method20 22 is widely used to measure the thermal conductivity of a
semiconductor substrate or a thin film. Metal filaments are deposited on top
of a sample as dual-purpose heaters/thermometers. An ac current I ðvÞ is sent
Thermal Conductivity and Thermal Distribution in Superlattice Structures 87

through the metal filament and can heat it up by DTð2vÞ, so the resistance of
the filament will be changed by DRð2vÞ. By measuring the third harmonic
voltage across the filament V3v ¼ I ðvÞDRð2vÞ as a function of frequency, one
can deduce the thermal conductivity of the substrate.
A GaAs thin film on GaAs substrate was first used to calibrate our
measurement. The sample structure is shown in Figs. 4.2 (b) and (d). A d AlAs,f ¼
0.5-mm AlAs layer, composed of a digital alloy of 75 monolayers (MLs) of
AlAs for every 3 MLs of GaAs, was first grown on a (100) semi-insulating (SI)
GaAs substrate, followed by a d GaAs, f ¼ 2.5-mm GaAs film. For the 3v method
sample preparation, we etched the GaAs film from half the sample area,
sputtered a d SiO2 ,f ¼ 150-nm thick SiO2 layer as an insulating layer, and
deposited 200-nm-thick Au filaments as both heaters and thermometers. One
wide filament of 2b ¼ 30 mm and one narrow filament of 2b ¼ 2 mm were
deposited on both the film and the substrate, as shown in Fig. 4.1.
We measured the sample in an Oxford variable-temperature insert (VTI)
helium gas flow cryostat from 300 K down to 20 K, using standard lock-in
techniques. Since there are background 3v voltages from the lock-in power
source and nonlinear components in the measurement circuit and in the lock-
in A-B input channels, we also measured a reference background 3v signal
with a low-thermal-coefficient resistor of equal resistance to the Au filament.
We defined the important parameters in the 3v method as follows: k
represents the thermal conductivity; b is the heater half-width; d is the layer
thickness; v is the angular frequency of the current; a is the thermal
diffusivity; r is the material density; Cp is the specific heat capacity; the

Figure 4.1 (a) Mask design for two-wire 3v method. There are four pairs of 30-mm-wide
and 2-mm-wide filaments. Each filament has two current contacts and two voltage contacts.
(b) Photograph of the GaAs film sample. GaAs film is wet etched from the right half of the
sample, and the left half is film with substrate.
88 Chapter 4

subscript y stands for the cross-plane or the growth direction, and x


corresponds to the in-plane or perpendicular to the growth direction; the
superscript f stands for film, b for bulk, s for substrate, and the material in
question is listed in the superscript.

4.2.1 Cross-plane thermal conductivity


In the basic 3v method, the filament is much wider than the thickness of the
thin film, so the in-plane heat flow is comparatively negligible, and the total
heat flow can be solved as 1D heat conduction in the cross-plane direction. The
film cross-plane thermal conductivity can be deduced from the difference of the
temperature oscillation amplitude between one filament on the film-plus-
substrate and one filament on the substrate alone, as shown in Fig. 4.2(a).

Figure 4.2 (a) and (c) Frequency-dependent DT of the Au filaments on the thin film (solid
circles) and on the substrate (open circles) measured at 300 K. (b) and (d) Cross-sectional
layer structure of the sample. (a) DT values of the 30-mm Au filaments are used to calculate
the cross-plane thermal conductivity of the GaAs film kGaAs yy
,f
and the thermal conductivity of
the GaAs substrate k GaAs, b
. (c) DT values of the 2-mm Au filaments are used to fit the in-
plane thermal conductivity of the GaAs film kGaAsxx
,f
. The solid lines and the dashed lines are
the fitted curves for the film and substrate data within 100–2000 Hz. Extended data in 30–
6000 Hz are shown. (Adapted from Ref. 8.)
Thermal Conductivity and Thermal Distribution in Superlattice Structures 89

The amplitude of temperature oscillation can be deduced from the third


harmonic of the voltage. We used the standard lock-in method to measure the
voltage at the third harmonic. The amplitude of temperature oscillation of the
filament under current excitation can be calculated according to Refs. 20 and
21 from
R dT
DT ¼ 2 V3v , ð4:1Þ
V dR
where R is the resistance of the Au filament, V is the voltage amplitude at the
fundamental frequency v, dT dR is the inverse of the temperature coefficient of
the Au filament and is calibrated separately for each filament during a
separate adiabatic cooldown over a period of 36 hours, and V3v is the
measured 3v voltage response.
By measuring the filament on the film-plus-substrate and the filament on
the substrate alone, we can determine the substrate thermal conductivity ksub
and the cross-plane thermal conductivity of the film kfyy :
P dðlnvÞ
ks ¼ , ð4:2Þ
2pl dðDTÞ

P df
kfyy ¼ , ð4:3Þ
2b l DT f
where the superscript s and f stands for the substrate and film, the subscript y
stands for the cross-plane direction, P is the power applied to the heater, and l
is the length of the Au filament. DT f ¼ DT fþs DT s , where DT fþs and DT s
are the temperature oscillation amplitude of the Au filament on the film-plus-
substrate and on the substrate alone, respectively.

4.2.2 In-plane thermal conductivity


The two-wire 3v method7 is used to characterize the thermal conductivity in a
thin film for both in-plane and cross-plane directions using two different
widths of the metal filaments. One filament is much wider than the thickness
of the thin film for the cross-plane thermal conductivity measurement. The
other filament is of the width comparable to the thickness of the thin film, so it
can induce a significant in-plane heat flow inside the film. The temperature
oscillation amplitude of this narrow filament needs to be fitted with a
multilayer-on-semi-infinite-substrate model. However, there is little analysis
in the sensitivity of all of the fit parameters.
As described in Section 4.2.1, the wide filament can be first used to
determine the substrate thermal conductivity kGaAs, b and the cross-plane
thermal conductivity of the film kGaAsyy
,f
.
90 Chapter 4

The second step is to measure the narrow filament. We then used the two
2-mm-wide filaments to determine the in-plane thermal conductivity of the thin
film. In the multilayer-on-semi-infinite-substrate model for heat conduction, if
the sample has n layers, which may have different thermal conductivities, with
the index i layer starting from the top, the heater temperature oscillation
amplitude can be calculated with the iterative equation
Z1
P 1 sin2 ðblÞ
DT ¼ dl, ð4:4Þ
plkyy1 A1 B1 ðb2 l2 Þ
0

where
k B
Ai kyyiyyi1 Bii tanhðfi 1 Þ
Ai 1 ¼ k B
1
, i ¼ 2...n ð4:5Þ
1 Ai kyyiyyi1 Bii 1 tanhðfi 1 Þ
is an iterative parameter, the value of which for the upper layer is
calculated from that of the lower layers, and An ¼ –1 for the semi-infinite
substrate:
 
kxx 2 i2v 1=2
Bi ¼ l þ , ð4:6Þ
kyy ayyi
with the unit of wave number being related to the anisotropic thermal
diffusivity in the ith layer. l is an integration variable with the unit of wave
number; the dimensionless variable fi ¼ Bi di is related to the anisotropic heat
flow within a finite layer thickness; a ¼ k=rCp is the thermal diffusivity. We
estimated all of the fit parameters in Eq. (4.4) based on the literature values (k, r,
and Cp ) or measured values (b, d SiO2 , kGaAs, b , and kyy
GaAs, f
) and use the iterative
equation to calculate the heater temperature oscillation amplitude DT. Each fit
parameter is modified to calculate DT fit [solid and dashed lines in Fig. 4.2(c)]
that best matches the measured DT [solid and open circles in Fig. 4.2(c)].

4.2.3 Error analysis


We calculated the error for various fit-parameter values in order to determine
the relative importance of each fit parameter. Since the material properties
k, r, and Cp depend on the quality of each layer and the processing
parameters b and d SiO2 may vary for different Au depositions and SiO2
sputterings, the effective value for each parameter may be different from its
nominal value. We varied the parameter values by 20% from their best-fit
values and summed the squared error between the fit and the measured data at
each frequency vj within the interval (100 Hz, 2000 Hz):
X
«¼ Dðlnvj Þ½DT fit ðvj Þ DTðvj Þ2 , Dðlnvj Þ ¼ lnðvj =vj 1 Þ, ð4:7Þ
j
Thermal Conductivity and Thermal Distribution in Superlattice Structures 91

(a) (b)

(c) (d)

Figure 4.3 Plot of the fitting error « for the 2-mm filament data calculated from Eq. (4.7) when
the value of each fit parameter varies by 20%. The parameters for the layer of interest are
plotted in black, and incidental fit parameters are plotted in gray. (a) We first fitted the data of
the 2-mm Au filament on the substrate at 300 K to determine the best-fit values for the effective
width of the Au filament on the substrate 2bs , the thickness of the SiO2 film d SiO2 ,f , the
cross-plane and in-plane thermal conductivity of SiO2 film kSiO yy
2 , f and kSiO2 , f , respectively,
xx
the cross-plane and in-plane thermal conductivity of GaAs substrate kGaAs yy
,b
and kGaAs
xx
,b
,
respectively, and the cross-plane and in-plane thermal conductivity of AlAs film kAlAs yy
,f

and kAlAs
xx
,f
, respectively (gray lines). (b) We then fitted the the data of the 2-mm Au filament on
the film at 300 K to determine the best-fit values for the effective width of the Au filament on the
film 2bf , the thickness of the GaAs film d GaAs,f (gray lines), and the in-plane and cross-plane
thermal conductivity of the GaAs film kGaAs yy
,f
and kGaAs
xx
,f
, respectively (black lines). (c) Fitting
error for the data of the 2-mm Au filaments on the substrate at 24 K. (d) Fitting error for the data
of the 2-mm Au filaments on the film at 24 K. (Adapted from Ref. 8.)

where DT fit ðvj Þ and DTðvj Þ are the fitted and measured DT at the angular
frequency vj , respectively. The best-fit value for each parameter gives the
smallest total error « in units of K2 .
We can now qualify which parameter is more important, and quantify the
uncertainty of the parameters of interest. Figure 4.3 shows the total error « as
a function of D, the percentage change from the best-fit value, for different fit
parameters. We first fitted the data of the 2-mm Au filament on the substrate
92 Chapter 4

to obtain the best-fit values for the effective width of the Au filament on the
substrate 2bs , the thickness of the SiO2 film d SiO2 , f , the cross-plane and in-
plane thermal conductivity of SiO2 film kSiO yy
2 ,f
and kSiO xx
2 ,f
, respectively, the
cross-plane and in-plane thermal conductivity of GaAs substrate kyy GaAs, b
and
kxx
GaAs, b
, respectively, and the cross-plane and in-plane thermal conductivity of
AlAs film kAlAs yy
,f
and kAlAs
xx
,f
, respectively [grey lines, Fig. 4.3(a) and (c)]. The
specific heat capacities Cp for all of the layers always give « values of less than
10 3 K2 , so we used the values from the literature.24,25 We then used these
parameter values to fit the the data of the 2-mm Au filament on the film and
determine the best-fit values for the effective width of the Au filament on the
film 2bf , the thickness of the GaAs film d GaAs, f [grey lines, Fig. 4.3(b) and (d)],
and the in-plane and cross-plane thermal conductivity of the GaAs film
kGaAs
xx
,f
and kyyGaAs, f
, respectively [black lines, Fig. 4.3(b) and (d)]. In addition,
the processing parameters d GaAs, f , d SiO2 , f , bs , and bf are the same for one
sample at all temperatures, so we only fitted their values at 300 K.
We found the most important fit parameters and the error bars from the
error analysis. The heater half-width b, the SiO2 layer thickness d SiO2 , and the
cross-plane thermal conductivity of the SiO2 film kSiO yy
2,f
are the most sensitive
parameters in the fitting. So the measurement uncertainty of these three
parameters is the major factor that determines the fitting resolution, i.e., the
error bars for the parameters of interest. For example, at 300 K, the maximum
uncertainty of these three parameters can be up to 4% with all of the other
fitted material parameters (kxx SiO2 , f
, kGaAs
yy
,b
, kxx
GaAs, b
, kyy
AlAs, f
, and kAlAs
xx
,f
) with
2
reasonable values, including the error of 0.01 K in Fig. 4.3(a). This error
value determines the estimation resolution of the in-plane thermal conductiv-
ity of the GaAs film kxx GaAs, f
in Fig. 4.3(b) to be 20% of the best-fit value, which
is the error bar in Fig. 4.4. To fit the data and estimate the thermal
conductivities more accurately, it is important to control and measure b and
d SiO2 accurately during the sample processing. For example, the lift-off resist
(LOR) can improve the lift-off process of the Au filament and the width b
uniformity. The cross-plane thermal conductivity of the SiO2 film kyy SiO2 , f
plays
an even more important role at low temperatures. 4% change of kyy SiO2 , f
may
induce 100% uncertainty of the in-plane thermal conductivity of the GaAs
film at 24 K [Figs. 4.3(b) and (d)], so the measured kxx GaAs, f
values are far less
accurate below 100 K.
We first compare the measured thermal conductivity of GaAs substrate to
the published value. The solid circles in Fig. 4.4 show the measured GaAs
bulk thermal conductivity as a function of temperature from 20 K to 300 K.
The measured thermal conductivity of the bulk GaAs substrate kGaAs, b is
isotropic and matches the published value (solid line) above 50 K but has a
Thermal Conductivity and Thermal Distribution in Superlattice Structures 93

Figure 4.4 Measured thermal conductivity of the GaAs substrate kGaAs,b (solid square)
matches the literature data (solid line26 3 1018 Zn curve). The difference between the
cross-plane kGaAs
yy
,f
and the in-plane thermal conductivity kxx
GaAs, f
of the GaAs film is less than
5% for all temperatures. Because the phonon mean free path of the GaAs film is much
shorter than that of the bulk GaAs substrate at low temperatures, the average values of
thermal conductivity of the film are 1.5 orders of magnitude lower than those of the bulk at
temperatures lower than 100 K. (Adapted from Ref. 8.)

phonon peak at a higher temperature of 50 K than the peak temperature at


30 K in Ref. 26. This is presumably due to the doping level of EL2 trap states
on the order of 1016 cm 3 and carbon atoms on the order of 1015 cm 3 in the
substrate of our sample,27 different from the doping level of Zn at 3 1018 cm 3
in Ref. 26.
Figure 4.4 also shows the in-plane and cross-plane thermal conductivity of
the GaAs film. The measured in-plane thermal conductivity of the GaAs film
kGaAs
xx
,f
(downward triangles) differs by only 5% from the cross-plane thermal
conductivity kyyGaAs, f
(upward triangles), so the GaAs film has an isotropic
thermal conductivity. The average value is the same as the value of the bulk at
300 K but is much lower than the bulk thermal conductivity at temperatures
lower than 100 K. Because the phonon mean free path Lp in bulk GaAs25 is
on the order of 0.1 mm above 100 K, smaller than the thickness of the GaAs
film, bulk GaAs and film GaAs could have the same Lp and thus similar
thermal conductivity at high temperatures. Whereas the bulk Lp is on the
order of 1 mm or larger below 100 K, which is close to the GaAs film
thickness, the Lp in the bulk could be greater than the film thickness. Thus,
the film thermal conductivity kGaAs, f appears to be limited by Lp to the right
of the bulk phonon peak and by the power law25 in the phonon specific heat
Cp to the left of the bulk phonon peak, resulting in a film thermal conductivity
1.5 orders of magnitude smaller than that of the bulk at temperatures lower
than 100 K.
94 Chapter 4

4.3 Thermal Conductivity of T2SL


We then used the 3v method to measure the T2SL samples. The two different
T2SLs studied in this work were grown by molecular beam epitaxy on GaSb
substrates. Because this material was designed for p-i-n detectors, it consists
of a 0.5-mm GaSb pþ (1018 cm 3 ) buffer layer, followed by a 0.5-mm T2SL
pþ (1018 cm 3 ) region, a 2-mm undoped T2SL layer, a 0.5-mm T2SL nþ
(1018 cm 3 ) region, and a 10-nm Si-doped InAs nþ capping layer. T2SL-1
is composed of 12 MLs of InAs and 8 MLs of GaSb per period. T2SL-2 is
composed of 19 MLs of InAs and 18 MLs of GaSb per period. (Protocol
names: T2SL-1 ¼ 1554; T2SL-2 ¼ 2168A.)
Following standard 3v sample preparation, the T2SL is wet-etched away
from one-half of the sample with a solution of citric acid and phosphoric acid
plus peroxide. An insulating SiO2 layer of 150 nm is deposited using plasma-
enhanced chemical vapor deposition (PECVD) to prevent an electrical short
circuit through the conducting substrate. Then 200-nm-thick Au heater-
thermometer filaments are deposited atop a 3-nm Ti adhesion layer using
e-beam evaporation on both etched and unetched regions. The 30-mm-wide
filament is much wider than the 3-mm T2SL thickness so that the heat flow
through the T2SL obeys the 1D thermal diffusion equation.22
In Fig. 4.5, we compare our measured GaSb substrate thermal
conductivity (solid circles) with previously published GaSb bulk thermal
conductivity (solid line),29 indicating excellent agreement. The T2SL cross-
plane thermal conductivity kyy T2SL, f
is plotted with solid triangles in Fig. 4.5.
Previous studies of superlattice thermal conductivities have shown that

Figure 4.5 Cross-plane thermal conductivity for T2SL samples (solid triangles). Measured
GaSb substrate thermal conductivity is shown in solid circles. Published data for bulk
thermal conductivity of InAs28 and GaSb29 are shown for comparison in solid lines. The
dashed line is a fitting polynomial for the average value of T2SL thermal conductivity
described in the text. (Adapted from Ref. 19.)
Thermal Conductivity and Thermal Distribution in Superlattice Structures 95

superlattice thermal conductivities are significantly reduced from those of


their constituent bulk materials, resulting from phonon interface scattering,
reduced group velocity from modified phonon-dispersion relation, and
strain-relaxation-induced high density of dislocations.30,31 The T2SL value is
reduced by 2 orders of magnitude compared with the bulk substrate thermal
conductivities for GaSb bulk.29 We note that the suppression is much
greater, up to as much as 3 1/2 orders of magnitude, when compared with
InAs bulk.28

4.4 Thermal Distribution


With a power-law approximation of the thermal conductivity, we calculated
the temperature profile in the active region using a simple analytical
calculation. The results were published in Proc. SPIE.23
For the semiconductor materials used in IR devices, when thermal
conductivity is plotted on a log-log plot as a function of temperature, for large
ranges of temperature the slope is roughly constant, and kðTÞ can be
approximated with a power law. We thus empirically fit the thermal
conductivity with the local power-law expression introduced previously,
kðTÞ ¼ k0 ðTT0 Þs . Most semiconductor thermal conductivities have an empirical
maximum thermal conductivity km at temperature Tm , and in order to
estimate the thermal conductivity at arbitrary temperatures, we will employ a
fitting equation for the thermal conductivity that is Taylor expanded on the
log-log plot around this maximum as follows:
  2   3
T T
log k ¼ log km B log þ C log ...: ð4:8Þ
Tm Tm
The local power-law exponent s in the neighborhood of an operating
temperature T0 can be determined from the same empirical fit parameters as
for Eq. (4.8):

d logk 
sðT0 Þ ¼
d logT T0
    2 ð4:9Þ
T0 T0
¼ 2B log þ 3C log :
Tm Tm
The empirical fit using Eq. (4.8) is plotted in a solid line with maximum
thermal conductivity km ¼ 6:954 W/m  K at Tm ¼ 74 K, B ¼ 1:0312, and
C ¼ 0:53042. By inspection of the T2SL log-log plot in Fig. 4.5, the power-law
exponent s ¼ 0 approximates the behavior in the intermediate temperature
range T0 ¼ 50–100 K, whereas the s ¼ 1=2 negative exponent is appropriate
to higher temperatures T0 ¼ 100–300 K and s ¼ 1 to lower temperatures
T0 ¼ 20–50 K.
96 Chapter 4

To improve device performance, it is useful to model thermal distribution


within the active layer of an ICL or PD.4,5 With poor thermal conductivity,
one can expect large thermal gradients across the T2SL layer, so it is useful to
develop an analytical estimate of the thermal profile including large
temperature drops. We do so below by introducing a power-law approxima-
tion to the temperature dependence of the thermal conductivity.
The thermal profile can be determined from the power density generated
per unit volume for the various devices of interest, namely PDs and ICLs. For
an infrared PD the power density due to Joule heating is
P ¼ «J, ð4:10Þ
where the electric field « across the T2SL emitting layer is assumed uniform,
J is the current density, and the light output power is neglected. For CW
lasers, one can estimate the heat dissipated at threshold, assuming that almost
all input power is dissipated as heat:
P ¼ ðE32 þ DÞJth =eLp , ð4:11Þ
where Jth is the threshold current density, E32 is the energy of the optical
transition, D is the energy separation between the ground state of the injector
and the lower laser level of the previous active region, and Lp is the length of
one period of ICL active region and injector.5
Using Eqs. (4.10) and (4.11), the thermal profile in the active region under
uniform power dissipation P is set by the differential equation
P ¼ r  ð krTÞ: ð4:12Þ
For a substrate-mounted device, the primary heat dissipation is through the
substrate, modeled as a 1D thermal diffusion problem.4 If, for the moment, a
constant thermal conductivity k0 is assumed, the solution to Eq. (4.12) takes
the simple form2

1 P 2 dT 
DTðxÞ ¼ x þ x, ð4:13Þ
2k 0 dx  0
with boundary conditions DTð0Þ ¼ 0 and dT=dxð0Þ ¼ dT=dxj0 . DT will be
significant with large P and small k0 , which is the case for high-power
dissipation and nanoscale active layers.
However, we arrive at a more accurate estimate of the thermal profile at
high powers if we include the temperature dependence of kðTÞ. By inspection
of the T2SL log-log plot in Fig. 4.5, for large ranges of temperature above
150 K and below 30 K, the slope is roughly constant, and kðTÞ can be
approximated with a power law. We thus empirically fit the T2SL thermal
conductivity with the local power-law expression kðTÞ ¼ k0 ðTT0 Þs , where s is
the power-law exponent, T0 is the baseline temperature of interest, and k0 is
the thermal conductivity at that temperature. Solving Eq. (4.12) under this
Thermal Conductivity and Thermal Distribution in Superlattice Structures 97

local power-law assumption, the exact solution for the temperature profile in
the active T2SL region with the same boundary conditions becomes
  1
DTðxÞ sþ1
TðxÞ ¼ T0 1 þ ðs þ 1Þ ; ð4:14Þ
T0
where DTðxÞ is the same expression from Eq. (4.13), and s is the power-law
exponent. In real devices, the change in temperature DTðxÞ will normally not
exceed the absolute base temperature T0 , so this expression can be expanded
for small DTðxÞ=T0 < 1:
1 DT 2 ðxÞ
TðxÞ ’ T0 þ DTðxÞ s : ð4:15Þ
2 T0
The first two terms describe the thermal distribution for constant baseline
thermal conductivity k0 , and the third term accounts for the local power-law
assumption, proportional to the exponent s. Note that the position of
maximum temperature does not change between Eqs. (4.13) and (4.15), nor
does the thermal derivative boundary condition at x ¼ 0.
To compare how different exponent values might affect thermal
distribution in different temperature ranges, the thermal distribution is
plotted with the power-law exponent s of 1=2, 0, and 1 when the heat sink
temperature T0 is at 77 K and 300 K in Fig. 4.6, using Eq. (4.15) under high-
power dissipation P. Note that for the 300-K data, the realistic trace for the
T2SL is s ¼ 1=2, and for the 77-K data, the realistic trace is s ¼ 0.
The typical power density dissipated in PDs1,16 is 10–250 kW/cm2 , and
the threshold power density in ICLs3,17 is 0.3–2 kW/cm2 when pulsed and
1–5 kW/cm2 at CW. The dissipated power will be even larger when the device is
operated at high output powers. For these calculations, a voltage bias of 5 V is
applied to a 120  120 mm2 mesa, and the applied currents are 1000 mA, 500 mA,
and 250 mA, corresponding to powers of 5 W, 2.5 W, and 1.25 W, respectively.
The peak temperature is shown to be reduced when the exponent is
positive, while the peak temperature is increased when the exponent is
negative. For heat sink temperature T0 ¼ 300 K [Fig. 4.6(a)], the highest
power, 5 W, causes a temperature rise of 100 K in the active area, and this
value is increased by 9 K with a negative power exponent s ¼ 1=2, and
decreased by 18 K with a positive power exponent s ¼ 1. However for a lower
power of 2.5 W, the power-law corrections to the temperature rise are less
than 5 K, and for 1.25 W, the power-law corrections are less than 1 K. The
temperature rise is more significant in high-power devices with low thermal
conductivity, a result that is made clear in Eq. (4.13), where DT is
proportional to 1=k0 . At low temperature T0 ¼ 77 K, the power-law
correction is more than 20% of the temperature rise with the power exponent
s ¼ 1 at the highest power 5 W. The power-law exponent s has more effect at
98 Chapter 4

Figure 4.6 Calculated temperature profile in the active region normalized to the cold-sink
substrate temperature T0 with different power-law exponents s at three typical power
dissipations. A voltage bias of 5 V is applied to a 120  120 mm2 mesa, and the applied
currents are 1000 mA, 500 mA, and 250 mA, corresponding to powers of 5 W, 2.5 W,
and 1.25 W, respectively. (a) The substrate (heat sink) is at T0 ¼ 77 K. (b) The substrate is at
T0 ¼ 300 K. (Adapted from Ref. 23.)

low temperatures than high temperatures due to the correction of the


temperature profile from temperature-dependent thermal conductivity, which
is inversely proportional to absolute base temperature T0 in Eq. (4.15).
We remark that these materials have been proposed as promising
cryogenic thermoelectrics because below 20 K the thermal conductivity
observed here is quite low, on the order of 1 W/m  K, and because high
Seebeck coefficients up to 2 mV/K have been reported for the hole band and
300 mV/K for the electron band32 at 4 K. We thus conclude that careful
thermal modeling with the knowledge of the thermal conductivity reported
here can improve T2SL device performance for a range of applications.

4.5 Conclusion
In the two-wire 3v method, by the error analysis, the heater half-width b, the
insulating layer thickness d SiO2 , and the cross-plane thermal conductivity of
the insulating film kSiO
yy
2 ,f
are found to be the most sensitive parameters in the
Thermal Conductivity and Thermal Distribution in Superlattice Structures 99

fitting, so it is important to control and measure b and d SiO2 accurately during


the sample processing in order to more accurately estimate the in-plane
thermal conductivity of the film. The measured in-plane thermal conductivity
of the thin film is more accurate at temperatures higher than 100 K due to the
low thermal conductivity of the SiO2 insulating layer that isolates the filament
from the film of interest. The low thermal conductivity of the thin film at low
temperatures shows the phonon mean free path in the thin film to be limited
by the film thickness. The structural anisotropy does not change the isotropy
of this 2.5-mm GaAs film.
A power-law approximation to the thermal conductivity can be used to
calculate the thermal profile in high-power IR devices such as LEDs, QCLs,
and detectors that absorb very high-power signals. This simple analytical
approximation allows back-of-the-envelope calculations of the actual
temperature in the active layer in a device under high power and can explain
the degradation of device performance with increasing power. The calculation
shows that the power-law exponent can significantly change the operating
temperature in the active layer, especially at low temperatures and in high-
power devices. A high thermal conductivity power-law exponent can reduce
the temperature rise under high input powers and can improve optical
performance.

Acknowledgments
This work is supported by AFOSR grants FA-9550-09-1-0237 and
FA-9550-12-1-0169, Initiative for Sustainability and Energy at Northwest-
ern (ISEN) and NSF MRSEC grants No. DMR-0520513 and No.
DMR-0748856 through both instrumentation grants and an NSF MRSEC
Fellowship.

References
1. E. J. Koerperick, J. T. Olesberg, J. L. Hicks, J. P. Prineas, and
T. F. Boggess, Jr., “High-power MWIR cascaded InAs-GaSb super-
lattice LEDs,” IEEE J. Quantum Elect. 45(7), 849–853 (2009).
2. E. J. Koerperick, D. T. Norton, J. T. Olesberg, B. V. Olson, J. P. Prineas,
and T. F. Boggess, Jr., “Cascaded superlattice InAs/GaSb light-emitting
diodes for operation in the long-wave infrared,” IEEE J. Quantum Elect.
47(1), 50–54 (2011).
3. I. Vurgaftman, C. L Canedy, C. S. Kim, M. Kim, W. W. Bewley, J. R.
Lindle, J. Abell, and J. R. Meyer, “Mid-infrared interband cascade
lasers operating at ambient temperatures,” New J. Phys. 11, 125015
(2009).
100 Chapter 4

4. V. Spagnolo, M. Troccoli, G. Scamarcio, C. Gmachl, F. Capasso,


A. Tredicucci, A. Michael Sergent, A. L. Hutchinson, D. L. Sivco, and
A. Y. Cho, “Temperature profile of GaInAs/AlInAs/InP quantum
cascade-laser facets measured by microprobe photoluminescence,” Appl.
Phys. Lett. 78, 2095–2097 (2001).
5. S. S. Howard, L. Zhijun, D. Wasserman, A. J. Hoffman, T. S. Ko, and
C. F. Gmachl, “High-performance quantum cascade lasers: optimized
design through waveguide and thermal modeling,” IEEE J. Selected
Topics in Quantum Electronics, 13 1054–1064 (2007).
6. A. Evans, J. Nguyen, S. Slivken, J. S. Yu, S. R. Darvish, and M. Razeghi,
“Quantum-cascade lasers operating in continuous-wave mode above
908C at l 5.25 mm,” Appl. Phys. Lett. 88, 051105 (2006).
7. T. Borca-Tasciuc, A. R. Kumar, and G. Chen, “Data reduction in 3v
method for thin-film thermal conductivity determination,” Rev. Sci.
Instrum. 72, 2139–2147 (2001).
8. C. Zhou, G. Koblmüller, M. Bichler, G. Abstreiter, and M. Grayson,
“Thermal conductivity tensor of semiconductor layers using two-wire 3v
method,” Proc. SPIE 8631, 863129 (2013) [doi: 10.1117/12.2014610].
9. D. L. Smith and C. Mailhiot, “Proposal for strained type II superlattice
infrared detectors,” J. Appl. Phys. 62, 2545–2548 (1987).
10. H. Mohseni, E. J. Michel, M. Razeghi, W. C. Mitchel, and G. J. Brown,
“Growth and characterization of InAs/GaSb type-II superlattice for
long-wavelength infrared detectors,” Proc. SPIE 3287, 30–39 (1998) [doi:
10.1117/12.304497].
11. R. Q. Yang, “Mid-infrared interband cascade lasers based on type-II
heterostructures,” Microelectronics J. 30(10), 1043–1056 (1999).
12. Y. Wei, A. Gin, M. Razeghi, and G. J. Brown, “Advanced InAs/GaSb
supperlattice photovoltaic detectors for very long wavelength infrared
applications,” Appl. Phys. Lett. 80, 3262–3264 (2002).
13. B.-M. Nguyen, D. Hoffman, P.-Y. Delaunay, and M. Razeghi, “Dark
current suppression on type II InAs/GaSb superlattice long wavelength
infrared photodiodes with M-structure barrier,” Appl. Phys. Lett. 91,
163511–163513 (2007).
14. Rui Q. Yang and S. S. Pei, “Novel type-II quantum cascade lasers,”
J. Appl. Phys. 79, 8197–8213 (1996).
15. S. Ben Rejeb, M. Debbichi, M. Said, A. Gassenq, E. Tournié, and
P. Christol, “Optical perfrmances of InAs/GaSb/InSb short-period
superlattice laser diode for mid-infrared emission,” J. Appl. Phys. 108,
093107–033113 (2010).
Thermal Conductivity and Thermal Distribution in Superlattice Structures 101

16. C. S. Kim, C. L. Canedy, E. H. Aifer, M. Kim, W. W. Bewley, J. G.


Tischler, D. C. Larrabee, J. A. Nolde, J. H. Warner, I. Vurgaftman,
E. M. Jackson, and J. R. Meyer, J. Vac. Sci. Technol. B 25(3), 991 (2007).
17. W. W. Bewley, C. L. Canedy, C. S. Kim, M. Kim, C. D. Merritt,
J. Abell, I. Vurgaftman, and J. R. Meyer, “Continuous-wave interband
cascade lasers operating above room termperature at l ¼ 4.7–5.6 mm,”
Opt. Express 20, 3235–3240 (2012).
18. S. Abdollahi Pour, E. K. Huang, G. Chen, A. Haddadi, B.-M. Nguyen,
and M. Razeghi, “High operating temperature midwave infrared photo-
diodes and focal plane arrays based on type-II InAs/GaSb superlattices,”
Appl. Phys. Lett. 98, 143501 (2011).
19. C. Zhou, B.-M. Nguyen, M. Razeghi, and M. Grayson, “Thermal
conductivity of InAs/GaSb superlattice,” J. Elect. Mat. 41, 2322–2325
(2012).
20. D. G. Cahill, “Thermal conductivity measurement from 30 to 750 K: the
3v method,” Rev. Sci. Instrum. 61, 802–808 (1990).
21. S.-M. Lee and D. G. Cahill, “Heat transport in thin dielectric films,”
J. Appl. Phys. 81, 2590–2595 (1997).
22. D. G. Cahill, M. Katiyar, and J. R. Abelson, “Thermal conductivity of
a-Si:H thin films,” Phys. Rev. B 50, 6077–6081 (1994).
23. C. Zhou, and M. Grayson, “Thermal distribution in high power optical
devices with power-law thermal conductivity,” Proc. SPIE 8268, 82680V
(2012) [doi: 10.1117/12.913568].
24. D.-M Zhu and H.-F. Weng, “Thermal conductivity and heat capacity
study of a densified a-SiO2,” J. Noncrystalline Solids 185, 262–267 (1995).
25. J. S. Blakemore, “Semiconducting and other major properties of GaAs,”
J. Appl. Phys. 53, R123–181 (1982).
26. R. O. Carlson, G. A. Slack, and S. J. Silverman, “Thermal conductivity
of GaAs and GaAs1 xPx laser semiconductors,” J. Appl. Phys. 36, 505–
507 (1965).
27. “Product Specifications Gallium Arsenide (GaAs) semi-insulating 100 mm
VGF,” www.freiberger.com/images/PDF/100-mm-si-vgf-gaas.pdf.
28. P. V. Tamarin and S. S. Shalyt, “Thermal conductivity and thermoelec-
tric power of indium arsenide at low temperatures,” Sov. Phys. Semicond.
5(5), 1097–1098 (1971).
29. M. G. Holland, “Phonon scattering in semiconductors from thermal
conductivity studies,” Phys. Rev. 134, A471–A480 (1964).
30. W. S. Capinski, H. J. Maris, T. Ruf, M. Cardona, K. Ploog, and
D. S. Katzer, “Thermal conductivity measurements of GaAs/AlAs
102 Chapter 4

superlattices using a picosecond optical pump-and-probe technique,”


Phy. Rev. B 59, 8105–8113 (1999).
31. S.-M. Lee, D. G. Cahill, and R. Venkatasubramanian, “Thermal
conductivity of SI–Gesuperlattices,” Appl. Phys. Lett. 70, 2957–2959
(1997).
32. S. Cao, R. Fletcher, M. Lakrimi, N. J. Mason, R. J. Nicholas, and P. J.
Walker, “Thermopower and conductivity of InAs/GaSb cross-gap hetero-
structures in a magnetic field,” Phys. Rev. B 54, 5684–5690 (1996).

Chuanle Zhou is a Ph.D candidate in Electrical


Engineering and Computer Science at Northwestern
University. She received her B.S. in electronic engineer-
ing from Tsinghua University and will graduate from
Northwestern University in September, 2013. Her
research focuses on transverse thermoelectrics and
thermal conductivity tensor measurement on super-
lattice structures. Her adviser, Prof. Matthew Grayson,
and she developed a p  n-type transverse thermoelectric, whereby the
anisotropic electron and hole conductivity gives rise to a temperature gradient
transverse to the applied electric field, or vice versa. This novel transverse
thermal electric can benefit microscale, cryogenic, and large thermal gradient
cooling, and high voltage harvesting from small temperature differences. She
received an International Institute for Nanotechnology Outstanding Research
Award in 2011, a Research Award from the Initiative for Sustainability and
Energy at Northwestern, and honorable mention in the Dow Sustainability
Innovation Student award in 2012.

Matthew Grayson is an associate professor in Electrical


Engineering and Computer Science at Northwestern
University. He received his Ph.D. in electrical engineer-
ing from Princeton University on fractional quantum
Hall effect edge tunneling and conducted research at the
University of Maryland on far-infrared Hall angle
spectroscopy of high-temperature superconductors. He
was an Alexander von Humboldt Research Fellow at
the Technische Universität München, where he currently holds a guest
lectureship appointment to teach the “Finding Nano” summer program in
nanotechnology, and where he earned the Humboldt Alumni Network Award
Thermal Conductivity and Thermal Distribution in Superlattice Structures 103

in 2012. His current research spans from p  n-type transverse thermoelectrics,


controlling the valley degree-of-freedom in quantum wells of multivalley
semiconductors, and quantum Hall effect, to transient photoconductivity
spectroscopy of trap states in amorphous oxide semiconductors. He also
founded the ETOPiA Project at Northwestern, an outreach effort that uses
scientifically themed theater pieces to engage the general public in a dialogue
about science and society.
Chapter 5
Superlinear Luminescence
and Enhancement of
Optical Power in GaSb-based
Heterostructures with
High Conduction-Band
Offsets and Nanostructures
with Deep Quantum Wells
Maya P. Mikhailova, Leonid V. Danilov, Karina V. Kalinina, Edward V. Ivanov,
Nikolay D. Stoyanov, Georgy G. Zegrya, and Yury P. Yakovlev
Ioffe Physical Technical Institute, St. Petersburg, Russia

Alice Hospodková, Jirˇ í Pangrác, Markéta Zíková, and Eduard Hulicius


Institute of Physics, Academy of Sciences of the Czech Republic, Prague, Czech
Republic

5.1 Introduction
5.2 Superlinear Electroluminescence in GaSb based Narrow Gap Heterostructures with High
Conduction Band Offsets
5.3 Superlinear Electroluminescence in GaSb based Nanostructures with a Deep Al(As)Sb/
InAsSb/Al(As)Sb QW
5.4 Theoretical Consideration of Radiative and Auger Recombination in Deep QWs
5.5 Conclusions
5.6 Acknowledgment
References

105
106 Chapter 5

5.1 Introduction
Heterostructures and nanostructures with quantum wells (QWs) based on the
GaSb/InAs/AlSb system are promising for developing optoelectronic devices
(light-emitting diodes, lasers, photodetectors)1 6 because they cover the mid-
infrared spectral range (1.6–5.0 mm), where absorption bands of many natural
and industrial gases and other substances exist (CO2, CO, CH4, H2O, H2S,
NH3, and many others). However, the optical power and quantum efficiency
of light-emitting diodes (LEDs) based on the narrow-gap semiconductor
alloys (InAsSb, InGaAsSb) are not sufficiently high and are limited by
nonradiative Auger recombination. It was shown in Refs. 7 and 8 that Auger
recombination can be suppressed at the type-II abrupt heterointerface and in
nanostructures with deep QWs.
A system of InGaAsSb/GaSb alloys is also of interest due to the fact
that, by varying the composition of the layers, one can obtain type-II
heterojunctions with both staggered and broken-gap alignment.1 A specific
feature of type-II heterojunctions is that self-aligned QWs on both sides of the
boundary in which charge carriers are localized maintain the electrons and
holes spatially separated. In such structures it is possible to obtain emission at
longer wavelengths because of tunneling transitions of charge carriers through
the heteroboundary.9 Due to the interaction of carriers with the hetero-
boundary in type-II heterostructures, the Auger recombination process is
thresholdless, since the conservation law for the momentum component
perpendicular to the heteroboundary is not fulfilled. In this case, the Auger
recombination rate is a power function of temperature.7
The possibility of creating a laser for the mid-infrared spectral range based
on a semiconductor heterostructure with deep AlSb/InAs0.84Sb0.16 QWs was
theoretically studied,10 and parameters of such a QW where nonradiative
Auger recombination can be essentially suppressed were defined. Earlier it
was proposed to use large conduction-band offsets DEC (or DEV) in an
abrupt heterointerface with a narrow-gap active region for creation of hot
electrons that can cause impact ionization beginning from their zero
kinetic energy.11 An increase in the quantum yield of the internal
photoeffect in InSb due to impact ionization by high-energy photons was
considered for the first time by Tauc and Abrahám.12 Capasso et al.13
proposed the use of a large conduction-band offset at the heterointerface
in order to raise the electron ionization coefficient in comparison with the
hole ionization coefficient in a GaAs/AlGaAs superlattice avalanche
photodiode (APD) (both ionization coefficients can be approximately the
same in bulk material). However, this approach has not yet been applied
to light-emitting devices.
Thus, it is important to find a way to increase the quantum efficiency and
optical power of light-emitting devices based on narrow-gap semiconductors.
Superlinear Luminescence and Enhancement of Optical Power… 107

In this work we report on the results of the electroluminescence (EL) study


and enhancement of optical power in mid-infrared LEDs based on type-II
heterostructures with high conduction-band offsets at the interface and in
nanostructures with deep QWs by using the effect of impact ionization.

5.2 Superlinear Electroluminescence in GaSb-based Narrow-


Gap Heterostructures with High Conduction-Band Offsets
Two types of heterostructures were grown on GaSb substrate by liquid phase
epitaxy (LPE). The isotype-A structure consisted of an n-GaSb:Te substrate
(Eg ¼ 0.725 eV, n ¼ 5  1017 cm 3), a 0.5-mm-thick n-AlGaAsSb layer with 64%
Al content (Eg ¼ 1.28 eV), and a narrow-gap InGaAsSb layer about 1-mm thick
(Eg ¼ 0.282 eV). The type-II n-AlGaAsSb/n-InGaAsSb heterojunction had a
staggered-gap alignment with a large conduction-band offset DEC ¼ 1.16 eV.
An anisotype type-II B structure consisted of n-GaSb/n-InGaAsSb/p-
AlGaAsSb with a narrow-gap active layer (Eg ¼ 0.284 eV). The conduction-
band offset at the broken-gap n-GaSb/n-InGaAsSb interface was DEC ¼ 0.79
eV. It is important to note that in both structures the conduction-band offsets
at the interface exceeded (several times over) the narrow bandgap of the
InGaAsSb layer.
We studied electroluminescence (EL) spectra at different driving currents
in the range of 0–200 mA and an optical power dependence on the drive
current at room temperature (RT) and nitrogen temperature for both A and
B heterostructures. Samples were fabricated by photolithography as
mesadiodes with 500 mm in diameter and mounted on standard TO-18
submounts equipped with parabolic reflectors that enabled collection of the
emission coming from the upper layer (n-InGaAsSb in the isotype structure
and p-AlGaAsSb in the anisotype structure) and from the edges of the
semiconductor crystal. EL spectra were measured by using an MDR-2
monochromator with a diffraction grid of 300 l/mm and were registered by
InSb photodiode (Judson Ltd.). Samples were driven by a square-shaped
current pulse with 50% duty cycle and a frequency of 512 Hz. Current
amplitude varied in the range of 0–200 mA. Two EL peaks were observed
for both A and B structures in the photon energy range of 0.2–0.8 eV
[see Figs. 5.1(a) and 5.2(a)] at T ¼ 77 K for the A structure and at 300 K and
77 K for the B structure.
In isotype-A structures [Fig. 5.1(a)], we found a narrow-gap E1 band with
a maximum EL intensity at 0.28 eV (4300 nm) and an E2 band with peak EL
intensity at 0.73 eV (1700 nm) at temperature T ¼ 77 K. The band E1 is
distorted by atmospheric absorption of CO2 (l ¼ 4250 nm). An interesting
feature of the EL spectra of the A structure is that the intensity of both bands
E1 and E2 and emission output power show superlinear growth with the
108 Chapter 5

Figure 5.1 (a) EL spectra of a forward-biased isotype n-GaSb/n-AlGaAsSb/n-InGaAsSb


heterostructure at T ¼ 77 K (“þ” potential is applied to the n-InGaAsSb layer).
(b) Dependence of the optical power on the drive current for E1 and E2 luminescence
bands (P ¼ A  IB). (See color plate section.)

increase of the driving current at 77 K [Fig. 5.1(b)]. An EL dependence on


the drive current can be described by the power law P ¼ A  IB, where A is the
fitting parameter, B ¼ 1.77 for E1, and B ¼ 2.17 for E2 bands [Fig. 5.1(b)].
For the B structure we observed superlinear dependence of EL on the driving
current either for long-wavelength band E1 at 300 K [see Figs. 5.2(a) and (b)]
or for the wider-gap E2 at 77 K.
The optical power of the E1 band corresponding to radiative emission
from the InGaAsSb narrow-gap layer increases with the drive current with
exponent B ¼ 1.54 and B ¼ 0.58 for the band E2 [Fig. 5.2(b)]. The specific
features of the EL bands for the isotype structure can be understood by
analysis of its energy band diagram (Fig. 5.3). Due to the fact that
A-structure n-GaSb/n-AlGaAsSb/n-InGaAsSb is an isotype, the hole density
is virtually zero in the equilibrium state. When the forward bias is applied
(þ potential is applied to n-InGaAsSb), electrons pass from the wider-gap
n-AlGaAsSb layer to the narrow-gap layer. Conduction-band offset at the
interface n-AlGaAsSb/n-InGaAsSb is DEC ¼ 1.1 eV. Ionization threshold
Superlinear Luminescence and Enhancement of Optical Power… 109

Figure 5.2 (a) EL spectra of a forward-biased anisotype n-GaSb/n-InGaAsSb/P-AlGaAsSb


heterostructure at T ¼ 300 K (“þ” potential is applied to the p-AlGaAsSb layer).
(b) Dependence of the optical power on the drive current for E1 and E2 luminescence
bands (P ¼ A  IB). (See color plate section.)

Figure 5.3 Energy band diagram of the forward-biased isotype n-GaSb/n-AlGaAsSb/


n-InGaAsSb heterostructure (type A).
110 Chapter 5

energies for electrons in the InGaAsSb layer at 77 K can be calculated


according to the following equations (me  mh):14
 
me
«ie ¼ Eg 1 þ  Eg  0:340 eV: ð5:1Þ
mh þ m e
 
mh
«ih ¼ Eg 1 þ  2Eg  0:68 eV: ð5:2Þ
me þ m h
For the holes from the spin–orbit splitting valence band in GaSb, we have:
2  3
DSO
mSO 1
6 Eg 7
«SO ¼ Eg 64 1 þ 7  Eg  0:80 eV: ð5:3Þ
2mh mSO þ me 5

Thus, the conduction-band offset is essentially higher than the ionization


threshold energy for electrons in the narrow-gap material DEC  «ie, and
impact ionization can occur because electrons become hot when they are
coming from the wider-gap n-AlGaAsSb layer to the narrow-gap n-
InGaAsSb. Additional electron–hole pairs can be created in this process
and give some contribution to radiative recombination. Then, a portion of the
holes generated in the narrow-gap n layer via impact ionization are withdrawn
by the electric field into the n-GaSb, where they can also ionize. As was shown
in Ref. 15, resonance impact ionization by holes can occur in III-V
semiconductors, the energy gap Eg of which is close to the spin–orbit splitting
value DSO of the valence band (InAs, GaSb, etc.). The ionization threshold
energy for holes in GaSb is «ih  Eg ¼ 0.80 eV at 77 K, so under forward bias
(that is more than 0.8 eV) the holes possess enough energy for the creation of
electron–hole pairs in n-GaSb, and we can observe superlinear EL for the E2
band [Fig. 5.1(b)].
B-structure n-GaSb/n-InGaAsSb/p-AlGaAsSb shows two EL peaks at
both 77 K (E1 ¼ 0.3 eV, and E2 ¼ 0.7 eV) and 300 K (E1 ¼ 0.28 eV, and E2 ¼
0.64 eV). A superlinear growth of EL intensity and a rise in the optical power
by a factor of 1.5–1.8 were observed as the drive current increased within the
range of 50–220 mA. The optical power of the E1 band has a power-law
dependence on the drive current, with exponent B ¼ 1.54 at 300 K [Fig. 5.2(b)].
As can be seen from the energy band diagram of the structure (Fig. 5.4),
the n-GaSb/n-InGaAsSb heterojunction has a broken-gap type-II alignment.
Conduction-band offset DEC at the heteroboundary between n-GaSb and a
narrow-gap n-InGaAsSb active layer is 0.79 eV, which several times exceeds
the bandgap of the narrow-gap layer Eg  0.284 eV (at T ¼ 300 K) and the
electron ionization threshold for n-InGaAsSb, i.e., «ie  0.28 eV. As with the
isotype-A structure, we should expect that additional electron–hole pairs that
contribute to radiative recombination can be formed as a result of impact
Superlinear Luminescence and Enhancement of Optical Power… 111

Figure 5.4 Energy band diagram of the forward-biased anisotype n-GaSb/n-InGaAsSb/


p-AlGaAsSb heterostructure (type B).

ionization in the narrow-gap n-InGaAsSb layer by hot electrons coming from


the n-GaSb. This accounts for the observed superlinear increase in emission
intensity with drive current.
In both structures under study, we observed a superlinear increase of EL and
optical power in the current range of 20–220 mA, a fact we explain by the impact
ionization in the narrow-gap layer that becomes possible because of existence of
high conduction-band offsets at heterointerfaces. To check the role of high band
offsets, we created and studied a similar structure having a lower conduction-
band offset at the heterointerface that does not provide the possibility of an
ionization process in the active layer. The n-GaSb/n-AlGaAsSb/n-Ga0.875
In0.125AsSb/p-AlGaAsSb structure under comparison Figs. 5.1(a) (Fig. 5.5)
had a wide-gap active region (the Ga content is 87.5%), with the bandgap
energy Eg  0.605 eV at T ¼ 300 K (l  2.05 mm) and the Al content of 64% in
the confining AlGaAsSb layers (Eg ¼ 1.28 eV). In this case, the n-AlGaAsSb/
n-Ga0.875In0.125AsSb heterojunction was a type-I junction with a conduction-
band offset D EC  0.5 eV. Thus, the value of the band offset DEC was insufficient
for heating the charge carriers in the active region of the structure. Threshold-
ionization energy for electrons in the active region corresponds to the value «ie  Eg
 0.605 eV, so that the conduction-band offset at the heteroboundary DEC is
smaller than the ionization threshold energy «ie.
As a result, we observed a single emission band with photon energy of
0.60–0.61 eV [Fig. 5.6(a)], which corresponds to the radiative recombina-
tion in the InGaAsSb active layer. In this case, as was expected, we obtained
only a linear dependence of the electroluminescence intensity on the drive
current in the range of 20–220 mA [Fig. 5.6(b)].
112 Chapter 5

Figure 5.5 Energy band diagram of the unbiased n-GaSb/n-AlGaAsSb/n-InGaAsSb/


p-AlGaAsSb heterostructure.

Figure 5.6 (a) EL spectra of a forward-biased n-GaSb/n-AlGaAsSb/n-InGaAsSb/


p-AlGaAsSb heterostructure at T ¼ 300 K (“þ” potential is applied to p-AlGaAsSb layer).
(b) Dependence of the optical power on the drive current. (See color plate section.)

Thus, in conclusion, we can suppose that a similar mechanism that leads


to an increase of the EL and the optical power of mid-IR LEDs based on bulk
heterostructures with a large conduction-band offset DEC can be applied to
other materials, including nanostructures with deep QWs.
Superlinear Luminescence and Enhancement of Optical Power… 113

5.3 Superlinear Electroluminescence in GaSb-based Nano-


structures with a Deep Al(As)Sb/InAsSb/Al(As)Sb QW
AlAsSb/InAs1 xSbx-based structures with QWs have been intensively
studied.4,16,17 These materials are promising for developing optoelectronic
devices for the mid-infrared spectral range operating on intersubband
transitions between electron states (LEDs, QCLs, photodiodes).
Heterostructures under our study were grown on n-GaSb (100) substrate
by metalorganic vapor phase epitaxy (MOVPE) at low pressure in an
AIXTRON-200 machine.18 The structure consisted of a n-GaSb:Te substrate,
a single 20-nm AlSb/5-nm InAs0.84Sb0.16/20-nm AlSb QW based on undoped
material, and a 0.5-mm p-GaSb cap layer. Narrow-gap InAs0.84Sb0.16 solid
solution has an energy gap of 0.294 eV, Hall mobility of 38000 cm2/(V  s) and
electron concentration of 2.6  1016 cm 3. These data were obtained from
magneto-transport and luminescence measurements at 77 K. A band diagram
of the nanostructure with AlAs interfaces is schematically shown in Fig. 5.7.
The conduction-band offset at the Al(As)Sb/InAsSb heterointerface was
DEC  1.27 eV, and the valence-band offset was DEV  0.62 eV.
Samples were fabricated by photolithography as mesa-structures 500 mm
in diameter with flush contact on the substrate side and a dot 50-mm contact
on the side of the top p-GaSb layer. Ohmic contacts Cr/Au þ Te/Au and
Cr/Au þ Ge/Au formed by vacuum deposition were used for the n-GaSb and
p-GaSb cap layers, respectively. Mesadiodes were mounted on a TO-18
package with a substrate soldered to the package. Samples were driven by
square-shaped current pulses with 50% duty cycle and a frequency of 610 Hz.
Current amplitude varied in the range of (0–200) mA.
We studied current–voltage (CV) curves, EL spectra at different drive
currents, and the dependence of the EL intensity on the current at room and

Figure 5.7 Energy band diagram of the heterostructure n-GaSb/Al(As)Sb/InAs0.84Sb0.16/Al


(As)Sb/p-GaSb (reprinted from Ref. 20).
114 Chapter 5

Figure 5.8 Current–voltage curves of n-GaSb/Al(As)Sb/InAs0.84Sb0.16/Al(As)Sb/p-GaSb


heterojunction at 77 and 300 K (reprinted from Ref. 20).

nitrogen temperatures for the heterostructures n-GaSb/Al(As)Sb/InAsSb/Al


(As)Sb/p-GaSb. Emission coming from the structure through the p-GaSb cap
layer was studied. EL spectra were measured using an automatically
controlled setup based on an MDR-2 monochromator with a diffraction grid
of 300 l/mm.
Typical CV curves at 300 and 77 K are shown in Fig. 5.8. Characteristics
were of diode-like type, their branches at forward bias demonstrating weak
temperature dependence. Cutoff voltage was 0.5 V at RT and 0.6 V at
liquid-nitrogen temperature. In the voltage range of 100–900 mV, forward
branches of both CV curves can be approximated as I ¼ I0 exp(qU/nkT),
where nonideality parameters are n77K  27 and n300K  7.
Intensive EL was observed in the structure in the photon energy range of
0.6–0.8 eV at 77 and 300 K [Figs. 5.9(a) and (b)]. For the first time, a
superlinear increase in EL was observed at drive currents from 35 to 200 mA
and T ¼ 77 and 300 K; and at RT it was steeper than at liquid-nitrogen
temperature (Fig. 5.10).
Dependence of the optical power on the drive current at 77 and 300 K is
well approximated by the power function P ¼ A  IB, where P is the optical
power, I is the current, and A is a fitting parameter: A ¼ 1.477  10 7 for 300 K,
A ¼ 6.527  10 5 for 77 K, and exponent B varied for different samples in the
range of 2–3 at RT and 1.5–2 at 77 K. So, the enhancement of the optical power
for the structures with QWs is 1.5 times higher than data obtained earlier for bulk
type-II heterostructures based on GaSb19,20 (see Section 5.2).
For the analysis, we made a theoretical calculation of the energy spectrum
of carriers in the studied QW heterostructure with high AlAs potential barriers
and a narrow InAsSb QW. Calculation was made according to the four-band
Superlinear Luminescence and Enhancement of Optical Power… 115

Figure 5.9 EL spectra of forward-biased n-GaSb/Al(As)Sb/InAsSb/Al(As)Sb/p-GaSb


heterostructure at (a) 77 K and (b) 300 K (reprinted from Ref. 20).

Figure 5.10 Emission optical power dependence on the drive current for n-GaSb/Al(As)Sb/
InAsSb/Al(As)Sb/p-GaSb heterostructure at 77 and 300 K. Points represent experimental
data; solid curve represents approximation according to P ¼ A  IB (reprinted from Ref. 20).

Kane model.8 In this case, mixing of the hole states of p-symmetry with
electron s-states should be considered. Following this model, the hole energy
spectrum consists of three branches: heavy, light, and split-off holes.
Nevertheless, use of the ternary solution as an active region of the
heterostructure limits split-off hole participation in the carrier recombination
processes.21 This is achieved by decreasing the energy bandgap and
simultaneous increase of spin-splitting parameter Eg  DSO. Additionally,
in the case of ml  mh, the density of the light-hole energy states can be
neglected for the heavy-hole states. Thus, we will consider only electrons and
the heavy-hole spectrum.
116 Chapter 5

For the calculation, we used the following semiconductor structure


parameters: bandgap energy and spin-orbit split-off energy of the narrow-gap
InAs0.84Sb0.16 layer Eg(300 K) ¼ 0.246 eV, Eg(77 K) ¼ 0.294 eV, DSO ¼ 0.41 eV;
of the wide-gap AlAs layer Eg ¼ 2.1 eV, DSO ¼ 0.3 eV; valence- and conduction-
band offsets DEV ¼ 0.62 eV, and DEC ¼ 1.27 eV, respectively; effective masses
of electrons and heavy holes for InAs0.84Sb0.16 mC ¼ 0.018 m0, mhh ¼ 0.413 m0,
and for AlSb mC ¼ 0.1 m0, mhh ¼ 0.76 m0; QW width d ¼ 5 nm.
Finally, we obtained the following values of particle energy levels, where
electron and heavy-hole energies were calculated from the bottom of the
conductance and from the top of the valence bands, respectively: At RT there
are two electron levels in the QW: Ee1 ¼ 0.394 eV and Ee2 ¼ 1.22 eV. At the
same time, for the holes there are five energy levels: Eh1 ¼ 0.029 eV, Eh2 ¼ 0.047
eV, Eh3 ¼ 0.255 eV, Eh4 ¼ 0.467 eV, and Eh5 ¼ 0.611 eV. Thus, the calculated
effective bandgap energy and photon energy for the radiative transition from
the Ee1 level to the Eh1 level corresponds to the value hn ¼ 0.669 eV.
At 77 K, the number of quantum-sized energy levels in the conduction and
valence bands remains the same as at 300 K, and their positions are slightly
changed. In particular, energies of the main electron and hole levels are Ee1 ¼
0.393 eV and Eh1 ¼ 0.03 eV, respectively. As a result, for the radiative
transition from the Ee1 level to the Eh1 level, photon energy shifts to the short-
wavelength range: hn ¼ 0.717 eV.
These theoretical evaluations of the energy position of the EL spectrum
maximum agree well with the experimental results: at T ¼ 300 K, hntheor ¼
0.669 eV, and hnexp ¼ 0.634 eV; at T ¼ 77 K, hntheor ¼ 0.717 eV, and hnexp ¼
0.718 eV.
We supposed that the superlinear EL and optical power increase in the
quantum-sized structure with a deep QW under study is caused by the creation
of additional electron–hole pairs due to the impact ionization process by hot
electrons being heated when passing the high potential barrier DEC ¼ 1.27 eV at
the heterointerface between AlAs and the first electron level in the QW Ee1.
This process can be considered as an inverse Auger process.
As we noted in the Introduction, a significant increase of the electron
ionization coefficient in GaAs/AlGaAs superlattice avalanche photodiodes
was observed in comparison with its value in bulk GaAs22 because of the high
value of DEC at the interface. The electron ionization coefficient depends on
the electric field and rises with decreasing threshold ionization energy. Also, in
our QW structure we can expect an increase in the electron ionization
coefficient due to DEC > «ie.
Higher quantum efficiency is obtained if carriers acquire additional
energy to that necessary for the creation of a single electron–hole pair,13
i.e., DEC > «ie, where «ie is the ionization threshold energy for the electron. It
is known that in narrow and deep QWs, Auger recombination can be
substantially suppressed by increasing the energy distance between quantum
Superlinear Luminescence and Enhancement of Optical Power… 117

levels.10 Moreover, in deep QWs only the energy conservation law must be
completed.23
Radiative recombination in the structure under study is realized between
electron and hole quantum levels E1 and h1. The time of energy relaxation of
hot electrons to the first level and the time of impact ionization are much
shorter (<10 11 to 10 12 s) than the radiative recombination time (<10 8 to
10 9 s). Due to this fact, additional electrons produced by the impact
ionization process will be accumulated on the Ee1 quantum-sized level and
radiatively recombine with holes. Owing to the stronger overlapping of the
electron and hole wavefunctions, the impact ionization rate in QWs is higher
than that observed in bulk semiconductor structures.
The evaluation presented above shows that at QW width d ¼ 5-nm
potential drop (DEC – Ee1) exceeds the energy distance between the first
electron and the first hole level [Ee1 þ Eg (InAsSb) þ Eh1], so that the energy
conservation law presumes creation of one electron–hole pair:
ðDEC Ee1 Þ ¼ 0:876 eV > ðEe1 þ Eg ðInAsSbÞ þ Eh1 Þ at T ¼ 300 K;
ðDEC Ee1 Þ ¼ 0:877 eV > ðEe1 þ Eg ðInAsSbÞ þ Eh1 Þ at T ¼ 77 K,
where impact ionization threshold energies «ie for electrons are 0.669 eV
at 300 K and 0.717 eV at 77 K, due to the increased bandgap energy of
InAsSb by 48 meV at 77K. Since the threshold ionization energy for electrons
at 77 K is increased while the value (DEC Ee1) remains approximately the
same, the contribution of impact ionization in radiative recombination
reduces. That is why the exponent B at 300 K is higher than at low
temperatures, and we observed it in the experiment (see Fig. 5.10).
We have also considered dependence of the impact ionization intensity on
the width d of the QW. For RT, three situations are possible:
1. 4.2 nm < d < 6.6 nm: The energy conservation law allows one electron–
hole pair to be created because the following condition is fulfilled:
DEC – Ee1 > [Ee1 þ Eg (InAsSb) þ Eh1].
2. 6.6 nm < d < 10 nm: Creation of two electron–hole pairs is possible
because in this case the value (DEC – Ee1) is two times higher than the
distance between the first electron and the first hole level: DEC – Ee1 >
(2[Ee1 þ Eg (InAsSb)] þ Eh1).
3. d < 4.2 nm: Impact ionization is not possible because the energy threshold
condition is not met.
It is remarkable that if the energy of the incident electron is equal to the
energy distance between the first electron level Ee1 and the first hole level Eh1,
the “resonance” impact ionization can be observed, as in bulk semiconductors
of InAs and GaSb types when the energy bandgap is close to the spin–orbit
splitting value DSO.15
118 Chapter 5

Thus, our theoretical estimations confirm the experimental observation of


the optical power increase by a factor of 2–3 with the drive current in the
range of 20–200 mA because of the impact ionization process in the quantum-
size structure under study. This result exceeds the values that we obtained in
the bulk GaSb-based isotype and anisotype heterostructures due to stronger
carrier localization in a deep QW.

5.4 Theoretical Consideration of Radiative and Auger


Recombination in Deep QWs
Two main carrier-recombination mechanisms exist in semiconductors at high
excitation levels: radiative recombination (with emission of a photon), and
nonradiative Auger recombination (AR) involving an electron–electron or
hole–hole interaction. In this context, the two most likely AR processes are
distinguished: the CHCC process, involving two electrons and a heavy hole,
and the CHHS process, involving an electron and two heavy holes, with
transition of one of these holes into the spin-orbit split-off band. In
homogeneous semiconductors, processes of this kind are of threshold nature.24
Limitations on the AR rate are imposed by the energy and momentum
conservation laws for carriers. However, the limitations imposed by the quasi-
momentum conservation law are lifted in heterostructures (QWs, wires, and
dots).23 As a consequence, additional, more efficient, zero-threshold AR
channels appear in systems of this kind. In addition, the probability of AR in
heterostructures is higher than that in a homogeneous semiconductor because
of the stronger localization of carriers. Thus, nonradiative AR is an important
mechanism of carrier recombination in heterostructures. This is particularly
clearly pronounced in narrow-gap semiconductors, a fact that hinders
development of an IR semiconductor heterolaser with efficient operation at RT.
Research aimed at solving this problem and developing efficient IR lasers
is being conducted in two areas. The first is the development of QCLs
operating on intersubband transitions, and the second is the development of
IR lasers operating on interband transitions, based on semiconductor
heterostructures with QWs and type-I and type-II SLs. In Ref. 21 it was
suggested that heterostructures with deep and narrow QWs for electrons and
holes can be used in order to obtain an IR laser. In structures of this kind,
zero-threshold AR mechanisms can be strongly suppressed. For this purpose,
it is necessary that the following conditions be satisfied: ðUc , Uv Þ > Eg and
E2 E1 > Eg , where E1 and E2 are the energies of the first and second QW
levels, respectively, Uc and Uv are the depths of QWs for electrons and holes,
respectively, and Eg is the energy gap. In QWs of this kind, the excitation
energy is insufficient for release of an electron into the continuous spectrum
(zero-threshold mechanism) or its transition to the second QW level (resonant
mechanism) (see Fig. 5.11). Modern technologies enable fabrication of
Superlinear Luminescence and Enhancement of Optical Power… 119

Figure 5.11 Band diagram of a heterostructure with a deep QW; solid arrows show the
CHCC AR process, and dashed arrows show the CHHS AR process.

structures of this kind on the basis of InAs(Sb)/Al(As)Sb and InAs/GaSb/AlSb


materials.
To calculate the AR rate, it is necessary to know the wavefunction of the
carriers. The wavefunctions of electrons and holes are calculated in the context
of the four-band Kane model, which takes into account the interaction between
all four of the bands in a semiconductor (conduction band, bands of heavy and
light holes, and spin split-off band).
The wavefunctions of electrons in a QW are expressed by Ref. 25 at
jxj < a=2:
Csc ðxÞ ¼ A1 cos kc xh þ A2 sin kc x ,

0 1
kc sin kc xh lc q cos kc x 
iħg B C
Cc ðq, xÞ ¼ A1 @ iq cos kc xh þ ilc kc sin kc x  A
Z
lc kc sin kc x þ lc q cos kc xh
0 1
kc cos kc x lc q sin kc xh
iħg @
þ A2 ilc kc cos kc xh iq sin kc x A, ð5:4Þ
Z
lc q sin kc x lc kc cos kc xh
120 Chapter 5

and at jxj > a=2:


~ ~
Cð1Þ
sc ðxÞ ¼ ½A 1 h þ A 2 
e
kc ðx a=2Þ
,
0 1
iħg kc h ~l c q 
~ @
Cð1Þ
c ðq, xÞ ¼ ~ A 1 iq h þ i~l c kc  Ae kc ðx a=2Þ
Z ~l k  þ ~l q h
c c c
0 ~l q h 1
iħg ~ @ ~ k c  c
þ ~ A 2 i l c kc h iq  Ae kc ðx a=2Þ , ð5:5Þ
Z ~l q  þ ~l k h
c c c

where

E 2 þ Eð2Eg þ 2dÞ þ ðEg þ 3dÞEg



E þ Eg þ 2d
~ ~
~ ¼ E þ Eð2Eg þ 2Uv þ 2dÞ þ ðEg þ Uv þ 3dÞðEg þ Uv Þ ,
2
Z ~
E þ Eg þ Uv þ 2d
d
lc ¼
E þ Eg þ 2d
~
~l ¼ d
:
E þ Eg þ Uv þ 2~
c
d
Here, kc is the x component of the quasi-momentum of electrons in the
QW, q is the longitudinal momentum of electrons, kc is the modulus of the x
component of the quasi-momentum of electrons below the barrier, d ¼ DSO =3,
~
d ¼ D~SO =3, and a is the QW width.
For heavy holes,
Csh ¼ 0
0 1
q cos kh x 
Ch ðq, xÞ ¼ H1 @ ikh sin kh x  A
kh sin kh x  þ q cos kh x h
0 1
q sin kh x h
þH2 @ ikh cos kh x h A: ð5:6Þ
q sin kh x  kh cos kh x h
Here, q and kh are
 the y and x components
  of the quasi-momentum of heavy
p 1 p 1
holes,  ¼ 1= 2 , h ¼ 1= 2 , and H1 and H2 are the normalization
1 1
constants.
Superlinear Luminescence and Enhancement of Optical Power… 121

In the barrier region, the wavefunctions exponentially decay away from


the interface:
0 1 0 1
q qh
ð1Þ ~ B C ~ B C
Ch ðq, xÞ ¼ H 1 @ ikh  Ae kh ðx a=2Þ þ H 2@ ikh h Ae kh ðx a=2Þ :
kh  þ q h q  þ kh h

ð5:7Þ

To calculate the energy levels of electrons and holes in the QW, it is


necessary to use boundary conditions imposed on the wavefunctions of
carriers. The boundary condition indicates that Csc and Cpc for electrons
should be continuous. This leads to a dispersion equation:
    
Z Z ~ Z 2
kc tgkc a=2 ~ kc kc ctgkc a=2 þ ~ kc ¼ q lc l c ~ :
2
ð5:8Þ
Z Z Z

The heavy hole energy spectrum is defined as the spectrum of a particle in


a rectangular QW. The dispersion equation takes the form
kh
tgkh a=2 ¼
kh
for even states and
kh
ctgkh a=2 ¼ ð5:9Þ
kh
for odd states. With QW width as a parameter, we can numerically construct
the dependences Ec, hh ðaÞ .
Let us consider various interband transitions in carrier recombination
(Fig. 5.11):
1. Electron–hole transition (CH-transition) with energy Egeff ¼ Ec1 þ Ehh þ Eg ,
where Ec1 and Ehh are the ground QW energy levels of electrons and holes in
a well, respectively.
2. Transition of an excited electron to the second energy level in the well
(CC-transition); DEc ¼ Ec2 Ec1 is the energy spacing between neighbor-
ing electronic levels in the well.
3. Transition with excitation of a heavy hole into the spin split-off band
(HS-transition). Energy of transition between the ground levels of heavy
(Ehh ) and spin split-off (ESO ) holes is EHS ¼ DSO Ehh þ ESO .
Figures 5.12(a) and (b) show the energy spectrum of the CHCC and
CHHS AR processes for an InAs0:84 Sb0:16 =AlðAsÞSb heterostructure at RT. It
can be seen that, on the one hand, the CHHS process is possible if the
condition a < 9 nm (when Egeff > EHS ) is satisfied, and on the other hand,
122 Chapter 5

Figure 5.12 Dependence of the energy spectrum of the (a) CHCC and (b) CHHS process
on the QW width.

a resonant CHCC process occurs in a structure with a QW width a > 11 nm


(when Egeff > DEc ). In the interval 9 11 nm, the only AR mechanism is the
threshold mechanism of the CHCC process, in which an excited electron
remains on the ground level in the QW and only the longitudinal component
of its quasi-momentum changes. The probability of such a transition is limited
by the law of conservation of the longitudinal component of the quasi-
momentum, in contrast to the zero-threshold mechanism in which an excited
electron is transferred to the continuous spectrum. The latter is ruled out
because of sufficient QW depth.
To calculate the AR rate, it is necessary to sum up the probabilities of
an Auger transition in unit time over all initial and final states of carriers with
the corresponding weights (occupancy numbers):
2p X
Ga ¼ hMfi2 i  f1 f2 ð1 f3 Þð1 f4 ÞdðE3 þ E4 E1 E2 Þ, ð5:10Þ
ħ k k k k
1, 2, 3, 4

where 
 
 e2 
Mfi ¼ 
Cf ðr1 , r2 Þ   C i ðr1 , r2 Þ ð5:11Þ
k0 j r1 r2 j 
is the matrix element of the electron–electron interaction, r1 and r2 are the
carrier coordinates, e is the elementary charge, and k0 is the static relative
permittivity of the semiconductor.
In Eq. (5.10), f1 and f2 are the Fermi distribution functions of carriers in
the initial state, and f3 and f4 those in the final state. For highly excited states,
f4 can be taken to be zero. It should be noted that 1 f3 is the hole
distribution function.
Superlinear Luminescence and Enhancement of Optical Power… 123

Figure 5.13 Total AR coefficient (order-of-magnitude values) versus the QW width.

In what follows, we are also interested in the AR coefficient that depends


on structure parameters and temperature and is related to the AR rate by
C ¼ Ga =n2 p: ð5:12Þ
Here n and p are the electron and hole concentrations in the QW,
respectively.
Figure 5.13 shows how the total AR coefficient (order-of-magnitude
values) depends on the QW width. In the first region (a < 9 nm), the CHHS
process predominates, with a certain contribution made by the threshold
CHCC AR process. In the second region (9–11 nm), the CHHS process is
totally suppressed, and the weaker threshold CHCC process becomes the most
important. We call this range the region of AR suppression. At a > 11 nm, the
resonant CHCC process becomes the only AR process. The plot shown in
Fig. 5.13 suggests that the threshold process is the slowest in our system and
the AR coefficient for this process is two to three orders of magnitude smaller
than those for the other two considered processes. Thus, it is apparent that a
correct choice of the parameters of the heterostructure ða, Uc , Uv Þ does enable
considerable suppression of the nonradiative AR via elimination of its fastest
processes.
To determine whether an IR laser can be fabricated on the basis of this
structure, it is necessary to determine its basic characteristics: the threshold
drive-current density and internal quantum efficiency at the lasing
threshold. To calculate the threshold current density, it is necessary to
determine the threshold carrier density in the QW. We are going to find it
from the threshold lasing condition:
NQW Gg0max ¼ aint þ aext , ð5:13Þ
124 Chapter 5

where G is the optical confinement factor for a single QW, g0max is the
maximum gain, aint is the internal loss at the lasing threshold, aext ¼
ð1=LÞlnð1=RÞ is the mirror loss, and NQW is the number of QWs.
The relation for the maximum gain per QW, expressed in terms of the
carrier concentration within the well, has the form26
 
2 p a M mc Eg ðEg þ DSO Þ 1
g0 ¼ Icv p
max
1
a «1 þ M m0 2 E
Eg þ DSO g
3
" ! !#
n2D
QW 1 p2D
QW
 1 exp exp , ð5:14Þ
Nc2D M Nc2D

where a ¼ e2 =ħc  1=137, « is the relative permittivity, n2D 2D


QW and pQW are
the 2D concentrations of electrons and holes in the active region,
respectively, a is the QW (active region) width, M ¼ mhh =mc , Nc2D ¼
mc kT=pħ2 is the 2D effective density of states in the conduction band
within the active region, and is the overlap integral for the wavefunctions of
electron and holes.
Using the neutrality condition for the total charge within the QW
QW ¼ pQW ¼ nth Þ and simultaneously solving Eqs. (5.13) and (5.14), we find
ðn2D 2D

the threshold carrier concentration nth within the active region.


The total current flowing through the heterostructure is basically
determined by the sum of the currents of radiative and nonradiative AR
within the active region:
jth ¼ eNQW B2D n2th þ eNQW Cn3th , ð5:15Þ
where B2D (cm2 s 1 ) is the radiative recombination constant for QWs, which is
a function of temperature, B2D / 1=T. C (cm4 s 1 ) is the AR coefficient.
The internal quantum efficiency is defined by the expression
B2D
h¼ : ð5:16Þ
B2D þ Cnth
The internal quantum efficiency and threshold current density rather
heavily depend on the waveguide configuration. As the cavity length
L becomes shorter, the mirror loss aext grows, which, in turn, leads to an
increase in the threshold carrier concentration. As can be seen from Eqs.
(5.15) and (5.16), this causes a decrease of h and an increase of jth . However, it
is more appropriate to use an SL with several QWs in short-cavity lasers.
Fig. 5.14(a) shows the dependence of the threshold drive-current density on
the number of QWs in a structure. It can be seen that for a short cavity, the
dependence exhibits a pronounced minimum at NQW ¼ 3, where the internal
quantum efficiency exceeds 80% [Fig. 5.14(b)]. As the number of QWs in the
Superlinear Luminescence and Enhancement of Optical Power… 125

Figure 5.14 (a) Threshold current density versus the number of QWs. (b) Internal quantum
efficiency versus the number of QWs.

SL increases, h tends to a constant value of about 85%. It is important to note


that this result was obtained for T ¼ 300 K.

5.5 Conclusions
This chapter reports on the observation of superlinear luminescence in the
spectral range of 0.2–0.8 eV and the enhancement of the optical power in bulk
GaSb-based type-II heterostructures with high potential barriers and
InGaAsSb narrow-gap active layer and in quantum-sized heterostructures
with deep Al(As)Sb/InAs0.84Sb0.16/Al(As)Sb QW grown by MOVPE on a
n-GaSb substrate.
It was established that in both types of structures under study the
obtained effects of superlinear luminescence can be explained by a similar
mechanism: the contribution to radiative recombination of extra electron–
hole pairs due to impact ionization by hot electrons that were heated via
high conduction-band offset at the interface of narrow-gap heterostructures
or in a deep QW (inverse Auger process).
Optical power increase in both types of structures is described by the same
power law P ¼ A  IB, where A is the fitting parameter, I is the drive current,
and B is the exponent. B reaches 1.5–2.2 in bulk heterostructures and 2–3
in nanostructures at 77 and 300 K due to the stronger localization of carriers
in a deep QW. Theoretical estimations are in good agreement with the
experimental data.
Obtained results of the increase in optical power can be also applied for
improving the parameters of light-emitting devices as well as solar cells and
thermophotovoltaic cells. Theoretical estimations of radiative and Auger
recombination were considered depending on QW width, and design of a new
high-efficiency laser for the mid-infrared range operating at RT was proposed
as a further task.
126 Chapter 5

Acknowledgment
This work was supported by CSF Project 13-15286S, by grant of RBRF RAS
#12-00597, and by Program #24 of RAS Presidium.

References
1. M. P. Mikhailova and A. N. Titkov, “Type II heterojunctions in the
GaInAsSb/GaSb system,” Semicond. Sci. Technol. 9, 1279 (1994).
2. M. Mikhailova, N. Stoyanov, I. Andreev, B. Zhurtanov, S. Kizhaev,
E. Kunitsyna, K. Salikhov, and Yu. P. Yakovlev, “Optoelectronic
sensors on GaSb- and InAs-based heterostructures for ecological
monitoring and medical diagnostics,” Proc. SPIE 6285, 658526 (2007)
[doi: 10.1117/12/723507].
3. A. N. Baranov, B. E. Dzhurtanov, A. N. Imenkov, A. A. Rogachev,
Y. M. Shernyakov, and Yu. P. Yakovlev, “Generation of coherent
radiation in a quantum-well structure with one heterojunction,” Sov.
Phys. Semicond. 20, 1385–1387 (1986).
4. D. Barate, R. Teissier, Y. Wang, and A. N. Baranov, “Short wavelength
intersubband emission from InAs/AlSb quantum cascade structures,”
Appl. Phys. Lett. 87, 051103 (2005).
5. R. Teissier, D. Barate, Y. Devinson, A. N. Baranov, X. Marcadet, C.
Bernard, and C. Sirtory, “Antomonide quantum cascade lasers for the
3-5 mm wavelength spectral range,” Inst. Phys. Conf. Ser. 187, p. 307 (2005).
6. M. P. Mikhailova, I. A. Andreev, K. D. Moiseev, E. V. Ivanov, N. D.
Stoyanov, Yu. P. Yakovlev, E. Hulicius, A. Hospodková, J. Pangrác,
K. Melichar, and T. Šimeček, “Photovoltaic detector based on type II
p-InAs/AlSb/InAsSb/AlSb/p-GaSb heterostructures with a single quan-
tum well for mid-infrared spectral range,” Proc. SPIE 7138, 713813 (2008)
[doi: 10.1117/12.818007].
7. G. G. Zegrya and A. D. Andreev, “Theory of the recombination of
nonequilibrium carriers in type-II heterostructures,” Zh. Eksp. Teor. Fiz.
109, 615–638 (1996).
8. L. V. Danilov and G. G. Zegrya, “Theoretical study of Auger recombina-
tion processes in deep quantum wells,” Semicond. 42, 550–556 (2008).
9. H. Kroemer and G. Griffiths, “Staggered-lineup heterojunctions as
sources of tunable below-gap radiation: Operating principle and
semiconductor selection,” IEEE Electron Device Lett. 4, 20–22 (1983).
10. L. V. Danilov and G. G. Zegrya, “Threshold characteristics of an IR laser
based on deep InAsSb/AlSb quantum wells,” Semicond. 42, 557–562 (2008).
11. M. Z. Zhingarev, V. I. Korolkov, M. P. Mikhailova, V. V. Sazonov, and
D. N. Tretyakov, “Avalanche multiplication and coefficients of impact
Superlinear Luminescence and Enhancement of Optical Power… 127

ionization in p-n homojunctions and heterojunctions made of GaSb and


its solid solutions,” Sov. Phys. Semicond. 14, 801–806 (1980).
12. J. Tauc and A. Abrahám, “The quantum efficiency of the internal photo-
electric effect in indium antimonide,” Czech. J. Phys. 9, 95–100 (1959).
13. F. Capasso, W. T. Tsang, A. L. Hutchinson, and G. F. Williams,
“Enhancement of electron impact ionization in a superlattice: A new avalanche
photodiode with a large ionization rate ratio,” Appl. Phys. Lett. 40, 38 (1982).
14. A. P. Dmitriev, M. P. Mikhailova, and I. N. Yassievich, “Impact
ionization in AIIIBV semiconductors at high electric fields,” Phys. Stat.
Sol. B 140, 9–37 (1987).
15. M. P. Mikhailova, A. A. Rogachev, and I. N. Yassievich, “Impact
ionization and Auger recombination in InAs,” Sov. Phys. Semicon. 10,
866–871 (1976).
16. H. Kroemer, “The 6.1 Å family (InAs, GaSb, AlSb) and its hetero-
structures: a selective review,” Phys. E 20, 196–203 (2004).
17. S. Sasa, Y. Nakajima, M. Nakai, M. Inoue, D. C. Larrabee, and J. Kono,
“Near infrared intersubband transitions in delta-doped InAs/AlSb multi-
quantum wells,” Appl. Phys. Lett. 85, 5553 (2004).
18. D. Kindl, J. Toušková, E. Hulicius, J. Pangrác, T. Šimeček, V. Jurka,
P. Hubík, J. J. Mareš, and J. Krištofik, “Influence of growth rate on
charge transport in GaSb homojunctions prepared by metalorganic vapor
phase epitaxy,” J. Appl. Phys. 95, 1811 (2004).
19. K. V. Kalinina, M. P. Mikhailova, B. E. Zhurtanov, N. D. Stoyanov, and
Yu. P. Yakovlev, “Superlinear electroluminescence in GaSb-based
heterostructures with high potential barriers,” Semicond. 47, 73–80 (2013).
20. M. P. Mikhailova, E. V. Ivanov, L. V. Danilov, K. V. Kalinina, N. D.
Stoyanov, G. G. Zegrya, Yu. P. Yakovlev, E. Hulicius, A. Hospodková,
J. Pangrác, and M. Zíková, “Superlinear electroluminescence due to
impact ionization in GaSb-based heterostructures with deep Al(As)Sb/
InAsSb/Al(As)Sb quantum wells,” J. Appl. Phys. 112, 023108 (2012).
21. G. G. Zegrya, “Antimonide Related Strained Layer Heterostructures”
in Optoelectronic Properties of Semiconductors and Superlattices, M. O.
Manasreh, Ed., Gordon and Breach Science Publishers, Amsterdam,
pp. 273–368 (1997).
22. F. Capasso, “Physics of Avalance Photodiodes,” in Semiconductors and
Semimetals, Vol. 22: Lightwave Communications Technology, Part D.
Photodetectors, W. I., Tsang, Ed., AT&T Bell Lab, Holmdel, NJ (1985).
23. G. G. Zegrya and V. A. Kharchenko, “New mechanism of Auger
recombination of nonequilibrium current carriers in semiconductor
heterostructures,” Zh. Eksp. Teor. Fiz. 101, 327–343 (1992).
128 Chapter 5

24. V. N. Abakumov, V. I. Perel, and I. N. Yassievich, “Nonradiative


recombination in semiconductors,” Modern Problems in Condensed
Matter Sciences Vol. 33, V.M. Agranovich and A. A. Maradudin, Eds.,
North-Holland, Amsterdam, p. 320 (1991).
25. G. G. Zegrya and A. S. Polkovnikov, “Mechanisms of Auger recombina-
tion in quantum wells,” J. Exp. Theor. Phys. 86, 815–832 (1998).
26. L. V. Asryan, N. A. Gun’ko, A. S. Polkovnikov, G. G. Zegrya, R. A.
Suris, P.-K. Lau, and T. Makino, “Threshold characteristics of
InGaAsP/InP multiple quantum well lasers,” Semicond. Sci. Technol.
15, 1131 (2000).

Maya P. Mikhailova received her Ph.D. degree in 1967


and Doctor Sci. degree in 1984, both in physics and
mathematics, at Ioffe Physical Technical Institute,
St. Petersburg. Her Doctor’s thesis was devoted to
impact ionization and carrier heating processes in III-V
semiconductors. These studies allowed for the design of
low-noise avalanche photodiodes with high ionization
coefficient ratio for the spectral range of 2–4 mm. In
1990 she began investigating the interface optical and quantum magneto-
transport phenomena in type-II heterostructures based on the GaSb-InAs
system (Ioffe Prize, 2005). Her recent interests include luminescence and
photoelectrical phenomena in the narrow-gap heterostructures with deep
quantum wells and quantum dots. She has published more than 200 papers,
some chapters in books, and 24 inventions. The results of her studies were
applied for creation of optoelectronic sensors for ecological monitoring and
medical diagnostics. She is currently Principal Researcher and Professor at the
Infrared Optoelectronic Laboratory of the Ioffe Institute.

Leonid V. Danilov received his Master’s degree from


St. Petersburg Electrotechnical University in 2007.
Currently, he is working on his Ph.D. at the Ioffe
Physical Technical Institute. His focus is the theoretical
study of the radiative and nonradiative recombination
of carriers in semiconductor heterostructures for infra-
red lasers. The main goal of this investigation is the
enhancement of quantum efficiency of infrared lasers by
optimizing the parameters of the heterostructure.
Superlinear Luminescence and Enhancement of Optical Power… 129

Karina V. Kalinina graduated from the Baltic State


Technical University with an engineering degree in
2007, when she joined the Laboratory of Infrared
Optoelectronics, Ioffe Physical Technical Institute. Her
main scientific interests are related to the study of
narrow-bandgap III-V semiconductors and the devel-
opment of efficient emitters for the mid-infrared
spectral range (1.5–5 mm). Her research on new
approaches to the improvement of GaSb-InAs-based LED optical power
became the essence of her Ph.D. thesis, which was defended in 2012. She has
published more than 20 papers in peer-reviewed journals and conference
proceedings. Since 2011 she has been working at LED Microsensor NT, LLC,
St. Petersburg, Russia, a company that is oriented for series production of
mid-infrared LEDs and photodiodes for various sensing systems.

Edward V. Ivanov is a researcher at Infrared Optoelec-


tronics Laboratory in Ioffe Physical-Technical Institute of
the Russian Academy of Sciences, St. Petersburg, Russia.
In 1995 he graduated from St. Petersburg Institute of Fine
Mechanics and Optics (ITMO Technical University) with
a degree in opto-electronic engineering. In 1999 he
received a Ph.D. degree in optics and quantum electronics
from ITMO and joined Ioffe Institute. His current
research interests are electrical and electroluminescent properties of III-V
semiconductor nano- and heterostructures, characterization of LEDs and
laser diodes for the 1- to 5-mm spectral range, and optoelectronic systems for
industrial, medical, and environmental applications. He has coauthored more
than 30 papers in refereed journals and conference proceedings.

Nikolay D. Stoyanov graduated from St. Petersburg


Electrotechnical Institute, Laboratory of Semiconductors
and Dielectrics in 1991, and obtained a Ph.D. degree in
physics of semiconductors in 2005. From 1992 to 1995 he
worked in the Institute of Solid State Physics, Bulgarian
Academy of Sciences in Sofia as a scientific researcher.
His main field of interest there was creation and
investigation of MOSFET absorption gas sensors. Since
2001 he has worked at Ioffe Institute as a scientific researcher. His main
scientific interests are research and development of mid-IR laser diodes, light-
130 Chapter 5

emitting diodes, and photodiodes for spectral range of 1600–4700 nm based on


GaSb-InAs multicomponent solid solutions: electrical, optical, and opto-
electrical measurements, and optimization of the device structure. The topic of
his Ph.D. thesis was LEDs and PDs for the spectral range of 1.5–4.8 mm based
on type-II heterojunctions in the GaSb/GaInAsSb system. Dr. Stoyanov has
authored about 30 scientific articles. Since November 2011 he has been the
CEO of LED Microsensor NT, LLC, a company established with Rusnano
Corp., a state corporation created for the support of nanotechnologies. His
company produces LEDs and photodiodes for the mid-infrared spectral range
(1600–5000 nm). The company’s main fields of application are related to
portable gas analysis for ecological monitoring and medical diagnostics.

Georgy G. Zegrya received the Ph.D. degree in 1982 and


the Doctor of Science degree in 1996, in both physics and
mathematics from Ioffe Physical-Technical Institute,
St. Petersburg, Russia. His main research interests are
semiconductor heterostructures (quantum wells, wires,
and dots) for IR lasers and nanotechnologies in biology
and medicine. He developed the theory of Auger
recombination processes in quantum wells. In 2006 Prof.
Zegrya organized and headed the research center Nanotechnologies for Biology
and Medicine. He proposed a new method of biological molecule diagnostics
(amino acids) using semiconductor quantum dots. Prof. Zegrya has published
more than 220 papers on the electronic and optical phenomena in
semiconductor heterostructures and physics of semiconductor lasers.

Yury P. Yakovlev graduated with a M.Sc. degree from


the Leningrad Electrical Engineering Institute in
1962 and joined Ioffe Physical-Technical Institute in
1969. He received his Ph.D. degree in 1978 and the
Doctor of Science degree in 1995 in both physics and
mathematics from Ioffe Institute. His main research
interests are narrow-bandgap III-V solid alloys hetero-
structures and optoelectronic devices (LEDs, lasers, and
photodiodes). In 1992, Yury Yakovlev established and headed an optoelec-
tronic company, IBSG, for research and development of mid-IR semiconduc-
tor devices. In 1995, Yury Yakovlev organized and headed the Laboratory of
Infrared Optoelectronics (LIRO) in the Ioffe Institute. Prof. Yakovlev has
authored or coauthored 80 patents and more than 200 papers, reviews, and
book chapters.
Superlinear Luminescence and Enhancement of Optical Power… 131

Alice Hospodková graduated from Czech Technical


University and finished postgraduate studies in the
Faculty of Mathematics and Physics, Charles Univer-
sity in Prague in 1999. Her work concentrates mainly on
the design and MOVPE growth of structures containing
In(Ga)As/GaAs or Ga(As)Sb/GaAs self-assembled
quantum dots and Sb-based MOVPE-prepared struc-
tures for mid-infrared devices.

Jiří Pangrác graduated from the Department of


Inorganic Chemistry, Charles University, Prague, in
1980. Since 1981, he has been working at the Institute of
Physics of the Academy of Sciences, Czech Republic in
Prague. He is responsible for the MOVPE preparation
and growth processes of AIIIBV-based layers and
structures, including InAs/GaAs quantum-dot and
quantum-well structures and lasers.

Markéta Zíková is a Ph.D. student of Physical


Engineering at the Czech Technical University in
Prague. Since 2011, she has been a member of the
MOVPE group at the Institute of Physics of the
Academy of Sciences, Czech Republic. She is writing
her dissertation on InAs/GaAs QDs covered by a
GaAsSb strain-reducing layer.

Eduard Hulicius is a Professor at the Czech Technical


University in Prague and Head of the MOVPE group at
the Institute of Physics of the Czech Academy of
Sciences. His research activity is on semiconductor
lasers, LEDs, and laser structures, especially for the
mid-infrared wavelength region, MOVPE technology,
and AIIIBV heterostructures and nanostructures.
Chapter 6
Antimonide Quantum Dot
Nanostructures for Novel
Photonic Device Applications
Anthony Krier, Peter J. Carrington, Qiandong Zhuang, Robert J. Young,
Manus Hayne, Lu Qi, and Juanita James
Department of Physics, Lancaster University, Lancaster, UK

Magnus C. Wagener and J. Reinhardt Botha


Department of Physics, Nelson Mandela Metropolitan University,
Port Elizabeth, South Africa

Paul Koenraad and Erwin Smakman


Department of Applied Physics, Technical University of Eindhoven, Eindhoven,
The Netherlands

6.1 Introduction
6.2 Molecular Beam Epitaxy Growth of InSb Quantum Dots
6.3 Characterization of InSb Quantum Dots
6.4 MBE Growth of GaSb Quantum Dots
6.5 Solar Cells Containing Stacks of GaSb Quantum Rings
6.6 Summary
Acknowledgments
References

6.1 Introduction
The 3D confinement of carriers in quantum dot (QD) structures offers an
attractive alternative compared with bulk or quantum well (QW) structures
for optoelectronic devices because of the improved (d-like) density of states
(DOS) leading to higher radiative transition rates, narrower spectral
linewidth, and the possibility to minimize Auger recombination. This chapter
133
134 Chapter 6

is concerned with the molecular beam epitaxial growth and optical properties
of self-assembled InSb and GaSb QDs in InAs and GaAs, respectively.
Section 6.2 describes investigations into the growth of InSb on InAs using
conventional Stranski–Krastanov growth. In Section 6.3 the structural and
optical properties of InSb submonolayer QDs grown using an Sb–As
exchange reaction are described, and their performance within a p-i-n LED
is evaluated. The growth of GaSb QDs in GaAs is reported in Section 6.4
together with the formation of quantum rings (QRs), and the application of
stacks of such GaSb QRs within a solar cell is described in Section 6.5.

6.2 Molecular Beam Epitaxy Growth of InSb Quantum Dots


Different growth techniques, including liquid phase epitaxy,1 metalorganic
vapor phase epitaxy,2 and molecular beam epitaxy (MBE)3 have been used to
fabricate InSb/InAs QDs. The first structures contained InSb layers that
exceeded the critical thickness for Stranski–Krastanov (SK) growth at 1.7
monolayer (ML) and exhibited only weak mid-infrared photoluminescence
(PL) at low temperatures. Transmission electron microscopy (TEM) studies
showed that the large (>25-nm diameter) InSb islands formed in this case
were completely relaxed.4 Unlike the InAs/GaAs system, which has a similar
lattice mismatch, the SK growth of InSb in InAs using MBE does not result in
the formation of high-density, small, coherent QDs due to Sb segregation and
surfactant effects. More recent developments in MBE growth using an Sb–As
exchange reaction have shown that a uniform dense array (sheet density
1012 cm 2) of extremely small (mean lateral size 2.5 nm) InSb QDs can be
produced within an InSb submonolayer inserted in InAs, where the
submonolayer is below the critical thickness for SK growth. These InSb-
submonolayer QD structures showed bright PL up to room temperature (RT)
and low temperature lasing5 at 3.08 mm with a threshold current density of
2–3 kA/cm2. However, numerous long growth interruptions necessary to
change the Sb4 flux during growth is one of the main reasons for the high
threshold current density in these lasers. Subsequently, we reported on the
optical properties of InSb/InAs QD structures grown by MBE using Sb2 and
As2 fluxes as well as on intense RT electroluminescence (EL) with a peak at
wavelength near 3.8 mm observed from p-i-n InSb/InAs QD light-emitting
diodes (LEDs).
The structures were produced using Sb2 and As2 from valved cracker cells
that allow the flux to be changed rapidly to overcome problems with growth
interruptions. Furthermore, the optical quality of binary compounds is known
to be significantly better using dimers instead of tetramers, and the same
improvement is expected to be achieved in the growth of the QD structures.
The samples were grown on n-InAs (001) substrates using a VG-V80H MBE
reactor. In situ reflection high-energy electron diffraction was used to monitor
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 135

surface reconstruction. The substrate temperature was stabilized using a


thermocouple with control loop electronics and was monitored using an
infrared pyrometer that was calibrated using surface reconstruction transi-
tions at a fixed As flux. Samples for PL studies contained 10 InSb QD sheets
separated by 8–30-nm-thick InAs barriers, capped with a 100-nm-thick InAs
layer. The InSb QD layers were formed by briefly exposing the InAs growth
surface to an Sb flux, exploiting a very efficient Sb-to-As anion exchange
reaction. The growth temperature was varied between 300 and 450 8C to control
the InSb QD layer thickness in the range of 0.5–0.9 ML. Larger InSb QDs were
formed at 430 8C using a short InSb deposition in migration-enhanced epitaxy
(MEE) mode following the Sb-to-As exchange procedure. Pronounced high-
order satellite peaks as well as numerous Pendellosung fringes were clearly
observed in the x-ray diffraction (XRD) rocking curves. TEM studies of the InSb
submonolayer structures revealed the presence of a dense array of nanometer-
sized InSb islands within the InSb insertions. The lateral size distribution and
density of the islands was found to be practically the same as that observed
previously in submonolayer structures grown using Sb4 and As4 fluxes.

6.3 Characterization of InSb Quantum Dots


Temperature-dependent PL was carried out in the range of 4–300 K. An
Ar-ion laser operating at 488 nm was used for excitation of the sample, which
was contained in a continuous-flow liquid-helium cryostat. The mid-infrared
radiation was analyzed using a Bentham 0.3-m monochromator and detected
using a 77-K InSb detector and conventional lock-in techniques. For EL
measurements, the samples were processed into mesa-etched homojunction
p-i-n LEDs that were 1 mm in diameter using standard photolithographic
techniques. PL emission spectra (80 K) from samples grown at different
temperatures are shown in Fig. 6.1(a). In each case, two peaks can be clearly
identified: 3.0 mm from InAs and a much stronger peak between
3.2–3.7 mm. The latter is associated with recombination of holes localized
in the InSb QDs and electrons in the surrounding InAs layers coupled to the
holes via Coulomb interaction. The QD PL shifts toward longer wavelengths
as the growth temperature decreases, consistent with the formation of larger
InSb QDs. The width of the QD peak also increases with decreasing growth
temperature, corresponding to recombination from a wider distribution of dot
sizes. For the lower growth temperatures (345 and 320 8C), the peak from the
InSb QDs is much higher than that from InAs, indicating stronger hole
localization in the larger QDs, as is required for LEDs and lasers operating at
high temperatures. Figure 6.1(b) shows the power-dependent PL spectra for
the 0.5-ML sample where a blueshift of the QD emission peak is observed
with increasing laser power. The QD PL peak wavelength was also
found to depend differently on growth temperature for QD structures grown
136 Chapter 6

Figure 6.1 (a) PL spectra (80 K) of the InSb QD structures grown at different temperatures.
The numbers on the left correspond to the InSb nominal thickness calculated from XRD
simulation. (b) Power-dependent PL spectra at 4 K for sample A0150 (reprinted from Ref. 6).
(See color plate section.)

using either (Sb2,As2) or (Sb4,As4). We found that for dimers the growth
temperature should be reduced by 50–100 8C in order to obtain the same PL
wavelength when using tetramers. XRD measurements revealed that for the
structures grown using (Sb2,As2), the amount of InSb deposited is less than for
(Sb4,As4) at the same growth temperature. This causes the PL to shift toward
shorter wavelengths since the reversed As-to-Sb exchange interaction is easier
under As2 flux, which is more aggressive than As4. This shift indicates that
there exists a dynamic equilibrium between Sb-to-As and As-to-Sb exchange
that depends on the growth temperature and As and Sb fluxes. However, no
difference was found in the behavior of Sb2 and Sb4 species for the growth
temperatures used.
When using Sb-to-As exchange with Sb2 and As2 cracker cells, low growth
temperatures (<350 8C) are needed to form QDs with sufficient localization
energy. However, EL from LEDs containing such QDs was weak at RT. At such
low growth temperatures, the material quality is poor and there is strong surface
segregation of Sb atoms in the surrounding InAs matrix that forms rough
interfaces and that alters the band structure considerably. This problem could be
overcome by using Sb4/As4, but then long growth interruptions are needed to
change the flux when growing laser structures, and the material quality is poor.
To overcome these difficulties and to grow larger QDs at higher growth
temperatures using cracker cells for LEDs, a short InSb (0.7 ML) deposition by
MEE was used following the Sb exchange at 430 8C. PL spectra from a sample
grown using this technique are shown in Fig. 6.2, where the QD peak dominates
most of the temperature range and is comparable in intensity to InAs at RT. LED
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 137

Figure 6.2 (a) Temperature-dependent PL emission spectra for 10 layers of InSb QDs
grown using a short InSb deposition following the exchange at 430 8C. (b) Dependence of
the PL intensity for the 10 layers of InSb QD and the surrounding bulk InAs matrix. (See
color plate section.)

structures containing 10 InSb QD sheets grown using this technique and


separated by 19-nm-thick InAs barriers were grown on n-type InAs (100)
substrates.7 The use of an undoped 30-nm-thick Al0.9Ga0.1As0.15Sb0.85 electron
blocking barrier further increased the RT EL intensity by a factor of 5. Figure 6.3

Figure 6.3 RT emission spectra at various injection currents from a type-II InSb/InAs QD
LED structure with a lattice-matched AlGaAsSb barrier (reprinted from Ref. 7). (See color
plate section.)
138 Chapter 6

shows the RT EL spectra for one of the QD LEDs with a blocking barrier where
a single QD-related peak is observed at 3.8 mm. Note that the InAs-related
emission at 3.4 mm is hardly observable in these spectra. LED output power
under quasi-continuous drive conditions (1 kHz at 50% duty cycle) was
measured at RT using an integrating sphere and calibrated PbSe photodetector.
The corresponding internal quantum efficiency and output power were
estimated to be 0.4% and 6 mW, respectively. This value can be significantly
improved, and further device optimization is anticipated to lead to mid-infrared
sources that could be used in a variety of applications.

6.4 MBE Growth of GaSb Quantum Dots


There is increasing interest in type-II GaSb/GaAs QDs in which holes are
strongly confined in the QDs while electrons are Coulomb bound in the GaAs
matrix. The spatial separation of the electron–hole pairs increases the exciton
lifetime, an attractive attribute for applications in solar cells,8 while the deep
confinement potential for holes could provide RT charge storage for memory
devices.9 GaSb QDs are also of fundamental interest because they can be
readily transformed into QRs during the growth process.10 12 The QD band
alignment in this system is type II, such that holes are localized within the
GaSb QD/QR, but there is no electron confinement; therefore, electrons are
bound by Coulomb attraction to the confined holes.13 Because of this spatially
indirect exciton, type-II QDs and QRs show optical properties quite different
from those of type-I QDs, such as long radiative lifetime, Mott transitions,14
and large tunability of spectral emission.15
The experimental procedure used in our laboratory to control the
morphology of GaSb/GaAs nanostructures formed during growth by MBE
has been previously reported.16 In practice, for a fixed composition, the
emission/absorption properties of QD/QR assemblies depend on their size and
shape, while the intensity depends on the sheet density. These properties can
be largely controlled by the growth parameters such as deposition rate,
growth temperature, and V/III ratio. MBE growth tends to result in the
formation of rings, rather than dots,17 with the final capping, or annealing,
temperature influencing the ratio of major/minor axes of the rings that form.11
Typically GaSb QRs are grown by depositing 2.1 MLs of GaSb at 480 8C with
a growth rate of 0.3 MLs 1 and a V/III ratio of approximately 10. This layer
is then capped with a 5-nm layer of GaAs at 430 8C prior to growing a further
245 nm of GaAs at 1 ML 1 at a temperature of 580 8C. Samples containing
layers of rings were also grown under similar conditions for cross-sectional
microscopy; both high-resolution transmission electron microscopy
(HRTEM) and scanning tunneling microscopy (STM) were employed to
assess the structural properties (Fig. 6.4). The images illustrate the excellent
material contrast between GaAs- and GaSb-rich regions and show no
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 139

Figure 6.4 (a) HRTEM and (b) filled-state STM images illustrating excellent material
contrast between GaAs- and GaSb-rich regions and showing no crystal defects. (c) Low-
magnification dark-field 002 TEM showing several GaSb QRs (light) in the GaAs (dark)
matrix. (d) A local-electron DOS image of a similar ring taken using an STM reveals a
maximum toward the center of the ring. The dashed ellipses mark GaSb-rich lobes, as a
guide. The image in Fig. 6.4(d) was taken with a tip bias of þ0.44 V. As the latter technique
requires a tunnel current to facilitate local DOS measurements, a low level of background
doping (n-type 1017cm3 using GaTe) was introduced to the growth of all layers in the
sample (reprinted from Ref. 12). (See color plate section.)
140 Chapter 6

evidence of crystal defects. When the rings are measured in cross section, two
GaSb-rich lobes are typically seen, with separations varying between 0 and
25 nm, dependent on the position of the section with respect to the center of
the ring (Fig. 6.4). The major radius of the rings is unlikely to influence the
heavy-hole wavefunction in this system, as it is expected to be localized in a
relatively small section of the ring. Despite this, the geometry does strongly
influence the excitonic properties of the system. STM measurements indicate
that the GaAs in the center of the ring is quite pure, i.e., contains much less Sb
than that surrounding it. The local electron DOS measurements confirm the
presence of a maximum toward the center of the ring, as shown in Fig. 6.4(d).
Figure 6.5 shows the high-resolution XRD (HRXRD) spectra obtained
from a stack of GaSb/GaAs QRs where several pronounced high-order
satellite peaks are observed. The full width at half maximum (FWHM) of the
satellite peaks is approximately 50 arcsec and indicates the high crystalline
perfection of the nanostructured layers. Figure 6.5 (b) shows the correspond-
ing PL spectra measured at 4 K for the 5 and 10 layer where three
characteristic peaks can be clearly identified: one at 1.48 eV from GaAs, a
wetting layer peak near 1.3 eV, and a peak at 1.1 eV, corresponding to
recombination of holes localized in the GaSb QRs with electrons in the
surrounding GaAs. Despite the type-II band alignment, the intense peak from
the GaSb QRs indicates the strong exciton oscillator strength necessary for
efficient light absorption. This is due to the reduced strain around the QR that
allows the electron to sit near or inside the QR in close proximity with the
confined holes.
Recently, we developed a new growth technique that does not use a cold
cap to produce (ten) stacked layers of high-purity GaSb/GaAs QRs.18 The
resulting samples exhibit excellent crystalline quality and long-wavelength PL
peaking around 1.31 mm at 1.4 K. Analysis of the PL spectra reveals that a
GaAs cold cap increases the number of carbon acceptors, providing extra
holes to the QRs that then induce a blue-shift of the emission energy due to
capacitive charging. Figure 6.6(a) shows the calculated band structure along
with the first confined heavy-hole state for one such sample. The transition
and localization energies are E ¼ 0.925 and 0.595 eV, respectively, in excellent
agreement with those calculated previously.19 Figure 6.6(b) plots the change
in emission energy as a function of QR height (the range is chosen to be
roughly equal to the uncertainty in the TEM measurements). The emission
energy shows only a small variation, 20 meV, since confinement energies for
holes are less sensitive to size effects than are electrons. This is smaller than the
difference observed between the PL peak energies, 40 meV, and therefore
cannot explain the observed redshift. The size and shape of the QRs can also
influence the PL energy; however, this can be discounted since in these
samples the dimensions of the QRs are nearly identical. One possible
explanation is that the higher Sb composition in the GaAs matrix would
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 141

Figure 6.5 (a) HRXRD spectra obtained from the GaSb/GaAs QR solar cells where several
pronounced high-order satellite peaks are observed. The FWHM of the satellite peaks is
approximately 50 arcsec. (b) PL spectra measured at 4 K for the 5- and 10-layer samples
where the transitions corresponding to the GaSb/GaAs QRs, wetting layer, and GaAs are
identified. The intense emission from the QRs indicates the high exciton oscillator strength.
(See color plate section.)

reduce the emission energy, but the GaAs content inside and near the top of
the QRs where the electrons reside is very pure in both samples. Detailed
calculations regarding the effect of the Sb segregation on the strain and
emission energy can be found in Ref. 18. A likely explanation for the large
142 Chapter 6

Figure 6.6 (a) Calculated band structure of the GaSb/GaAs QR structure showing the
first confined heavy-hole (hh) state. (b) QR peak energy as a function of the QR height.
(c) Schematic illustrating the mechanism for charging the rings at zero laser power, in which
holes are supplied from carbon acceptors present in the GaAs cap. The additional holes in
sample B are due to the higher level of carbon acceptors present in the cold cap (reprinted
from Ref. 20).

difference in the emission energy between the two samples is a reduction in


the QR charging in sample A due to lower unintentional p doping in the
GaAs capping layer. The calculated values in Fig. 6.6 correspond to the
energy when no holes are present in the QRs. Using a single layer of GaSb QR
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 143

that exhibited discretely charged hole states, it was possible to estimate an


average charging energy of 21 meV to represent the energy required to
overcome the repulsive Coulomb potential to add one heavy hole to the QR.12
Consequently, the average number of holes per ring in a given sample can be
estimated.

6.5 Solar Cells Containing Stacks of GaSb Quantum Rings


In single-junction solar cells, photons with energies smaller than the bandgap
are not absorbed but are transmitted through the device instead. Conse-
quently, the introduction of QDs within the active region of a GaAs single-
junction solar cell is potentially an attractive approach toward absorbing
long-wavelength photons to extend the photoresponse and increase quantum
efficiency. For a single-junction solar cell, the maximum theoretical efficiency
increases from 33 to 41% under concentration from 1 to 1000 suns. However,
the optimum bandgap decreases from 1.2 eV (1 sun) to near 1 eV (1000 suns),
which is significantly below the bandgap of GaAs (Eg ¼ 1.4 eV).21 Because
their electrical and optical properties can be adjusted by controlling their size,
shape, and composition, incorporation of GaSb QDs in GaAs enables one to
conveniently tailor the bandgap energy for optimum spectral conditions under
high concentration. Furthermore, such QDs could also be readily incorpo-
rated within the GaAs section of a GaInP/GaAs/Ge triple-junction solar cell22
to reduce the bandgap toward 1.15 eV to help achieve current matching and
increase the overall efficiency.23
Several different approaches are currently being investigated to achieve
current matching in multijunction solar cells, including the use of metamorphic
InGaAs,24 InGaAs QWs,25 and dilute nitrides such as GaInNAs and
GaInNAsSb.26 Previous studies of QD solar cells have focused on InAs/GaAs27
and InGaAs/GaAs28 QDs, where an enhancement of the long-wavelength
photoresponse of up to around 1100 nm has been realized. GaSb/GaAs QDs are
an attractive alternative system. Compared to InAs QDs, this produces a red
shift of the photoresponse and should capture more of the infrared solar
spectrum, increasing the short-circuit current. The reduced electron–hole
wavefunction overlap results in longer carrier lifetimes, which should also
improve the extraction of photogenerated carriers. Furthermore, it is desirable
that electrons escape prior to heavy holes to prevent a reduction of the built-in
electric field that reduces the open-circuit voltage.29 As there is no electron
confinement in type-II QDs, photogenerated electrons are directly excited to the
GaAs matrix, where they are free to drift under the influence of the internal
electric field. The incorporation of type-II GaSb QDs has also been proposed as a
way to realize an intermediate-band solar cell.30 The spatial separation of
electron and holes should provide an intermediate band closer to the theoretical
optimum, with reduced thermal emission and capture processes.31
144 Chapter 6

Although the introduction of QDs into the active region of a solar cell can
extend the absorption edge into the infrared spectral range, thus increasing the
short-circuit current, it is necessary to stack multiple layers to increase the
light absorption. However, the large mismatch (7.8%) makes stacking GaSb
QDs difficult, and threading dislocations may be generated due to buildup of
internal strain. Previous work on GaSb/GaAs QD solar cells has focused on
the use of GaSb QDs grown using interfacial misfit (IFM) growth, which
relieves the strain energy associated with the lattice mismatch by the
formation of lateral-propagating dislocations.8 The resulting solar cells
exhibited extended infrared spectral response with short-circuit current and
open-circuit voltage of 1.29 mA/cm2 and 0.37 V, respectively. However, an
alternative strategy for stacking multiple layers is possible through the use of a
GaSb QR, which reduces the net strain without generating lateral or threading
dislocations.32 A schematic of the GaSb QR solar cell structure is shown in
Fig. 6.7(a). A 3-mm-thick n-type GaAs:Te base layer was grown first at 570 8C
with a doping density of 1017cm 3. This was followed by an intrinsic region
containing either 5 or 10 GaSb QR sheets, a 0.5-mm p-type GaAs:Be emitter
layer with doping density of 2  1018 cm 3, followed by a 30-nm Al0.8Ga0.2As
window layer and a 40-nm GaAs cap. A p-i-n GaAs control cell was also
grown with a 400-nm intrinsic region that contained no QRs. (The QRs were
grown using the abovementioned procedures). Figure 6.7(b) shows an image
of the fabricated (3.5-mm diameter) solar cell employing a circular metal grid
design. The corresponding energy band diagram of the structure is shown in
Fig. 6.7(c). Absorption of photons with energy below the bandgap of GaAs
excites electrons from the discrete states in the GaSb QRs into the GaAs
matrix. The photogenerated electron–hole pairs are then separated under the
influence of the built-in electric field.
The spectral response of the solar cells was measured using a 100-W
tungsten-halogen light source through a 0.25-m monochromator. Current
density (J)–voltage (V) curves were obtained under 1-sun illumination
(AM 1.5) using a 150-W Oriel® solar simulator. Figure 6.8(a) shows the
external quantum efficiency (EQE) for the solar cells [without antireflection
(AR) coatings]. The QR solar cells show extended long-wavelength
photoresponse [Fig. 6.8(b)] compared to the GaAs control cell, due to
absorption of low-energy photons. The increased response at 950 nm is due to
absorption within the wetting layer, whereas the longer-wavelength absorp-
tion up to 1400 nm is associated with the QRs. The long-wavelength EQE is
increased by increasing the number of QR stacks. Although the QR solar cells
show enhanced absorption below the GaAs bandgap, the EQE is reduced
above the bandgap. The EQE above the GaAs bandgap can be understood
using a 1D drift-diffusion model that divides the cell into emitter, depletion
region, and base regions and where the minority-carrier diffusion length is
dependent on the doping concentration.34,35 Figure 6.9 shows the fit obtained
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 145

Figure 6.7 (a) Schematic of the GaSb/GaAs QR solar cell structure grown by MBE
(reprinted from Ref. 33). (b) (top) Image of the fabricated (3.5-mm diameter) solar cell
employing a (bottom) circular metal grid design. (c) Schematic energy band diagram of the
structure under illumination. Absorption of photons with energy below the bandgap of GaAs
excites electrons from the discrete states in the GaSb QRs into the GaAs matrix. The
photogenerated electron hole pairs are then separated under the influence of the built-in
electric field. Also shown is the capture of holes and the recombination loss associated with
the n-Base current.

for both the QR solar cell and the GaAs control cell. The model shows that
the decrease in the EQE is mainly due to a reduction in the base current.
Minority holes that are photogenerated in the base region and undergo drift in
the depletion region are captured by the QRs, reducing the short-circuit
current. These trapped holes then act as recombination centers, thereby
decreasing the open-circuit voltage.
146 Chapter 6

Figure 6.8 (a) Spectral response for the GaSb/GaAs QR and GaAs control solar cells.
(b) Magnified view of the spectral response at longer wavelengths showing the enhanced
response for the QR solar cells due to the absorption of low-energy photons (adapted
from Ref. 32). (See color plate section.)

Figure 6.10 shows the illuminated 1-sun (AM 1.5) I-V characteristics for
the QRs and GaAs control solar cells, and Table 6.1 lists the corresponding
sample details, open-circuit voltage Voc, short-circuit current Jsc, fill factor
(FF), and efficiency. An enhancement of Jsc in all of the GaSb QR samples
compared to the GaAs control cell is observed due to the absorption of long-
wavelength photons by the QRs. Our best results to date were obtained for the
5-layer 1.5-ML solar cell that showed an open-circuit voltage of 0.62 V and a
short-circuit current of 20.7 mA/cm2, which are higher than those reported for
GaSb/GaAs QD solar cells grown using the IFM growth method. The Jsc and
Voc decrease as the size of the GaSb QRs and the number of stacks increase.
The lower Voc reduces the FF and efficiency compared to the GaAs control
cell, despite the increased Jsc.
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 147

Figure 6.9 Spectral response and curve fitting for (a) GaAs control cell, (b) 10-layer GaSb/
GaAs QR solar cell, and (c) 5-layer GaSb/GaAs QR solar cell. The contributions from the
emitter (electron current), base (hole current), and depletion region are labeled along with
the total contribution (&) and experimental data (!). It can be observed that the introduction
of QRs reduces the cell base current (reprinted from Ref. 32). (See color plate section.)

6.6 Summary
The MBE growth and characteristics of InSb and GaSb QD nanostructures
has been investigated with a view toward their incorporation into photonic
devices. Self-assembled InSb submonolayer QDs in an InAs matrix that have
excellent structural quality and exhibit bright PL up to RT have been grown
by MBE using Sb2 and As2 fluxes. Light-emitting diodes containing 10 layers
148 Chapter 6

Figure 6.10 Current density voltage curves for the GaAs control and GaSb/GaAs QR
solar cells (SCs) obtained using 1-sun AM-1.5 illumination. The short-circuit current is
enhanced by 5.9% in the solar cell containing 10 layers of QRs (reprinted from Ref. 32). (See
color plate section.)

Table 6.1 Open-circuit voltage (Voc), short-circuit current (Jsc), fill factor (FF) and efficiency
for each of the solar cells studied.

Sample FF (%) Jsc (mA/cm2) Voc (V) Efficiency (%)

GaAs control 78 26.4 0.96 19.7


10 Layer SC 70 27.9 0.58 11.4
5 Layer SC 73 25.3 0.61 11.3

of these InSb QDs and a 30-nm-thick AlGaAsSb electron blocking barrier


exhibited bright EL, peaking at 3.8 mm at RT. Similarly, we have developed
the growth of GaSb QRs that exhibit high purity and where the electron is
confined within the central region of the ring by Coulomb attraction. The
formation of QRs reduces the net strain without generating lateral or
threading dislocations and enables stacking of multiple layers to increase
optical absorption. The introduction of 10 stacked layers of such QRs into the
active region of a GaAs-based solar cell extends the absorption edge into
the infrared spectral range, which increases the (1-sun) short-circuit current by
6% compared to a GaAs control cell. Although much further work is
required, our results lay the foundation for the development of novel light
sources and photovoltaic devices in the near infrared as well as in the mid-
infrared spectral range.
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 149

Acknowledgments
This work was supported by the Engineering and Physical Sciences Research
Council (grant numbers EP/H006419, EP/E028209/1, and EP/G070334/1) and
the EU Marie-Curie Training network (PROPHET) PITN-GA-2010-264687,
also in the framework of the QD2D project, and the Royal Society-Brian
Mercer Feasibility Award. The authors are grateful to A. Sanchez and
R. Beanland (University of Warwick) and K T. Lai and S. K. Haywood
(University of Hull) for TEM and FTIR PL measurements, respectively, as
well as V. Solov’ev (Ioffe Institute) for assistance with the exchange growth of
InSb QDs. P. J. Carrington acknowledges support from the Royal Academy
of Engineering through a Research Fellowship.

References
1. A. Krier, X. L. Huang, and A. Hammiche, “Midinfrared photolumines-
cence of InAsSb quantum dots grown by liquid phase epitaxy,” Appl.
Phys. Lett. 77(23), 3791 (2000).
2. A. G. Norman, N. J. Mason, M. J. Fisher, J. Richardson, A. Krier, P. J.
Walker, and G. R. Booker, “Structural and optical characterisation of
MOVPE self-assmebled InSb wuantum dots in InAs and GaSb matrices,”
Inst. Phys. Conf. Ser. 137, 353 (1997).
3. S. V. Ivanov, A. N. Semenov, V. A. Solov’ev, O. G. Lyublinskaya, Ya. V.
Terent’ev, B. Ya. Meltser, L. A. Prokopova, A. A. Sitnikova, A. A.
Usikova, A. A. Toropov, and P. S. Kop’ev, “Molecular beam epitaxy of
type II InSb/InAs nanostructures with InSb submonolayers,” J. Cryst.
Growth 278, 72–77 (2005).
4. O. G. Lyublinskaya, V. A. Solov’ev, A. N. Semenov, B. Ya. Meltser,
Terent’ev Ya. V. Terent’ev, L. A. Prokopova, A. A. Toropov, A. A.
Sitnikova, O. V. Rykhova, S. V. Ivanov, K. Thonke, and R. Sauer,
“Temperature-dependent photoluminescence from type-II InSb/InAs
quantum dots,” J. Appl. Phys. 99, 093517 (2006).
5. V. A. Solov’ev, I. V. Sedova, O. G. Lyublinskaya, A. N. Semenov,
B. Ya. Meltser, S. V. Sorokin, Ya. V. Terent’ev, and S. V. Ivanov,
“Midinfrared injection-pumped laser based on a III-V/II-VI hybrid
heterostructure with submonolayer InSb insets,” Tech. Phys. Lett. 31,
235–237 (2005).
6. P. J. Carrington, V. A. Solov’ev, Q. Zhuang, S. V. Ivanov, and A. Krier,
“InSb quantum dot LEDs grown by molecular beam epitaxy for mid-
infrared applications,” Microelectron. J. 40(3), 469–472 (2009).
150 Chapter 6

7. P. J. Carrington, V. A. Solov’ev, Q. Zhuang, A. Krier, and S. V. Ivanov,


“Room-temperature mid-infrared electroluminescence from InSb/InAs
quantum dot light-emitting diodes,” Appl. Phys. Lett. 93, 091101 (2008)
8. B. Laghumavarapu, A. Moscho, A. Khoshakhlagh, M. M. El-Emawy,
L. F. Lester, and D. L. Huffaker, “GaSb/GaAs type II quantum dot solar
cells for enhanced infrared spectral response,” Appl. Phys. Lett. 90,
173125 (2007).
9. M. Geller, C. Kapteyn, L. Müller-Kirsch, R. Heitz, and D. Bimberg, “450
meV hole localization in GaSb/GaAs quantum dots,” Appl. Phys. Lett.
82, 2706 (2003).
10. R. Timm, H. Eisele, A. Lenz, L. Ivanova, V. Vossebürger,
T. Warming, D. Bimberg, I. Farrer, D. A. Ritchie, and M. Dähne,
“Confined states of individual type-II GaSb/GaAs quantum rings
studied by cross-sectional scanning tunnelling spectroscopy,” Nano
Lett. 10(10), 3972–3977 (2010).
11. E. P. Smakman, J. K. Garleff, R. J. Young, M. Hayne, P. Rambabu, and
P. M. Koenraad, “GaSb/GaAs quantum dot formation and demolition
studies with cross-sectional scaling tunnelling microscopy,” Appl. Phys.
Lett. 100(14), 142116 (2012)
12. R. J. Young, E. P. Smakman, A. M. Sanchez, P. Hodgson, P. M.
Koenraad, and M. Hayne, “Optical observation of single-carrier charging
in type-II quantum ring ensembles,” Appl. Phys. Lett. 100(8), 082104
(2012).
13. M. Hayne, J. Maes, S. Bersier, V. V. Moshchalkov, A. Schliwa, L. Müller-
Kirsch, C. Kapteyn, R. Heitz, and D. Bimberg, “Electron localization
by self-assembled GaSb/GaAs quantum dots,” Appl. Phys. Lett. 82,
4355 (2003).
14. B. Bansal, M. Hayne, M. Geller, D. Bimberg, and V. V. Moshchalkov,
“Excitonic Mott transition in type-II quantum dots,” Phys. Rev. B 77,
241304(R) (2008).
15. K. Gradkowski, N. Pavarelli, T. J. Ochalski, D. P. Williams,
J. Tatebayashi, G. Huyet, E. P. O’Reilly, and D. L. Huffaker, “Complex
emission dynamics of type-II GaSgb/GaAs quantum dots,” Appl. Phys.
Lett. 95(6), 061102 (2009).
16. A. M. Kamarudin, M. Hayne, R. J. Young, Q. D. Zhuang, T. Ben, and
S. I. Molina, “Tuning the properties of exciton complexes in self-
assembled GaSb/GaAs quantum rings,” Phys. Rev. B 83, 115311 (2011).
17. R. Timm, H. Eisele, A. Lenz, L. Ivanova, G. Balakrishnan, D. L.
Huffaker, and M. Dähne, “Self-organized formation of GaSb/GaAs
quantum rings,” Phys. Rev. Lett. 101(25), 256101 (2008).
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 151

18. P. J. Carrington, R. J. Young, P. D. Hodgson, A. M. Sanchez, M. Hayne,


and A. Krier, “Long-wavelength photoluminescence from stacked layers
of high-quality type-II GaSb/GaAs quantum rings,” Cryst. Growth Des.
13(3), 1226–1230 (2013).
19. T. Nowozin, A. Marent, L. Bonato, A. Schliwa, D. Bimberg, E. P.
Smakman, J. K. Garleff, P. M. Koenraad, R. J. Young, and
M. Hayne, “Linking structural and electronic properties of high-
purity self-assembled GaSb/GaAs quantum dots,” Phys. Rev. B 86,
035305 (2012).
20. P. J. Carrington, R. J. Young, P. D. Hodgson, A. M. Sanchez, M. Hayne,
and A. Krier, “Long-wavelength photoluminescence from stacked layers
of high-quality type-II GaSb/GaAs quantum rings,” Cryst. Growth Des.
13(3), 1226–1230 (2013).
21. S. M. Hubbard, C. Bailey, S. Polly, R. Aguinaldo, D. Forbes, and R.
Raffaelle, “Characterization of quantum dot enhanced solar cells for
concentrator photovoltaics,” Proc. 34th IEEE Photovolt. Spec. Conf.,
Philadelphia, PA, pp. 1838–18543 (2009).
22. R. R. King, D. C. Law, K. M. Edmondson, C. M. Fetzer, G. S. Kinsey,
H. Yoon, D. D. Krut, J. H. Ermer, R. A. Sherif, and N. H. Karam,
“Advances in high-efficiency III-V multijunction solar cells,” Advances in
OptoElectronics 2007, 29523 (2007).
23. R. P. Raffaelle, S. Sinharoy, J. Andersen, D. M. Wilt, and S. G. Bailey,
“Multi-junction solar cell spectral tuning with quantum dots,” Proc. 4th
IEEE World Conference on Photovoltaic Energy Conversion, Waikoaloa,
HI, p. 162 (2006).
24. F. Geisz, D. J. Friedman, J. S. Ward, A. Duda, W. J. Olavarria, T. E.
Moriarty, J. T. Kiehl, M. J. Romero, A. G. Norman, and K. M.
Jones, “40.8%efficient inverted triple junction solar cell with two
independently metaphoric junctions,” Appl. Phys. Lett. 93(12), 123505
(2008).
25. N. J. Ekins-Daukes, K. W. J. Barnham, J. P. Connolly, J. S. Roberts,
J. C. Clark, G. Hill, and M. Mazzer, “Strain-balanced GaAsP/
InGaAs quantum well solar cells,” Appl. Phys. Lett. 75(26), 4195
(1999).
26. D. B. Jackrel, S. R. Bank, H. B. Yuen, M. A. Wistey, J. S. Harris, Jr.,
A. J. Ptak, S. W. Johnston, D. J. Friedman, and S. R. Kurtz, “Dilute
nitride GaInNAs and GaInNAsSb solar cells by molecular beam epitaxy,”
J. Appl. Phys. 101, 114916 (2007).
27. S. M. Hubbard, C. D. Cress, C. G. Bailey, R. P. Raffaelle, S. G. Bailey,
and D. M. Wilt, “Effect of strain compensation in quantum dot enhanced
GaAs solar cells,” Appl. Phys. Lett. 92(12), 123512 (2008).
152 Chapter 6

28. T. Sugaya, S. Furue, H. Komaki, T. Amano, M. Mori, K. Komori,


S. Niki, O. Numakami, and Y. Okano, “Highly stacked and well-aligned
In0.4Ga0.6As quantum dot solar cells with In0.2Ga0.8As cap layer,” Appl.
Phys. Lett. 97(18), 183104 (2010).
29. A. Alemu, J. A. H. Coaquira, and A. Freundlich, Dependence of device
performance on carrier escape sequence in multiple-quantum-well p-i-n
solar cells,” J. Appl. Phys. 99(8), 084506 (2006).
30. L. Cuadra, A. Marti, and A. Luque, “Type-II broken band hetero-
structure quantum dot to obtain a material for the intermediate band solar
cell,” Physica E 14, 162–165 (2002).
31. J. Hwang, A. J. Martin, J. M. Millunchick, and J. D. Phillips, “Thermal
emission in type-II GaSb/GaAs quantum dots and prospects for
intermediate band solar energy conversion,” Poster presentation at the
2011 Materials Research Society Fall Meeting http://www.eecs.umich.
edu/eecs/about/articles/2011/MRS-poster-JHwang.pdf.
32. P. J. Carrington, M. C. Wagener, J. R. Botha, A. M. Sanchez, and
A. Krier, “Enhanced infrared photo-response from GaSb/GaAs quantum
ring solar cells,” Appl. Phys. Lett. 101(23), 231101 (2012).
33. P. J. Carrington, A. S. Mahajumi, M. C. Wagener, J. R. Botha,
Q. Zhuang, and A. Krier, “Type II GaSb/GaAs quantum dot/ring stacks
with extended photoresponse for efficient solar cells,” Physica B Condens.
Matter 407, 1493–1496 (2012).
34. S. M. Sze, Physics of Semiconductor Devices, Second edition, John Wiley
and Sons, New York (1998).
35. J. Nelson, The Physics of Solar Cells, Imperial College Press, London
(2003).

Anthony Krier obtained his Ph.D. in 1983 and joined


Lancaster University in 1989, where he founded the
mid-infrared optoelectronics research group. He was
promoted to Reader in 1999 and then to Professor of
Physics in 2003. He is currently head of the Semicon-
ductor Physics and Nanostructures research group and
has published more than 170 papers and graduated 20
Ph.D. students. In 1996 he founded the International
Mid-infrared Materials & Devices conference (MIOMD) and in 2006 he
edited a specialist book on mid-infrared optoelectronics. His recent work
concerns antimonide nanostructures and dilute nitride alloys for use in
mid-infrared lasers and photodetectors.
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 153

Peter J. Carrington received his Ph.D. in 2009 from


Lancaster University, UK. His research concerns the
molecular beam epitaxial growth of antimonide nanos-
tructures and the investigation of the underlying physics
that determine the efficiency-limiting processes in mid-
infrared (2–5 mm) light sources, thermo-photovoltaics
(TPVs), and solar cells. In 2011 he was awarded the
British Vacuum Council Junior Prize and C. R. Burch
Memorial Award, and in 2012 a Royal Academy of Engineering Research
Fellowship.

Qiandong Zhuang is a senior lecturer in the Physics


Department at Lancaster University, UK. He gained
his Ph.D. from the Institute of Semiconductors, Chinese
Academy of Sciences, in 1999. He has dedicated
research experience in semiconductor materials and
nanostructures ranging from MBE epitaxial growth to
development of optoelectronics through fundamental
physics studies. He has established a number of pioneer
studies including InAs quantum dots for far-infrared photodetectors, and
novel InAs-based dilute nitride and antimonide quantum structures for mid-
infrared optoelectronics and thermophotovoltaics. His current research
activities focus on narrow-band arsenide nanowires, wide-bandgap nitride
nanowire, droplet epitaxy, as well as MBE growth of graphene.

Robert J. Young is a Royal Society University Research


Fellow and lecturer at Lancaster University, research-
ing practical semiconductor devices for applications in
quantum information processing. His work has led to
numerous publications in leading scientific journals,
highlights include: the first demonstration of non-
probabilistic entangled photon-pair generation, a novel
controllable single electron-photon interface, and a
solution to the problem of combining quantum and classical information on
live fiber networks.
154 Chapter 6

Manus Hayne graduated with a B.Sc. in physics with


electronics from the University of Southampton, and a
Ph.D. in physics from the University of Exeter, both in
the UK. He was a postdoctoral researcher at the
University of Exeter, the Laboratoire de Microstructure
et de Microélectronique (now Laboratoire de Photo-
niques et de Nanostructures), France, and the Katho-
lieke Universiteit Leuven, Belgium. He is currently a
Senior Lecturer in the Department of Physics, Lancaster University. His
research interests are in the physics and applications of low-dimensional III-V
compound semiconductor materials.

Lu Qi received his B.Sc. in electronic engineering in


2009 from Southeast University, China. From 2009 to
2011, he studied in the Erasmus Mundus Master of
Photonics program and obtained his M.Sc. degree in
photonics from Ghent University, Belgium. He joined
the physics department in Lancaster University in 2011
as a Ph.D. student in the Marie Curie PROPHET
program, and his work is focused on antimonide-based
quantum dot lasers for use in gas sensing.

Juanita James received her Bachelor’s and Master’s


degree in physics from Madurai Kamaraj University
(MKU), India. She obtained an additional Master’s
degree in nanotechnology (M.Tech) from Kalasalin-
gam University (KLU), India. She received a scholar-
ship to pursue a research project entitled “Optical
characterization of ZnCdSe quantum wells” at the
Center for Research and Advanced Studies of the
National Polytechnic Institute (CINVESTAV) in Mexico. Currently, she is
an early stage researcher working under the Marie Curie initial training
network at Lancaster University. Her work is based on fabricating GaSb/
GaAs QD solar cells using semiconductor processing techniques including
photolithography, dry plasma etching, contact metallization, and device
evaluation.
Antimonide Quantum Dot Nanostructures for Novel Photonic Device Applications 155

Magnus Wagener obtained his Ph.D. degree from the


University of Port Elizabeth, South Africa in 2001. His
postdoctoral work at the CNRS-CRHEA, France
focused on the electrical characteristics of high-compo-
sition AlGaN. This was followed by an appointment as
Senior Researcher at the Department of Material
Science and Engineering at North Carolina State
University (2005–2007), where he studied the perfor-
mance-limiting defects in multicrystalline and Mono2TM silicon solar cells. In
2008, Dr. Wagener joined the Department of Physics at the Nelson Mandela
Metropolitan University as an Associate Professor, where he currently resides.
His main research interest is the growth and characterization of III-V and II-
VI semiconductor materials and devices. He is the author and coauthor of 34
scientific journal articles.

Reinhardt Botha is a Professor in Physics and holder of


a South African research chair in Nanophotonics at the
Nelson Mandela Metropolitan University, Port Eliza-
beth, South Africa. His research focuses on the growth
and characterization of various compound semiconduc-
tors and nanostructures by metalorganic chemical
vapor deposition for photonic applications. He has
authored/coauthored close to a hundred publications in
these fields. Reinhardt is a former von Humboldt Fellow.

Paul Koenraad studied physics (B.Sc. and M.Sc.) at the


University of Utrecht in the Netherlands and obtained
his Ph.D. degree in semiconductor physics in 1990 at
the Eindhoven University in Eindhoven, also in the
Netherlands. After working for a short period at IBM
research in Rüschlikon, Switzerland, he continued his
career as a KNAW fellow at the Eindhoven University
of Technology, where he was appointed to full professor
in the field of semiconductor nanophysics in 2007. He has authored and
coauthored more than 250 papers and conference proceedings on the physics
of semiconductor nanostructures and impurities. Currently, his work is
156 Chapter 6

focused on atomic scale microscopy of semiconductor materials by cross-


sectional scanning tunnel microscopy and atom probe microscopy, the study
and manipulation of single impurities in semiconductors, and the physics of
semiconductor nanostructures such as quantum dots and quantum rings. Prof.
Koenraad is member of the Dutch Vacuum Society (where he acted as
chairman from 2007 until 2011), Dutch Physics Society (NNV), European
Physical Society (EPS), MRS, and APS. In 2005 he received a Dutch VICI
grant to study magnetic impurities in semiconductors.

Erwin Smakman received the B.Sc. and M.Sc. degrees in


applied physics from Eindhoven University of Technol-
ogy, Eindhoven, the Netherlands, in 2008 and 2010,
respectively. He is currently working toward his Ph.D.
degree in physics at the same university, funded by the
Dutch Foundation for Fundamental Research on
Matter (FOM). His current research interests include
scanning tunneling microscopy on semiconductor
materials, quantum dots, and single dopants in III-V semiconductors and
combining STM with optical experiments.
Chapter 7
n-Type Doping in GaSb using
Dimethyltellurium (DMTe) by
Metalorganic Chemical Vapor
Deposition (MOCVD)
Ari Handono Ramelan
Physics Department, Faculty of Mathematics and Natural Sciences, Sebelas Maret
University (UNS), Surakarta, Indonesia

7.1 Introduction
7.2 Review of Te Doped GaSb Growth
7.3 Dopant Impurities
7.4 Growth of Te Doped GaSb
7.4.1 Metalorganic sources
7.4.2 Growth condition
7.5 Characterization
7.6 Results and Discussion
7.6.1 Surface morphology and growth rate
7.6.2 Electrical properties
7.7 Conclusions
References

7.1 Introduction
Antimony-based III-V semiconductors grown either lattice matched or
slightly strained on GaSb substrates have received much attention both for
their potential applications as optical devices in the wavelength of 1–4 mm
and for their potential use in tunnelling structures, exploiting the heterojunc-
tion offset with the InAs, GaSb, and AlSb material systems. Although
investigations in laser diodes have been carried out by many groups,1 4
problems still remain with the growth of a device-quality GaSb layer.5
157
158 Chapter 7

Undoped GaSb usually exhibits p-type conductivity, owing to native


lattice defects including Sb vacancies and antisite defects, i.e., Ga atoms on Sb
site, VGaGaSb.6 Group-VI elements such as S, Se, and Te are commonly used
as n-type dopants in GaSb because elements such as Si and Sn are amphoteric
and lead to heavily compensated p-type layers.7 Researchers have investigated
the growth and electrical property of n-type GaSb by all the major
techniques.8 13 However, group-VI elements have a high vapor pressure and
a high segregation coefficient, making it difficult to control the electron
concentration. Therefore, the growth of GaSb doped with Te is still
challenging and worthy of in-depth exploration.
In this work, dimethyltellurium (DMTe) has been used as a dopant for the
MOCVD growth of GaSb. The physical properties of MOCVD-grown Te-
doped GaSb on semi-insulating (SI) GaAs substrates are reported. The effects
of dopant flow rate on growth rate, surface morphology, and electrical and
optical properties have been determined.

7.2 Review of Te-Doped GaSb Growth


It was reported in 1989 by Chen and Su14 that Te-doped n-type GaSb
epilayers have been grown on (100) oriented Te-doped GaSb substrates by
liquid phase epitaxy (LPE). The carrier concentrations of undoped and
Te-doped layers obtained by capacitance–voltage (C-V) measurement was
ND NA ¼ 1  1016 cm 3 . For photoluminescence (PL) spectra, the native
neutral acceptor transition appears at 777.2 meV for Te-doped layers. The
ionization energy for the Te donor in GaSb was determined to be 3.6 meV
below the bottom of the conduction band at 18 K. The relation between the
energy gap of GaSb and temperature was obtained by PL measurement at
various temperatures. The spin–orbit splitting of the valence band was
measured to be 0.798 eV at room temperature (RT).
In 1990 Pascal et al.15 investigated for the first time the growth of GaSb by
MOCVD and its n-type doping using a dimethylellurium dopant source. The
GaSb layers were grown using trimethylgallium (TMGa) and trimethylanti-
mony (TMSb) in a vertical reactor at atmospheric pressure. The results show
that increasing the growth temperature and the V/III reactant ratio were
found to reduce the Te incorporation. The Te-doped GaSb layers exhibit
satisfactory morphologies. However, in the high DMTe partial-pressure range
(i.e., doping levels >1017 cm 3), the defects are numerous when the values of
the growth temperature are in the range of 560–600 8C and the V/III ratio is
1:2. Problems of reproducibility with regard to the incorporation rate
occurred. This was probably due to a strong adsorption of the DMTe in
stainless steel pipes. In order to avoid the delay in the Te incorporation due to
this phenomenon, every DMTe-doped run was preceded by a 30-min DMTe
gas sweep through the lines. The Hall carrier concentration obtained at RT
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 159

is nH ¼ 8.5  1015 cm 3 with mH ¼ 3860 cm2/V  s, where mH is the electron


mobility. Hall mobilities as high as 5000 cm2/Vs were measured on n-type
GaSb samples.
Chen and Cho16 have also grown Te-doped GaSb layers using SnTe in a
molecular beam epitaxy (MBE) system. The sources used were Ga and Sb4.
The growth temperature was 500 8C and the V/III ratio was 2:1. Al-grown
layers had smooth mirror-like surfaces. Room-temperature mobility as high
as 5114 cm2/V  s was measured for a GaSb layer with nH ¼ 3.8  1016 cm 3.
The mobilities were reduced to 4200 and 2770 cm2/V  s for nH ¼ 6.5  1016
and nH ¼ 4  1017 cm 3, respectively. The observed donor level is
proportional to the arrival rate of the molecular dopant species up to about
n < 3  1018 cm 3. Beyond this doping level, the carrier concentration
saturated.
Another study on Te-doped GaSb was reported by Bignazii et al.17 GaSb
layers were grown using Ga, Sb4, and SnTe with V/III ratio of 4–6 in an MBE
system. At high doping levels, it was found that the carrier concentrations are
in the range of 1.3  1016 to 1.3  1018 cm 3. In Te-doped samples, the PL
spectrum shows a peak at about 723 meV that can be directly related to Te
donors. In the spectra of moderately and heavily doped samples, the excitonic
region vanishes, being covered by the extended high-energy tail of the band A.
The A band, which is the only feature present in the PL spectra, broadens
markedly and shifts to higher energies. This is a typical high-doping effect. In
the samples with n > 5  1017 cm 3, the effects of heavy doping become
particularly evident since the PL features are no longer resolved.
Recently Wang et al.18 reported the work on Te-doped GaSb grown by
MOCVD using TEGa and TMSb as metalorganic sources and DETe as a
dopant. The DETe mole fraction varied from 2:5  10 8 to 4  10 7 . The
growth temperature was 550 8C. The results show that the electron concentration
n increases from 3:8  1017 cm 3 for a DETe mole fraction of 2:5  10 8 to a
maximum of 1:1  1018 cm 3 for a DETe mole fraction of 2  10 7 . The carbon
and oxygen levels of the film were 1  1017 cm 3 and 8  1018 cm 3 , respectively,
measured by secondary ion mass spectroscopy (SIMS).
It is immediately apparent that most of the research on Te-doped GaSb
was conducted by MBE, and only a few studies of Te-doped GaSb have been
conducted by MOCVD. The lack of research on Te-doped GaSb was the
motivation to carry out this work.

7.3 Dopant Impurities


Doping determines the position of the Fermi level in a semiconductor since it
controls the electron (n-type) or hole (p-type) concentrations. If the Fermi
level is close to the conduction band, the material is n type; if it is close to the
valence band, then the material is p type. For the group-III metal sublattice of
160 Chapter 7

a III-V compound, semiconductor substitutional elements from group II are


acceptors and from group V are donors. The group-IV elements silicon and
Ge produce donors when they are resident on the group-III sublattice, and
they form n-type conduction; when these elements are located on the group-V
sublattice, p-type conduction is created.
To grow n- or p-type GaSb, various dopants have been used.19,20 Usually,
Te, Se, and S are used to grow n-GaSb, and Zn, Ge, and Si for p-GaSb. The
binding energies of various impurity levels in GaSb are shown in Fig. 7.1.21
The donor states of Te in GaSb can be satisfactorily described by the
hydrogenic model.22 The binding energies calculated in accordance with this
model are usually in excellent agreement with the experimental values. The
energy positions of Se and S impurity levels in GaSb are affected by
the deviation from the hydrogenic model along the Te ! Se ! S series and by
the corresponding increase in the binding energy.23,24 As clearly shown in
Fig. 7.1, Ge, Li, Si, Ga, Zn, Sb, and Cu are shallow acceptors, and S, Se and
Te are shallow donors in GaSb. Fe is a deep trapping level. With carrier
concentration on the order of 1017 cm 3, the highest resistivity is obtained by
Cu (0:8 V cm).19 Mn doping also gives rise to p-type conductivity.
The shallow donors Te, Se, and S give rise to deep impurity levels in the
bandgap. The properties of Te-, Se-, and S-doped GaSb on MBE-grown
epilayers25 have been evaluated by deep-level transient spectroscopy (DLTS)
and in bulk crystals26 by DLTS and thermally stimulated capacitance
(TSCAP) spectroscopy. The trap levels reveal various concentrations and
activation energies.

Figure 7.1 Impurity levels of GaSb (reprinted from Ref. 21 with permission; © 1997, AIP
Publishing LLC).
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 161

7.4 Growth of Te-Doped GaSb


7.4.1 Metalorganic sources
In this work, the metalorganic sources used consisted of TMGa, TMSb, and
DMTe as dopant sources. The expressions for the temperature and the partial
vapor pressure relationships of DMte, TMGa, and TMSb are as follows:
DMTe : Log10 ðmmHgÞ ¼ 7:97 1865=TðKÞ: ð7:1Þ

TMGa : log10 ðmmHgÞ ¼ 8:07 1703=TðKÞ: ð7:2Þ

TMSb : log10 ðmmHgÞ ¼ 7:7289 1709=TðKÞ: ð7:3Þ


Plots of these relationships are presented in Fig. 7.2.
The properties of TMGa, TMSb, and DMTe are presented in Tables 7.1(a),
7.1(b), and 7.1(c), respectively.

7.4.2 Growth condition


The MOCVD system used in this study was designed and constructed by
Thomas Swan Co. Ltd. (UK) with a horizontal reactor for atmospheric-
pressure growth of III-V compounds. Six IR lamps were used to heat the
graphite susceptor. TMGa and TMSb were used as metalorganic sources and
kept at a constant bath temperature of –9 and 0 8C, respectively, which
correspond to a molar flow of 2.53 and 1.82 mmol/min, respectively, for
1 sccm hydrogen flow through the metalorganics. DMTe was used as dopant
precursor and kept at a constant temperature of 27 8C. High-purity H2 was
passed through a proprietary metal hydride filter made by UltraPure Systems,
Inc. In this work, we have used a group-V mole fraction of XMSb ¼ 10.1 
10 5, an input of V/III ¼ 1.4, a DMTe mole fraction of XDMTe ¼ 0.7  10 7

Figure 7.2 Relationship of metalorganic source vapor pressure and temperature.


162 Chapter 7

Table 7.1(a) Physical properties of TMGa (from Morton International, Inc.).

Formula GaðCH3 Þ3
Formula weight 114.83
Melting point, 8C 15.8
Boiling point, 8C 55.7
Density, grams/cc 1.10
Appearance Colorless liquid
Storage Stable under most ambient conditions when packaged in leak tight cylinders
Elemental analysis Si detected
NMR* results No organic impurities detected
No oxygen detected
*nuclear magnetic resonance

Table 7.1(b) Physical properties of TMSb (from Morton International, Inc.).

Formula SbðCH3 Þ3
Formula weight 166.86
Melting point, 8C 87.6
Boiling point, 8C 80.6
Density, grams/cc 1.528
Appearance Colorless liquid
Storage stable under most ambient conditions when packaged in leak tight cylinders
Elemental analysis Te detected
NMR results No organic impurities detected

Table 7.1(c) Physical properties of DMTe (from Morton International, Inc.).

Formula ðCH3 Þ2 Te
Formula weight 157.68
Melting point, 8C 10
Boiling point, 8C 91 92
Density, grams/cc
Appearance Light yellow to orange liquid
Storage Stable under most ambient conditions when packaged in leak tight cylinders
and bubblers
Elemental analysis
NMR results No organic impurities detected
No oxygen detected

to 7.1  10 6, a growth temperature of 540 8C, and a total flow rate of 8 l/min.
Values of DMTe flow with corresponding mole fractions of DMTe in the
input gas stream for various samples are given in Table 7.2. Substrates used
were SI GaAs(100) from Freiberger Compound Materials GmbH (Germany).
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 163

Table 7.2 Values of DMTe flows with corresponding mole fractions of DMTe for n-type
GaSb growth.

Sample no. DMTe flow (sccm) DMTe mole fraction

#37 10 7.08  10 6

#36 5 3.54  10 6

#44 3 2.12  10 6

#53 2 1.42  10 6

#55 1 7.10  10 7

Prior to the growth, substrates were immersed for 5 min in each of the
following: trichloroethylene at a temperature of 100 8C, acetone, and methanol,
and then etched in H2 SO4 : H2 O2 : H2 O ¼ 1 : 1 : 8 solution for 30 s followed by
a DI water rinse. The substrates were then blown dry by N2, before being
loaded into the reactor.

7.5 Characterization
Van der Pauw Hall measurements were performed in the temperature range of
20–300 K on the 3-mm thick epilayers grown on the SI GaAs substrates.
Photographs of sample morphology were taken using a Nomarski phase
contrast microscope.

7.6 Results and Discussion


7.6.1 Surface morphology and growth rate
At high DMTe flow rates ( 5 sccm), growth rate and growth temperature Tg
have a strong effect on morphology. The morphology obtained from samples
grown using DMTe ¼ 3.5  10 7 and TMSb ¼ 1.29  10 3 is illustrated in
Fig. 7.3. The epilayers shown in Figs. 7.3(a)–(e) were grown with the DMTe
flow rate in the range of 1–10 sccm for a growth temperature of 540 8C. Some
degradation of morphology can be seen for the samples grown with a 5- and
10-sccm DMTe flow, where hillocks and ripples are typically observed. The
sample grown with 10-sccm DMTe shows pyramid-like hillocks about 10 mm
across. This may be due to Te precipitation. Similar results reported for
Te-doped GaAs using DETe in low-pressure organometallic vapor phase
epitaxy (LPOMVPE)27,28 show that the degradation of morphology occurs at
the highest electron concentrations. For Fig. 7.3(b), the surface is mirror-like
with n ¼ 5.4  1017 cm 3, and hillocks are rare. The sample shown in Fig. 7.3(c)
was grown at 3 sccm, and the surface morphology is mirror-like. For samples
grown at 1 sccm, as displayed in Fig. 3(a), small ripples and several droplets
appear on the surface.
164 Chapter 7

Figure 7.3 Nomarski photograph of Te-doped n-GaSb grown on SI GaAs (reprinted from
Ref. 29 with permission; © 2011 Carl Hanser Verlag, Munich). (See color plate section.)

Figure 7.4 shows the thickness of Te-doped GaSb growth as a function of


the DMTe flow rate. As the DMTe flow rate increases, the growth rate
increases. When the DMTe flow rate was more than 5 sccm, the growth rate was
saturated because there was insufficient Ga flow rate for the growth. However,
it was observed that a high growth rate significantly improves morphology.

7.6.2 Electrical properties


Table 7.3 shows the electrical properties from Hall measurements for 3-mm-
thick GaSb layers doped with Te. Hall concentrations from 3.5  1017 cm 3
to 1.4  1018 cm 3 were obtained by varying the DMTe source vapor
pressure. It should be noted here that the measured Hall concentration,
particularly at RT, is not considered to be the total free-carrier concentration.
It was observed that electron concentration n is proportional to Te partial
pressure in the vapor phase until n saturates at high Te partial pressure.
Electron concentrations were measured as high as 1.36  1018 cm 3 with
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 165

Figure 7.4 The growth rate of Te-doped GaSb as a function of DMTe flow.

Table 7.3 Hall measurements on Te-doped GaSb layers as a function of DMTe vapor
pressure.

DMTe flow nH (300 K) mH (300 K) nH (77 K) mH (77 K)


rate (sccm) (cm 3) (cm2/V s) (cm 3) (cm2/V s)

1 sccm 5.20  1016 3268 7.63  1016 5437


2 sccm 3.53  1017 2543 5.40  1017 4478
3 sccm 1.22  1018 877 1.38  1018 1891
5 sccm 1.36  1018 956 1.49  1018 1730
10 sccm 1.00  1018 997 1.32  1018 1755

imperfect morphology and as high as 1.22  1018 cm 3 with excellent mirror-


like morphology. These appear to be the highest electron concentrations
reported to date for any epitaxial n-type GaSb. The electron concentration
shows a linear dependence on DMTe and saturates near n ¼ 1.4  1018 cm 3
when DMTe > 3.5  10 6, as shown by the circles in Fig. 7.5. A possible
explanation for this behaviour is that Te incorporation results from a
competition between Sb and Te atoms for Sb free-site occupation.18
Precipitation of Te, observed on the surface for the GaSb sample grown
with 10-sccm DMTe, could also be a factor related to the low electrical
concentration for the Te incorporation. The squares in Fig. 7.5 show the
results obtained by Gotoh et al.30 using a DETe dopant MOCVD growth at a
growth temperature of 550 8C and with V/III ¼ 1.8. The higher mole fraction
of DMTe in the saturation region is presumably caused by the higher vapor
pressure of DMTe compared with DETe. Similar results were reported by
166 Chapter 7

Figure 7.5 Dependence of electron concentration on Te mole fraction GaAs (reprinted


from Ref. 29 with permission; © 2011 Carl Hanser Verlag, Munich).

Ehsani et al.31 for the growth of InGaSb with DETe doping: the electron
concentration was found to increase linearly as the DETe increased, reaching
a saturated concentration of 1.5  1018 cm 3. When the DETe mole fraction
was increased further, the electron concentration decreased.
To analyze our Hall measurement results, a two-conduction-band model
is required. According to Sagar,32 the conduction-band L valleys of GaSb,
which have a high density of states (DOS) and a low mobility, lie very close in
energy to the central G minimum. The measured Hall concentration is a
weighted average of the G-valley and L-valley mobility. Therefore, the Hall
measurement will underestimate the total carrier concentration, particularly
at high temperatures because more carriers transfer to the upper band.33 The
total electron concentration is closer to the measured Hall concentration at
lower temperatures. Therefore, the total donor concentration was estimated
by adding the measured Hall concentration at 77 K to the estimated acceptor
background concentration (1016 cm 3). The observed donor level is
proportional to the arrival rate of the molecular dopant species up to about
n < 1.4  1018 cm 3, beyond which, the carrier concentration saturated. This
dopant saturation level is much higher than 4  1016 cm 3, the highest level
achievable for n-type GaSb using H2S.30 A comparable doping saturation
level (1.2  1018 cm 3) in n-type GaSb was observed previously when using
DETe.34
The measured Hall mobilities as a function of Hall concentrations for
n-type GaSb layers, both at RT (300 K) and 77 K, are shown in Figs. 7.6
and 7.7, respectively. Room-temperature mobilities as high as 2543 cm2/Vs
were measured for an n-type GaSb layer with nH ¼ 3.5  1017 cm 3. The
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 167

Figure 7.6 Hall mobilities versus carrier concentrations for n-type GaSb layers at 300 K
(data from Ref. 16 used for comparison), where a is the compensation ratio (a ¼ NA =ND ),
and NA and ND are the acceptor and donor density, respectively.

mobilities were reduced to 997 and 956 cm2/V  s for nH ¼ 1.0  1018 and
nH ¼ 1.4  1018 cm 3, respectively. Also included in Figs. 7.6 and 7.7 are the
best previous results for MBE-grown GaSb films using an SnTe dopant
source.16 Our measured mobilities compare favorably to those from previous
results, indicating good quality layers. Similar to the previous reports for
n-type GaSb, the mobilities at 77 K are only slightly higher than those at RT,
suggesting that ionized impurity scattering dominates throughout the
temperature range measured.
A comparison with the calculated Hall mH data given by Chin35 is also
shown in Figs. 7.6 and 7.7. In order to account for electrons present in

Figure 7.7 Hall mobilities versus carrier concentrations for n-type GaSb layers at 77 K
(data from Ref. 16 used for comparison).
168 Chapter 7

significant numbers in both the G and L valleys, a standard two-band model


was used in the calculation of mH and nH , together with the energy separation
EL EG data of Lee and Woolley.36 The scattering rates, including ionized
impurity and phonon scattering, and nonequivalent and equivalent intervalley
scattering in both conduction bands, were evaluated in the relaxation time
approximation (see Ref. 35 for details).
At low temperature (77 K), where impurity scattering is dominant, the
comparison between measurement and calculated mH data gives the value of
the a ¼ NA =ND compensation ratio (NA and ND are the acceptor and donor
density, respectively), ranging from 0.15–0.20 for nH  1018 cm 3 to 0.60–
0.75 for nH  1016 cm 3 , as shown in Fig. 7.7. This tendency of the
compensation ratio to increase toward low doping levels is common in many
cases. The above values of a for the lightly doped samples are not surprising in
the present case. In fact, a residual acceptor density of 1 2  1016 cm 3 has
been deduced by careful analysis of the temperature dependence of both hole
concentration and mobility in GaSb undoped MBE samples under similar
conditions.37 The increase in acceptor density in highly doped samples is
clearly related to the n-type doping procedure.
At RT (300 K), where phonon and intervalley scattering play a major role
with G–L mixed conduction, the mH values calculated for a ¼ 90% are 20–
50% higher than the experimental values shown in Fig. 7.6. The best MBE-
grown samples with n  1016 cm 3 , reported by Turner et al.,38 produced
mobilities only slightly higher than those measured here. The presence of
defects in the real samples, whose scattering rates are not considered in this
calculation, can explain this anomaly.30 A principal concern in the growth of
GaSb on GaAs substrates is the large lattice mismatch (around 7.5%) that
leads to a high threading dislocation density on the order of 1010 cm 2.39
The measured data was taken from Te-doped GaSb epilayers grown on SI-
GaAs. These dislocations due to lattice mismatch cause a significant
degradation of electron mobility;40 42 the reduction in electron Hall mobility
for the samples is therefore not surprising. It is also clear that the lattice
mismatch has a greater impact on more-lightly doped material because
ionized impurity scattering is dominant for the more-heavily doped material
so the effect of dislocation induced scattering is reduced.
The Te-doped GaSb MOCVD epilayers of this work were also grown on
SI GaAs by MOCVD. This suggests that the lattice strain is again the main
reason for the degradation of the experimental Hall data, similar to the MBE-
grown samples. In both cases (n < 1016 cm 3 for MBE, and n < 3  1017
cm 3 for MOCVD), the electron mobility is reduced by the dislocation-
induced scattering. Turner et al.38 pointed out that this decrease has also been
observed in n-InSb43 and has been attributed to the reduction in the
electrostatic screening of the charge-scattering centers that occurs because of
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 169

the decrease in electron concentration. However, the extremely high


compensation due to native acceptors of up to 1016–1017 cm 3 is also
partially responsible for the decrease in electron mobility in the lightly doped
materials. In the case of the MOCVD-grown samples, the poor experimental
Hall mobilities could also be due to an inhomogeneous distribution of Te in
the layer (as previously discussed). The limiting case of this in homogeneity
would be the presence of p and n regions in the same layer as reported earlier
by Pascal et al.15 This effect is likely to significantly reduce the electron Hall
mobility.
Temperature-dependent Hall mobilities for four GaSb layers are shown
in Fig. 7.8, and electron concentration is displayed in Fig. 7.9. The mobility
for a low-doped GaSb layer nH ¼ 3.5  1017 cm 3 (2-sccm DMTe flow) at
300 K is 2543 cm2/V  s and peaks at about 100 K with a value of 4478 cm2/V  s.
Below this temperature, the mobility drops because ionized impurity
scattering is the dominating scattering mechanism at low temperatures. A
similar behavior was observed for the sample grown with 3-sccm DMTe
flow, where the donor concentration was equal to nH ¼ 1.2  1018 cm 3.
For a more highly doped GaSb layer (nH ¼ 1.4  1018 cm 3), the mobility
does not show a peak and remains relatively constant with decreasing
temperature. A considerably lower mobility was observed for GaSb layers
when the sample was doped with Te higher than the saturation level.

Figure 7.8 Mobility of Te-doped n-GaSb with different DMTe flows as a function of
temperature GaAs (reprinted from Ref. 29 with permission; © 2011 Carl Hanser Verlag,
Munich).
170 Chapter 7

Figure 7.9 Carrier concentration of Te-doped n-GaSb with different DMTe flow as a
function of temperature GaAs (reprinted from Ref. 29 with permission; © 2011 Carl Hanser
Verlag, Munich).

7.7 Conclusions
It has been observed that the electron concentration n is proportional to the
Te partial pressure in the vapor phase, until n saturates at high Te partial
pressure. Electron concentrations as high as 1.4  1018 cm 3 have been
measured with imperfect morphology, and as high as 1.24  1018 cm 3 with
excellent mirror-like morphology. These appear to be the highest electron
concentrations reported to date for any MOCVD-grown epitaxial n-type
GaSb doped with DMTe and grown at 540 8C with a V/III ratio of 1.4. With
this source, we have observed that a high growth rate significantly improves
the morphology until the DMTe flow rate reaches 5 sccm.
The results of calculation into the transport characteristic of electrons in
this material are reported. Matthiessen’s rule is invoked to determine the total
mobility from the individual mobilities arising from the major scattering
mechanism. At high temperature, both nonpolar and polar phonon and
acoustic deformation potential scattering give a significant contribution to the
total mobility, while the ionized impurity scattering becomes a limiting
mobility scattering process at low temperature. The experimental data for the
n-GaSb samples grown with DMTe flow rates of 2, 3, 5 and 10 sccm shows
good agreement with the theoretical calculation for the density of ionized
impurities, those being 4.5  1016, 1  1017, 1.1  1017, and 1.2  1017 cm 3,
respectively.
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 171

References
1. R. J. Menna, D. R. Capewell, R. U. Martinelli, P. K. York, and R. E.
Enstron, “3.06 mm InGaAsSb/InPSb diode lasers grown by organometal-
lic vapor-phase epitaxy,” Appl. Phys. Lett. 59, 2127–2129 (1991).
2. H. K. Choi and S. J. Eglash, “High-efficiency high-power GaInAsSb-
AlGaAsSb double-heterostructure lasers emitting at 2.3 mm,” IEEE J.
Quantum Electron. 27(6), 1555–1559 (1991).
3. R. U. Martinelli and T. J. Zamerowski, “InGaAs/InAsPSb diode lasers with
output wavelengths at 2.52 mm,” Appl. Phys. Lett. 56, 125–127 (1990).
4. A. K. Srivastava, J. C. DeWinter, C. Caneau, M. A. Pollack, and J. L.
Zyskind, “High performance GaInAsSb/GaSb p-n photodiodes for the
1.8–2.3 mm wavelength range,” Appl. Phys. Lett. 48, 903–904 (1986).
5. A. H. Ramelan and P. Arifin, “Surface morphology, electrical and optical
properties n-type doped MOCVD grown GaSb using dimethyltellurium,”
Int. J. Mat. Res. 102(11), 1403–1407, (2011).
6. C. Anayama, T. Tanahashi, H. Kuwatsuka, S. Nishiyama, S. Isozumi,
and K. Nakajima, “High-purity GaSb epitaxial layers grown from Sb-rich
solutions,” Appl. Phys. Lett. 56(3), 239 (1990).
7. K. F. Longenbach, S. Xin, and W. I. Wang, “p-type doping of GaSb by
Ge and Sn grown by molecular beam epitaxy,” J. Appl. Phys. 69, 3393–
3395 (1991).
8. A. H. Ramelan and E. M. Goldys, “Elemental analysis of antimony
ternary compound semiconductor grown by MOVPE,” International
Conference on Materials Science and Technology (ICMST) and Asian
Workshop on Solid State Ionic, Serpong 19–23 October 2010.
9. F. Pascal, F. Delannoy, J. Bougnot, L. Gouskov, G. Bougnout, P. Grosse,
and J. Kaoukab, “Growth and characterization of undoped and n-type
(Te) doped MOVPE grown gallium antimonide,” J. Electron. Mater.
19(2), 187–195 (1990).
10. T. H. Chiu, J. A. Ditzenberger, H. S. Luftman, W. T. Tsang, and N. T.
Ha, “Te doping study in molecular beam epitaxial growth of GaSb using
Sb2Te3,” Appl. Phys. Lett. 56(17), 1688–1690 (1990).
11. A. H. Ramelan, E. M. Goldys, and P. Arifin, “Electrical properties of p-n
junction GaSb fabricated from spin coating using Zn diffusion method,”
Conf. on Optoelectronic and Microelectronic Materials and Devices
(COMMAD), Canberra, Australia, 12–15 December, 2010, pp. 183–
184, IEEE (2010).
12. A. H. Ramelan, P. Arifin, H. Harjana, and E. M. Goldys, “Analysis of Al
incorporation in GaSb brown by MOCVD,” Proceeding of Symposium:
The International Conference on Materials for Advanced Technology
172 Chapter 7

(ICMAT), Singapore, 28 June to 3 July 2009, pp. 84–86, Pan Stanford


Publishing Pte. Ltd. Singapore (2009).
13. F. Nakamura, K. Taira, K. Funato, and H. Kawai, “Se and Te doping in
LP-MOCVD-grown GaSb using H2Se and DETe,” J. Crystal Growth
115, 474–478 (1991).
14. S. C. Chen and Y. K. Su, “Photoluminescence study of gallium
antimonide grown by liquid-phase epitaxy,” J. Appl. Phys. 66(1), 350–
353 (1989).
15. F. Pascal, F. Delannoy, J. Bougnot, L. Gouskov, G. Bougnot, P. Grosse,
and J. Kaoukab, “Growth and characterization of undoped and n-type
(Te) doped MOVPE grown gallium antimonide,” J. Electron. Mater. 19,
187–195 (1990).
16. J. F. Chen and A. Y. Cho, “Characterization of Te-doped GaSb grown by
molecular beam epitaxy using SnTe,” J. Appl. Phys. 70(1), 277–281
(1991).
17. A. Bignazzi, E. Grilli, M. Guzzi, M. Radice, A. Bosacchi, S. Franchi, and
R. Magnanini, “Low temperature photoluminescence of tellurium-doped
GaSb grown by molecular beam epitaxy,” J. Cryst. Growth 169(3), 450–
456 (1996).
18. C. A. Wang, K. F. Jensen, A. C. Jones, and H. K. Choi, “n-AlGaSb and
GaSb/AlGaSb double-heterostructure lasers grown by organometallic
vapor phase epitaxy,” Appl. Phys. Lett. 68(3), 400–402 (1996).
19. V. Šestáková and B. Štěpánek, “Doping of GaSb single crystals with
various elements,” J. Cryst. Growth 146, 87–91 (1995).
20. V. Šestáková, B. Štěpánek, J. Šesták, P. Hubík, and V. Šmíd,
“Thermodynamic aspects of (Te,S)-double-doped GaSb crystal growth,”
Mater. Sci. Eng. B 21, 14–18 (1993).
21. P. S. Dutta, H. L. Bhat, and V. Kumar, “The physics and technology of
gallium antimonide: An emerging optoelectronic material,” J. Appl. Phys.
81(9), 5821–5870 (2001).
22. A. H. Ramelan, I. Yahya, Prasodjo, and E. M. Goldys, “GaSb/AlGaSb
compound semiconductors grown by MOCVD for optoelectronic
applications,” J. Nonlinear Optical Phys. Mater. 15(3), 323–329 (2006).
23. K. Hoo, W. M. Becker, and R. Y. Sun, “Revision of the Kosicki-
Paul model for resonant and bound states in n-GaSb(Se) from hydro-
static pressure measurements,” Solid State Commun. 18(3), 313–315
(1976).
24. A. K. Chin and W. A. Bonner, “Investigation of impurity variations by
cathodoluminescence imaging: Application to GaSb:Te,” Appl. Phys.
Lett. 40(3), 248–251 (1982).
n-Type Doping in GaSb using Dimethyltellurium (DMTe)… 173

25. I. Poole, M. E. Lee, I. R. Cleverley, A. R. Peaker, and K. E. Singer,


“Deep donors in GaSb grown by molecular beam epitaxy,” Appl. Phys.
Lett. 57(16), 1645–1647 (1990).
26. P. S. Dutta, K. S. R. Koteswara Rao, K. S. Sangunni, H. L. Bhat, and
V. Kumar, “Donor-related deep level in bulk GaSb,” Appl. Phys. Lett.
65(11), 1412–144 (1994).
27. Y.-H. Houng and T. S. Low, “Te doping of GaAs and AlxGa1 xAs using
diethyltellurium in low pressure OMVPE,” J. Crystal Growth 77, 272–280
(1986).
28. S. Z. Sun, E. A. Armour, K. Zheng, and C. F. Schaus, “Zinc and
tellurium doping in GaAs and AlxGa1 xAs grown by MOCVD,”
J. Crystral Growth 113, 103–112 (1991).
29. A. H. Ramelan, P. Arifin, and E. Goldys, Surface morphology, electrical
and optical properties of n-type-doped MOCVD-grown GaSb using
dimethyltellurium,” Int. J. Mat. Res. 102 1403–1407 (2011).
30. H. Gotoh, K. Sasamoto, S. Kuroda, T. Yamamoto, K. Tamamura,
M. Fukushima, and M. Kimata, “S-doping of MBE-GaSb with H2S gas,”
Jpn. J. Appl. Phys. 20, L893–L896 (1981).
31. H. Ehsani, I. Bhat, C. Hitchcock, R. J. Gutmann, G. Charache, and
M. Freeman, “p-Type and n-type doping in GaSb and Ga0.8In0.2Sb layers
grown by metalorganic vapor phase epitaxy,” J. Crystal Growth 195, 385–
390 (1998).
32. A. Sagar, “Experimental investigation of conduction band of GaSb,”
Phys. Rev. 117(1), 93–100 (1960).
33. T. D. Mclean, T. M Kerr, D. I. Westwood, C. E. C Wood, and D. F.
Howell, “Summary Abstract: Controlled n-type doping of GaSb,” J. Vac.
Sci. Technol. B 4(2), 601–602 (1986).
34. C. A. Wang, K. F. Jensen, A. C. Jones, and H. K. Choi, “n-AlGaSb and
GaSb/AlGaSb double-heterostructure lasers grown by organometallic
vapor phase epitaxy,” Appl. Phys. Lett. 68, 400–402 (1996).
35. V. W. L. Chin, “Electron mobility in GaSb,” Solid State Elec. 38(1), 59–
67 (1995).
36. H. Lee and J. C. Woolley, “Electron transport and conduction band
structure of GaSb,” Can. J. Phys. 59(12), 1844–1850 (1981).
37. A. Bosacchi, S. Franchi, P. Allegri, V. Avanzini, A. Baraldi, C. Ghezzi,
R. Magnanini, A. Parisini, and L. Tarricone, “Electrical and photolumines-
cence properties of undoped GaSb prepared by molecular beam epitaxy and
atomic layer molecular beam epitaxy,” J. Cryst. Growth 150, 844 (1995).
38. G. W. Turner, S. J. Eglash, and A. J. Strauss, “Molecular-beam epitaxial
growth of high-mobility n-GaSb,” J. Vac. Sci. Technol. B 11, 864–867 (1993).
174 Chapter 7

39. S. S. Yi, D. M. Hansen, C. K. Inoki, D. L. Harris, T. S. Kuan, and T. F.


Kuech, “Lateral epitaxial overgrowth of GaSb on GaSb and GaAs
substrates by metalorganic chemical vapor deposition,” Appl. Phys. Lett.
77, 842 (2000).
40. V. W. L. Chin and T. L. Tansley, “Alloy scattering and lattice strain
effects on the electron mobility in In1 xGax As,” Solid State Electron.
34(10), 1055–1063 (1991).
41. R. J. Egan, V. W. L. Chin, and T. L. Tansley, “Dislocation scattering
effects on electron mobility in InAsSb,” J. Appl. Phys. 75, 2473–2476
(1994).
42. C. P. Kuo, R. H. Cohen, K. L. Fry, and G. B. Stringfellow,
“Characterization of GaxIn1 xAs grown with TMIn,” J. Electron. Mater.
14, 231–244 (1985).
43. R. N. Zitter, A. J. Strauss, and A. E. Ahrad, “Recombination processes in
p-type indium antimonide,” Phys. Rev. 115(2), 266–273 (1959).

Ari Handono Ramelan received his M.Sc. (Honors)


degree in physics from Macquarie University, Austra-
lia, in 1992 and Ph.D. degree, also in physics, from
Macquarie University in 2002. Dr. Ramelan is cur-
rently a professor of Physics at Sebelas Maret
University in Indonesia. He was a deputy of the Physics
Department (2003–2007) and the head of the Accredited
International Testing and Calibration Laboratory at
Sebelas Maret University (2004–2007), and the head of Basic Sciences Research
Networking at the Province Research Council in Indonesia (2003–2006). He
was a vice dean for Academic Affairs and Research (2007–2011) and currently
is a dean for the Faculty of Mathematics and Natural Sciences at Sebelas Maret
University (2011–2015). He is also currently a deputy of the Research Council
at the Solo Techno Park in Surakarta Municipality (2010–2015). Dr. Ramelan
established and managed the Energy and Smart Materials Research Center
grant funding program and has chaired five international conferences. His
current research is focused on the design and fabrication of photodetectors,
nanomaterials for photovoltaics, and ferroelectrics materials for ultrasonic
transducers. Professor Ramelan’s group at Sebelas Maret University has an
ongoing research and development program on GaSb/AlGaSb, GaAs/AlGaAs
and GaN quantum dots grown using a MOCVD reactor and the development
of DSSCs (dye-sensitized solar cells).
Chapter 8
AlGaN-based Intersubband
Device Technology
Can Bayram and Devendra K. Sadana
IBM Research, T. J. Watson Research Center, Yorktown Heights,
New York, USA

Manijeh Razeghi
Center for Quantum Devices, Department of EECS, Northwestern University,
Evanston, Illinois, USA

8.1 Introduction to Terahertz Devices


8.1.1 Terahertz applications
8.1.2 Available terahertz sources
8.1.3 Conventional semiconductor and III nitride terahertz sources
8.1.4 III nitride material challenges
8.2 III Nitride MOCVD
8.2.1 Effect of growth temperature
8.2.2 High Al content structures
8.2.2.1 Overview of pulsed growth technique
8.2.2.2 Tunability of AlN and GaN layers in the SL
8.2.2.3 Effect of doping on optical and structural quality
8.2.2.4 Effect of capping on optical and structural quality
8.2.3 Low Al content structures
8.3 Infrared Optical Devices
8.3.1 Near infrared devices
8.3.2 Mid infrared devices
8.3.3 Toward terahertz
8.3.4 Conclusion
8.4 Resonant Tunneling Diodes
8.4.1 Introduction
8.4.2 Device design
8.4.3 Material growth
8.4.3.1 Polar devices
8.4.3.2 Nonpolar devices

175
176 Chapter 8

8.4.4 Device fabrication


8.4.5 Electrical characterization
8.4.5.1 Polar devices
8.4.5.2 Nonpolar devices
8.5 Summary
8.6 Conclusions
References

8.1 Introduction to Terahertz Devices


Gallium nitride (GaN)-based technology has been successfully applied for:
(1) high-electron-mobility transistors (HEMTs) that can withstand high
critical electric fields (3.5 MV/cm) and operate at high saturation velocity
(3  107 cm/s), (2) efficient ultraviolet–visible light-emitting diodes, and,
more recently, for (3) advanced near-infrared devices operating in the
terahertz regime by utilizing intersubband levels in AlGaN/GaN superlattices
(SLs), as described below.

8.1.1 Terahertz applications


The terahertz spectral range offers promising applications in science, industry,
and the military. The terahertz range is also important for astronomical research,
as 98% of the photons emitted since the Big Bang are now emitting in the
submillimeter and far-infrared regime.1 Since terahertz absorption differs for
different materials, it can be used for 3D imaging of an object made of multiple
materials, such as a human body. Absorption of terahertz frequencies by water
allows distinction of cells with different water ratios (fat versus lean cells).2 The
body parts with low water content, such as teeth, can be fully mapped, and any
sign of decay within teeth can be detected by terahertz devices.1 Since a terahertz
signal can penetrate on the order of millimeters inside the body, it also helps in
identifying cancers such as basal cell carcinoma, the most common form of
cancer worldwide, affecting more than 1 million patients in the USA alone.3 The
cancerous tumor usually contains more water and less fat, allowing it to be
identified as a darker region than its surrounding by terahertz imaging.
Some key terahertz applications include: (1) a 2.5-THz laser using
excitation of intermolecular interactions used by NASA to measure the
concentration and distribution of the hydroxyl radical (OH ) in the
stratosphere (a critical component in the ozone cycle);1 (2) characterization
of different forms of active pharmaceutical ingredients in a drug;4 6 and
(3) performing security checks (for example at airports) for illegal drugs and
explosives,7 due to its penetration through nonconductors (fabrics, wood,
plastic). Since terahertz radiation is non-ionizing, it enables a safer analysis
environment than that by x rays.4 A compact terahertz product will allow
better security at checkpoints without affecting privacy than that being
AlGaN-based Intersubband Device Technology 177

achieved currently. Terahertz-based systems can also have a profound


industrial impact by performing quality control of packed goods.

8.1.2 Available terahertz sources


Some of the available terahertz sources include:
Gyrotron: A vacuum tube with a high-power (kilowatts to milliwatts)
emitter based on a cyclotron resonance maser. Cost, maintenance, and space
allocations limit the usage of this device to applications such as heating of
nuclear fusion plasmas and large-scale thermal treatment of materials.
Backward wave oscillator: An electron-tube-based emitter requiring a
highly homogeneous magnetic field of 10 kG. Its power is 10 mW and
wavelength is in the range 0.1–1.5 THz.1
Optically pumped terahertz laser (OPTL): An OPTL consists of a grating-
tuned carbon dioxide pump laser and a far-infrared gas cell mounted in the
laser resonator. The stability of an OPTL is affected by even slight changes in
pumping wavelength, the cavity length, and feedback interaction between the
pump laser and the terahertz laser. The usable wavelength is 0.3–10 THz.
Miscellaneous: Directly multiplying millimeter-wave sources up to
terahertz frequency (1 THz), and frequency mixing generate low powers
(< 10 mW) with limited upper frequencies (<10 THz).1

8.1.3 Conventional semiconductor and III-nitride terahertz sources


GaAs/AlxGa(1 x)As-based conventional quantum cascade lasers (QCLs)
emitting in the terahertz regime have recently been demonstrated.8 New
QCL designs have been proposed for terahertz emission using longitudinal
optical (LO) phonon depopulation.9 Even with photonic crystals10 or dual-
wavelength GaAs QCLs11 with LO-phonon energy of 36 meV, low
operating temperatures are required for long-wavelength operation. There is
no emission from the QCLs at room temperature (RT). Consequently, QCLs
based on III-nitrides with LO-phonon energy of ħvLO 90 meV are promising
for RT operation in the far-infrared region. The increased LO phonon energy
has two key advantages: (1) lifetime of the upper laser state is increased due to
the reduced scattering rate of hot electrons in the upper subband, and
(2) ultrafast LO-phonon scattering in GaN/AlGaN quantum wells enables a
rapid depopulation of the lower laser state.

8.1.4 III-nitride material challenges


Despite inherently fast carrier dynamics and short relaxation times in III-
nitride QCLs, realization of high-structural-quality III-nitrides for intersub-
band (ISB) devices is quite challenging. Tunability of layer thickness and
control of material quality are essential for ISB absorption. Unlike MBE
growth of AlN/GaN SLs for QCLs where in situ monitoring of interfaces and
thickness of various layers is readily available, this is not the case with typical
178 Chapter 8

metalorganic chemical vapor deposition (MOCVD) growths. Nevertheless,


MOCVD-grown III-nitrides with high Al% are known to be of much higher
quality than those by MBE due to greater flexibility in growth conditions
(such as growth at higher temperatures) with the former. Therefore, we
emphasize growth of ISB structures by MOCVD. Optimization of MOCVD
growth conditions has led to ISB luminescence at 2 mm12, confirming their
structural quality. However, for far-infrared and terahertz regimes, only
theoretical estimates are currently available. Lasing from GaN/AlGaN
cascade structures has also been verified.13 Room-temperature lasing in
GaN/AlGaN by optical pumping at 34 mm14 suggests that the realization of
compact high-power terahertz emitters is possible with further development.

8.2 III-Nitride MOCVD


The AIXTRON 200/4-HT horizontal-flow low-pressure MOCVD reactor was
used to grow ISB structures described here. Double-side-polished (001) sapphire
was used as the substrate. A 200-Å AlN buffer layer was nucleated at low
temperature as the seed layer on the sapphire substrate to subsequently grow a
600-nm-thick high-quality AlN template layer15 by pulsed atomic-layer epitaxy
(PALE) at 1300 8C. In order to realize ISB structures, trimethlyaluminum
(TMAl), trimethylgallium (TMGa), and trimethlyindium (TMIn) sources were
used to grow AlGaInN epilayers by MOCVD on the AlN template.

8.2.1 Effect of growth temperature


In order to study the impact of growth temperature on AlGaN crystal quality,
intersubband structures are grown at various temperatures. High-resolution
(002) omega/2theta x-ray diffraction (XRD) scans were performed using a
PANalytical PRO MPD system on the SLs of 1.5-, 2.7-, 4.3-, and 7.0-nm
widths grown at 1035 8C. XRD scans on SLs grown at 900 8C are also
performed with well widths of 1.5 and 2.7 nm. Apparently, satellite peaks for
the SLs grown at 900 8C are narrower (and thus higher quality) than those
grown at 1035 8C. Figure 8.1 shows the GaN thickness change with deposition
time. Fifty periods of various SLs are grown, and layer thicknesses are
deducted by determining the angular separation between satellite peaks.16 The
average Al composition is calculated via
xAl ¼ ðCAlGaN 0
CGaN Þ=ðCAlN
0 0
CGaN Þ: ð8:1Þ
0 0
where CGaN and CAlN correspond to lattice parameters of free-standing GaN
and AlN crystals, respectively, and CAlGaN is the average lattice constant of
the SL determined from x-ray analysis.16 The linear fit of SLs grown at
1035 8C intersects the ordinate below the abscissa (Fig. 8.1) due to thinning
of the GaN wells during the AlN deposition.17 However, for SLs grown
at 900 and 970 8C, the linear fit to GaN thickness intersects near the origin,
AlGaN-based Intersubband Device Technology 179

Figure 8.1 GaN thickness as a function of GaN deposition time for three different growth
temperatures: 900, 970, and 1035 8C (reprinted from Ref. 18). (See color plate section.)

indicating that the interface quality of the SLs may be better (mainly due to
reduced thinning of GaN wells during lower-temperature growth). Better-
defined interfaces correlate with higher strain in the well, consistent with the
observed photoluminescence (PL) energy/intensity and ISB absorption energy
behavior previously addressed.

8.2.2 High-Al-content structures


All ISB structures are grown at 1035 8C at 50 mbar. Crack-free SLs (with
2.4% lattice mismatch) of various thicknesses are grown pseudomorphi-
cally19 with the following growth parameters: ammonia (NH3), 1.9 lt/min;
TMGa, 63.8 mmol/min; and TMAl 48.8 mmol/min.

8.2.2.1 Overview of pulsed growth technique


Since III-nitrides have piezoelectricity when grown along c-planes, nitride
layers of multi-megavolt/centimeter electric fields20 can be created by
controlling strain in them. The interface and/or SL thickness fluctuations
during conventional MOCVD significantly degrade absorption, which is
affected by the structure and quality of the initial nitride (GaN or AlN)
template.21,22 Moreover, the GaN layer is thinned due to thermal etchback
during subsequent AlN growth.17,23 To overcome the abovementioned
limitations of MOCVD when performed in a conventional manner, we
applied a novel concept of MOCVD pulses during the nitride growth to
improve the structural quality of AlN/GaN SLs. The ISB structures grown
by pulsed MOCVD indeed maintain absorption at telecommunication
wavelengths, confirming supremacy of the pulsed technique with respect to
conventional MOCVD.
Pulsed depositions also overcome challenges in achieving high-quality
SLs for ISB with conflicting temperature requirements for the Ga and Al
nitrides. For example, Al adatom mobility requires growth temperatures of
180 Chapter 8

>1200 8C for AlN growth and a lower V/III ratio than Ga. In contrast,
high-structural-quality GaN can be grown under higher pressure (>100
mbar) and high V/III ratios (>3000) at 1000 8C. One way to deposit GaN
with a high V/III ratio while decreasing parasitic pre-reactions during AlN
deposition is to use temporal separation of TMAl and NH3 (or pulsing).
This enhances the surface adatom migration of both the GaN and AlN and
maximizes the growth efficiency.24 Two growth steps are used for each AlN
and GaN layer (Fig. 8.2): Steps (I) and (II) result in AlN deposition with
enhanced Al adatom mobility and may be repeated several times to obtain
thicker AlN layers. Step (III) deposits GaN using conventional bulk
deposition to ensure a high N/Ga ratio. Step (IV) nitridizes the surface and
removes excess Ga to avoid the formation of AlGaN prior to deposition of
the next AlN layer. Thicker GaN layers may be realized by either varying
the length of the bulk-like growth step [step (III)] or by repeating steps (III)
and (IV). By using the pulsed SL growth technique, the V/III ratio for AlN is
decreased, whereas that of GaN is maximized. The pulsed growth results in a
much higher growth rate for AlN and Al adatom mobility than what would
otherwise be achieved with the conventional growth. A typical sketch of the
SL is given in the inset of Fig. 8.3(a).

Figure 8.2 The growth sequence of an AlN/GaN SL. One period consists of two main
deposition phases: (1) AlN deposition, which is realized via pulsing TMAl and NH3 to
enhance Al adatom mobility [steps (I) and (II)], and (2) conventional GaN deposition [step
(III)], which is realized by conventionally supplying TMGa and NH3 simultaneously. Step IV
nitridizes the surface (reprinted from Ref. 25).
AlGaN-based Intersubband Device Technology 181

Figure 8.3 (a) AlN thickness versus number of AlN pulses for TMAl duration of 2 s. Inset
shows a cross-sectional diagram of an SL. (b) GaN thickness versus number of GaN pulses
for TMGa duration of 2 s. Inset shows the (1  1 mm) atomic force microscopy (AFM) SL
surface without TMIn. (c) GaN thickness versus TMGa duration for a single GaN pulse. Inset
shows the (1  1 mm) AFM SL surface with TMIn (reprinted from Ref. 25).

8.2.2.2 Tunability of AlN and GaN layers in the SL


The tunability of GaN well and AlN barrier thickness is studied by growing
AlN/GaN SLs [Fig. 8.3(a) inset] with a varying number of AlN pulses [steps
(I) and (II)] and GaN pulses [steps (III) and (IV)] or by varying the GaN
deposition time (for a single GaN pulse). XRD is used to characterize the SLs.
The angular separation between satellite peaks is used to calculate the SL
period.16 The average Al composition was calculated via Eq. (8.1).
SL samples with fifty periods are grown to deduce the growth rate of AlN
and GaN layers under the pulsed deposition conditions. Figure 8.3(a) shows
the dependence of AlN thickness on the number of AlN pulses. Similarly, the
dependence of GaN thickness on GaN pulses and GaN deposition time are
plotted in Figs. 8.3(b) and (c), respectively. AlN (or GaN) thickness is found
to be a linear function of AlN (or GaN) pulses. However, the linear fit to GaN
thickness [Figs. 8.3(b) and (c)] intersects the ordinate below abscissa due to
thinning of the GaN wells during high-temperature AlN deposition.17
Conversely, for the linear fit to AlN thickness [Fig. 8.3(a)], the intersection
182 Chapter 8

with the ordinate is above abscissa. However, a linear deposition growth rate
with respect to AlN (or GaN) pulses and GaN deposition time allows for
controllable AlN/GaN SL growth.
It should be realized that different ISB devices require tunability of the
individual well (GaN) layer for a constant barrier (AlN) thickness. Keeping
the AlN barrier thickness at 3.1  0.2 nm, we have varied the GaN thickness
from 0.8 to 3.5  0.2 nm by changing the GaN deposition time from 4 to 11 s,
respectively. GaN and AlN SL thicknesses were calculated from periodic
fringes in the XRD spectra.

8.2.2.3 Effect of doping on optical and structural quality


n-type doping of the well during MOCVD is realized by flowing SiH4 along with
the metalorganic cation sources [steps (I) and (III) in Fig. 8.2]. In order to study
the effect of doping on ISB absorption and assess the quality of grown SLs,
we have grown 50 periods of {1.9-nm GaN with 3.1-nm AlN} SL on 600-nm
AlN/c-sapphire with well doping values of 1016 (nominally undoped), 1017,
and 1019 cm 3. Figure 8.4 shows the (002) XRD omega/2theta scan for the SLs
with different doping levels. There were no observable structural changes in
doped SLs compared to undoped SLs.

8.2.2.4 Effect of capping on optical and structural quality


The capping of the SL (50 periods of 1.8-nm GaN/3.1-nm AlN) with AlN has
been studied via PL. Room-temperature PL measurements are performed
with a frequency-doubled argon-ion laser at 244 nm. Figure 8.5 shows the PL
spectra of uncapped, and 30- and 100-nm (AlN) capped SLs, whereas the inset
shows the XRD (002) omega/2theta scans.
Uncapped SLs are crack-free, whereas edge cracks begin to develop with
the 30-nm capping and become more prominent for the 100-nm capping (not
shown). Thus, the significant blue shift with increased cap thickness in Fig. 8.5

Figure 8.4 (002) omega/2theta XRD of 50 period of {1.9 nm-thick GaN with 3.1-nm thick
AlN} for different well n-doping levels (CPS ¼ counts per second) (reprinted from Ref. 25).
AlGaN-based Intersubband Device Technology 183

Figure 8.5 Room-temperature (RT) PL of 50 period {1.8 nm-thick GaN / 3.1 nm-thick AlN}
SL with different AlN capping thicknesses (uncapped, 30 nm, and 100 nm). Inset shows (002)
omega/2theta XRD of the SL with the different capping thicknesses (reprinted from Ref. 25).
(See color plate section.)

is attributed to partial strain relief via crack formation. Consequently, XRD


thickness fringes are better defined for uncapped (crack-free) samples
compared to capped (cracked) samples. With crack formation, no significant
period change is observed, indicating no thickness change in SLs. However,
the 0th-order peak of SL moved toward the AlN template peak, indicating
strain relief in SLs. The PL intensity increase in capped SLs occurs due to the
partial doping effect via band bending.26

8.2.3 Low-Al-content structures


The latest III-nitride ISB devices contain binary AlN/GaN SLs. However, by
changing the Al content in the AlxGa1 xN barrier, the wavelength can be tuned to
cover the infrared–terahertz range. Since parasitic reactions between TMAl and
NH3 are reduced for lower Al-content epitaxy, conventional rather than the pulsed
MOCVD is preferred to grow low-Al-content AlGaN layers. In order to realize
terahertz devices, the required Al content in the AlxGa1 xN barriers should be
15–20%.27,28 Theoretical calculations to obtain a RT terahertz emitter based on
the cascade design have recently been reported.28,29 These calculations stipulate that
the use of ternary AlxGa1 xN alloys as barriers decreases the internal electric field
due to a small mismatch (2%) with GaN wells. The AlGaN/GaN SL structure
also yields better interface quality and thickness control. AlGaN/GaN SL ISB
devices are therefore preferred for operation in the wavelength range of 1.55
mm23,30 to 2.4 mm,31 and MOCVD is the preferred method of growth for scalability.
Further wavelength tuning can be achieved by tuning the Ga/Al ratio in the SL.
184 Chapter 8

Figure 8.6 Omega/2theta (002) XRD of SLs with four different well thicknesses: from top
to bottom these are 1.2-nm, 2.6-nm, 3.7-nm, and 5.1-nm GaN. Wells are Si doped to
1  1018 cm3. The barriers for all samples are 2.9-nm-thick Al0.2Ga0.8N. Inset: SL period
(from XRD analysis) as a function of well growth time is used to extract the GaN growth rate
and determine the well thicknesses (reprinted from Ref. 32). (See color plate section.)

In order to better assess the structural properties of the SLs, open-


detector omega/2theta (002) XRD scans are performed. Figure 8.6
represents the XRD plots for four different well thicknesses. The
narrowest peak located at 20.7 deg corresponds to the sapphire substrate,
and the peak at 18.2 deg corresponds to AlN, in addition to the 0th-order
and 1st-order SL peaks shown in the figure. The average Al composition
was calculated from the angular separation between the AlN and the
0th-order peaks,16 and the period of the SL was obtained from the spacing
of satellite peaks.33 The inset of Fig. 8.6 shows the calculated SL period as
a function GaN growth time. GaN thickness is shown to change linearly
with growth time (for a fixed thickness of AlGaN) with a growth rate of
0.64 Å/s. The y intercept corresponds to the fixed AlGaN barrier
thickness of 2.9 nm. The composition of AlGaN was determined to be
Al0.20Ga0.80N.
Figure 8.7 shows the plot of PL intensity as a function of energy for
Si-doped (1  1018 cm 3) GaN wells of 1.2 nm, 2.6 nm, 3.7 nm, and 5.1 nm
for a fixed 2.9-nm Al0.2Ga0.8N barrier (undoped). As expected, a red shift in
PL emission occurs with increasing well thickness with a 3.33-eV emission
from the 5.1-nm well. Apparently, the strain in the GaN well shifts the
emission peak from its bulk value of 3.44 eV to 3.33 eV in conjunction with a
decrease in the PL intensity.34 The latter occurs because of the quantum
confinement of electron and hole wavefunctions (Stark effect), which leads to
lower electron–hole recombination efficiency and results in reduced PL
intensity. A Gaussian fit of the PL emission peaks gives a full width at half
maximum (FWHM) of 111.5 meV, 102.5 meV, 127.5 meV, and 172.5 meV for
AlGaN-based Intersubband Device Technology 185

Figure 8.7 PL intensity as a function of energy for four different GaN well thicknesses:
1.2 nm, 2.6 nm, 3.7 nm, and 5.1 nm, all with a constant 2.9-nm Al0.2Ga0.8N barrier
thickness. The SL consists of 50 periods in which each well is Si doped to 1  1018 cm3.
Inset: Comparison theory/experiment PL energy as a function of well width (reprinted from
Ref. 32). (See color plate section.)

the 1.2-nm, 2.6-nm, 3.7-nm, and 5.1-nm GaN wells, respectively. The absence
of yellow emission (N vacancies)35 in addition to the low FWHM values imply
an SL of good structural quality.

8.3 Infrared Optical Devices


Infrared optical devices including detectors and emitters are in extreme
demand for telecommunication (1.5 mm) and earth-to-space communi-
cation (3–4 mm). Currently, AlGaInAsP materials are being used for
such devices. However, AlGaInN-based ISB devices can be a better
substitute for faster telecommunication and optical multiplexing because
of the faster ISB transitions in AlGaInN. ISB absorption measurements
were conducted on a Bruker IFS 66V vacuum Fourier transform infrared
(FTIR) spectrometer, with an internal blackbody source and a cryogenic
mercury-cadmium-telluride detector. Samples were cut into 4-mm-long
bars, and 45-deg angle facets were polished on both sides in order to form
a multipass waveguide and allow transverse optical access. A wire-grid
polarizer was inserted into the beam path just before the sample to select
either p or s polarization for the incident light. Broadband light from a
blackbody source, either p or s polarized, was incident perpendicular to
the facet on one side of the sample. The light traveled along the SL region
and went out from the facet on the other side of the sample. The infrared
transmission was measured at RT using an FTIR spectrometer. The ISB
absorption corresponded to the difference between the absorption of
p- and s-polarized lights.
186 Chapter 8

8.3.1 Near-infrared devices


Figure 8.8 shows the transmission spectra of p-polarized light from uncapped,
and 30- and 100-nm capped SLs that were either undoped or doped (both well
and barrier). For uncapped and undoped SL samples, a weak absorption is
observed. However, capping (30 nm) of well and barrier layers with AlN
results in significantly increased absorption because the underlying AlInGaN
layer band structure is modulated by the AlN, leading to effective doping.27
The absorption increases with increased cap thickness, presumably due to
increased modulation doping with thicker AlN caps. However, the strain
relaxation via cracking beyond a critical AlN thickness lowers the ISB
transition energy, causing a red shift (Fig. 8.8).27 ISB absorption as low as
1.53 mm—the lowest wavelength reported from MOCVD grown nitrides—is
confirmed by Fourier transform infrared (FTIR) spectroscopy.

8.3.2 Mid-infrared devices


Increasing the wavelength of ISB devices requires reducing the separation of
ISB energy levels. This can be achieved most conveniently by reducing the
barrier height of the well, a method that requires an Al content of 10–20% in
AlGaN. Such an approach also reduces lattice mismatch between the barrier
and well layers, resulting in higher-structural-quality epitaxial layers. The
active region of the ISB device consists of 50 periods of GaN wells with
Al0.2Ga0.8N barriers, as described earlier. Further tuning of the ISB
absorption from 4.5 to 5.3 mm in GaN/Al0.2Ga0.8N SLs can be accomplished
by adjusting the well thickness. In order to study ISB absorption dependence

Figure 8.8 Relative (p-polarization) transmission of undoped, well-, or barrier-doped, and


uncapped, 30-, or 100-nm capped 50-period {1.8-nm-thick GaN/3.1-nm-thick AlN} SL
(reprinted from Ref. 25). (See color plate section.)
AlGaN-based Intersubband Device Technology 187

on well thickness, devices with well thicknesses of 1.2 nm, 2.6 nm, 3.7 nm, and
5.1 nm were fabricated with a constant barrier thickness of 2.9 nm. All
samples were capped with a 30-nm Al0.2Ga0.8N layer. The same structures
were also grown with doped wells (Si: 1  1018 cm 3) to study the effects of
doping.
Figure 8.9(a) shows both p- (solid line) and s-polarization (dashed line)
transmission data for the sample with doped 2.6-nm wells and 2.9-nm
barriers. For both polarizations, we observe 100% absorption above l ¼ 6.1
mm, corresponding to absorption in the sapphire substrate. This absorption
is a significant limiting factor in developing longer-wavelength ISB devices.
An absorption edge is also observed in the p polarization at a wavelength of
4.5 mm, whereas no corresponding absorption is observed for s polarization,
verifying that this feature corresponds to ISB absorption. For the well
thickness of 1.2 nm, no ISB absorption feature is observed; this agrees with
the theory, as only one bound state may be present in this well; thus, no ISB
absorption should occur. For the remaining three well thicknesses,
normalized p- and s-polarization ratios (corresponding to the ISB absor-
bance) are plotted in Fig. 8.9(b). The ISB absorbance peaks at 4.5 mm,
4.9 mm, and 5.3 mm for the 2.6-nm, 3.7-nm, and 5.1-nm wells, respectively.
The increase in the well thickness causes a red shift in the ISB absorption
peak as the first two confined states of the well become closer in energy.

Figure 8.9 (a) Solid line is the p-polarization transmission for 2.6-nm well width and 2.9-nm
barrier width. Wells are Si doped to 1  1018 cm3, and the dashed line corresponds to
s-polarization transmission for the same sample. Inset: ISB absorption wavelength as a
function of well width: experimental results (squares) and simulation (circles) for a fully
strained structure. (b) From left to right, ISB absorbance for 2.6 nm (red), 3.7 nm (blue),
and 5.1 nm (magenta) well widths. Lorentzian fits are shown (dashed lines) for the 3.7- and
5.1-nm well widths (reprinted from Ref. 32). (See color plate section.)
188 Chapter 8

For the 2.6-nm well, we observe a broader absorption peak compared to that
in two thicker wells (3.7 and 5.1 nm). For the 2.6-nm well, some oscillations
appear around 6 mm in the absorbance. These are attributed to the
normalization with s-polarized spectra close to the sapphire cutoff. For each
of the two thicker wells, we observe one prominent absorption feature that
can be fitted with Lorentzian functions (dashed lines), a characteristic of ISB
transitions. Lorentzian functions do not perfectly fit the experimental curves
around 6 mm due to the normalization. Furthermore, the decrease of ISB
absorption intensity with the increase in well width can be explained by
reduced quantum confinement for the second subband compared to the
fundamental state. With increasing well thickness, we have increased the
wavelengths as long as 5.3 mm, with further wavelength increase limited by
the sapphire substrate reabsorption.
Simulations of ISB absorption wavelength as a function of well
thickness were performed and compared with the experimental data of
Fig. 8.9(a) (inset). The best agreement between the simulated and
experimental data is obtained for the thinnest well (2.6 nm), but the
discrepancy occurred with increased well thickness. The discrepancy may be
due to uncertainties in internal electric field and conduction-band offset, as
the two subbands are located in the triangular part of the well for thicker
wells (error 5%). Further studies of these two parameters are required to
minimize this discrepancy.
In summary, we have studied Al0.2Ga0.8N/GaN SLs grown by MOCVD
with various well thicknesses via XRD, PL, and polarization-dependant ISB
absorption measurements. A theoretical model was developed, taking into
account strain in binary/ternary SLs, and was correlated with both
experimental interband emission and ISB absorption results. We have
demonstrated tunability of ISB absorption from 4.5 to 5.3 mm by tuning
the well thickness. This should lead to application of GaN/AlGaN SLs in
longer-wavelength ISB devices.

8.3.3 Toward terahertz


Further tuning of AlGaN-based ISB optical devices toward terahertz
(>30 mm) requires novel designs with lower Al content as well as addressing
the sapphire reabsorption issue. Figure 8.10 shows the GaN-, AlN-, and SiC-
substrate transmission spectra, which indicate that substrate thinning or novel
extraction schemes are necessary to achieve terahertz devices. Alternatively,
sapphire substrates should be replaced with a nonabsorbing substrate such as
Si, albeit at the expense of increased lattice mismatch. Silicon is essentially
transparent at long wavelengths; therefore, GaN on Si is an attractive
option for fabricating mid-infrared (5 mm) devices operating at terahertz
(30–300 mm) frequencies.
AlGaN-based Intersubband Device Technology 189

Figure 8.10 GaN-, AlN-, and SiC-substrate transmission in the infrared terahertz regime.
(See color plate section.)

8.3.4 Conclusion
Large conduction-band offset and fast transition speeds in III-nitrides are
promising constituents for ISB devices. The first demonstration of ISB
transitions in nitrides was shown in the MBE-grown layers operating at a
wavelength of 1–2 mm. Early attempts to fabricate ISBs using MOCVD-
grown material failed. We have overcome earlier shortcomings of MOCVD-
grown III-nitride devices by developing a novel pulsed deposition technique.
In addition, ISB design engineering with AlGaN barriers has resulted in
devices operating successfully at 1.0–5.3 mm (near- to mid-infrared).

8.4 Resonant Tunneling Diodes


8.4.1 Introduction
A resonant tunneling diode (RTD) is an electronic device having a unique
quantum-interference phenomenon called negative differential resistance
(NDR). Compared to other negative resistance devices such as (Esaki) tunnel
and transferred-electron devices, RTDs operate much faster (theoretically at
100 THz) and at higher temperatures (<800 8C). Since the inception of RTDs,
their performance has improved remarkably to near terahertz,36 and their NDR
has extended toward RT. Despite these advances, conventional GaAs-based
RTDs can only operate to a few hundred gigahertz, at an output power of
approximately a few microwatts, and at near RT.36 However, a large electron
effective mass m* (0.2–0.3  m0) and longitudinal optical phonon energy
(90 meV) of III-nitrides allow ultrafast ISB relaxation, resulting in very high-
speed ISB devices. Since III-nitride materials, such as AlGaInN alloys, have a
wide bandgap (>6.2 eV), high carrier mobility (1000–1500 cm2/V  s), and
high thermal stability (800 8C), these alloys are ideal for high-power
190 Chapter 8

(>20 mW), high-frequency (>500 GHz) RTDs. In principle, larger conduction


band discontinuity (1.8 eV) should yield higher NDR than that in GaAs and
should allow operation at RT. However, earlier efforts on GaN-based RTD
structures failed to achieve a reliable and reproducible NDR due to a high
dislocation density in GaN. We have demonstrated for the first time that by
minimizing the dislocation density (from 108 to 105 cm 2) and eliminating
the piezoelectric fields in GaN, reliable and reproducible NDR and RTDs can
be fabricated and can operate even at RT.37 Studies of NDR under both
forward and reverse bias at both room and low temperatures indicate quantum
tunneling as the main mechanism responsible for the NDR phenomenon. We
believe that the successful demonstration of III-nitride RTDs will pave the way
for further fundamental quantum transport studies and fabrication of terahertz
optoelectronic quantum devices based on oscillators and cascading structures. The
terahertz (3 mm to 30 mm) frequency regime has promising applications in
information and communications technology, biology and medical sciences,
homeland security, and ultrafast computing.38,39 The generation of terahertz
frequency is rather challenging as terahertz lies at the cusp of electronic and
photonic frequencies, thus creating the so-called “terahertz gap.” Currently, two
frequency roadmaps exist for terahertz semiconductor devices: (1) devices operating
at hundreds of gigahertz and (2) devices operating at tens of terahertz, including
QCLs. Both of these devices have been practiced on GaAs-based RTDs.39 41
In this section we will focus exclusively on III-nitride RTDs that operate
in the terahertz range. GaN-based RTD structures grown by MBE36,42 48
have been studied extensively, but such studies suffered from reliability and
reproducibility problems. Recently, we have studied similar III-nitride
structures by MOCVD.49,50 Initial MOCVD III-nitrides on sapphire showed
degraded NDR behavior that was correlated with high dislocation density
(>108 cm 2) due to a large lattice mismatch (14%) with sapphire. To achieve
reliable and reproducible NDR, dislocation density in the nitrides was
reduced through multiple approaches: (1) using freestanding (FS) c-plane
GaN substrates,45 which eliminate lattice-mismatch issues, (2) performing
lateral epitaxial overgrowth of GaN on sapphire,50,51 which reduces defect
density, (3) improving the active layer quality by lowering the Al content from
100% to 70% in AlxGa(1 x)N barriers,44 and (4) decreasing the mesa diameter
from of 40–200 mm43,44,48 to 15 mm,51 6 mm,45,47 5 mm,50 or <4 mm42,49. The
first approach not only yielded dislocation density of <105 cm 2 but also
minimized formation of dislocations during the heteroepitaxy of the AlGaN
barrier. It should be noted that the critical thickness for generating
dislocations in III-nitrides is proportional to the Al content in AlGaN (the
higher the Al, the thinner the critical thickness); therefore, the RTD structure
requires a careful layer design from both thickness and composition points of
view. It is clear that low dislocation densities (<105 cm 2) are essential to
achieve reproducible NDR in double-barrier (DB) RTDs.51 The data here
AlGaN-based Intersubband Device Technology 191

agrees well with recent theoretical studies that predict that dislocation
densities of <106 cm 2 are required for a reliable RTD.52 Nevertheless,
improved reliability of NDR dictated that further material engineering in the
elimination of piezoelectric fields to minimize band bending at DB
heterointerfaces53 was required. In addition, smaller device mesas were used
to minimize sidewall effects on NDR and improve NDR performance.
Higher structural quality of nitride growth on FS GaN was correlated
with improved response of ultraviolet detectors.38,54 It should be noted,
however, that in addition to the structural quality, the effect of the polar
(c-plane) and nonpolar (m-plane) nature of FS substrates is equally important,
as the piezoelectric field in the FS substrates directly impacts the optical
properties of AlGaN/GaN SLs.55 This field degrades the device response,
which becomes bias dependent. We have therefore studied GaN-based RTD
structures on both polar and nonpolar FS GaN substrates.
In summary, successful operation of RTDs (fabricated on FS GaN) under
both reverse and forward biases at RT (and below) has been demonstrated for
the first time.37,52 An alternative nanostructure-based approach56 has also
been successfully applied to reduce dislocation density in the grown nitride
films and has yielded operational DB RTDs.

8.4.2 Device design


An RTD essentially consists of a HEMT-like structure with an active layer of
GaN sandwiched between two AlGaN layers [Fig. 8.11(b)]. A heavily doped
(1019 cm 3) GaN contact layer is grown over the AlGaN/GaN structure.
Since GaN has a narrower bandgap than AlGaN, electrons in AlGaN spill

Figure 8.11 Schematic, crystallographic directions, and energy band diagram of a polar
RTD active layer. (a) Relative conduction-band discontinuities DEC of the RTD active layer
and the distribution of electrons. (b) Schematic of the RTD active layer with layer
thicknesses shown, along with the crystallographic directions (reprinted from Ref. 57). (See
color plate section.)
192 Chapter 8

over to the GaN, resulting in a discrete electronic state confined in GaN below
the AlGaN conduction band. Basically, the GaN acts as a quantum well, and
the AlGaN layers act as barriers to the electron transport [Fig. 8.11(b)]. By
reducing the thickness of the AlGaN barrier, tunneling of electrons can be
achieved for the RTD operation.
Simulated band structure for double barriers is obtained by solving
Poisson’s and Schrodinger’s equations. Polarization fields are also calculated
by the simulations. Carrier concentrations in the quantum well are calculated
using the Boltzmann approximation, and for degenerate regions modified
Sommerfeld approximation is employed. Simulation results for active layers
in polar and nonpolar RTDs are shown in Figs. 8.11 and 8.12, respectively.
Conduction and valance bands, Fermi level and electron concentrations are
schematically represented in the figures.

8.4.3 Material growth


Both polar and nonpolar RTDs were grown in an AIXTRON 200/4-HT
horizontal-flow low-pressure MOCVD reactor. TMAl and TMGa were used
as the metalorganic precursors for Al and Ga, respectively. Silane was used as
the n-type dopant source. Ammonia and hydrogen/nitrogen mixture were
used as the anion source and carrier gas, respectively.

8.4.3.1 Polar devices


The growth of polar RTDs starts with baking of c-GaN substrate followed by
3-mm i-GaN growth. Two types of substrate were used for the growth: (1) FS

Figure 8.12 Schematic, crystallographic directions, and energy band diagram of a


nonpolar RTD active layer. (a) Relative conduction-band discontinuities DEC of the RTD
active layer and the distribution of electrons. (b) Schematic of the RTD active layer with layer
thicknesses shown, along with the crystallographic directions (reprinted from Ref. 57). (See
color plate section.)
AlGaN-based Intersubband Device Technology 193

GaN and (2) high-quality lateral epitaxial overgrowth (LEO) GaN templates
(grown on sapphire).58 First, 750-nm-thick n-GaN was grown for the bottom
contact, followed by the active layer of GaN. For polar RTDs, a 1.5-nm
Al0.20Ga0.80N barrier layer was grown to prevent lattice relaxation via
dislocations. The RTD active layer stack consists of 2.0-nm i-GaN, 1.5-nm
i-Al0.20Ga0.80N, 1.25-nm i-GaN, 1.5-nm i-Al0.20Ga0.80N, and 2.0-nm i-GaN.
This structure enables a conduction-band offset of 0.42 eV in the AlGaN
barrier, resulting in a single and discrete electronic level (E) at 0.32 eV in the
GaN well. To minimize impurity scattering due to dopant interdiffusion into
the barrier regions, thin (a couple nanometers) undoped or low-n-doped GaN
spacer layers are grown on either side of the barriers. Finally, a top contact
layer of 250-nm-thick nþ-GaN was grown to finish the RTD structure. Figure
8.11 shows the polar RTD energy diagram near the active GaN layer.
8.4.3.2 Nonpolar devices
The growth sequence of nonpolar RTDs is similar to that used for polar
devices. It starts with baking of m-GaN substrates followed by 3-mm i-GaN
and 400-nm n-GaN for the bottom contact. The active layer stack consists
of 2.6-nm i-GaN, 1.6-nm i-Al0.10Ga0.90N, 1.6-nm i-GaN, 1.6-nm
i-Al0.10Ga0.90N, and 2.6-nm i-GaN. This structure enables a conduction-
band offset of 0.16 eV in the AlGaN barrier, resulting in a discrete electronic
level at 0.10 eV in the nonpolar GaN well. Similar to what is described earlier,
impurity scattering due to dopant interdiffusion in the barriers is minimized
by growing a thin (a couple nanometers) undoped or low-n-doped GaN spacer
layers on either side of the barriers. Finally, a top contact layer of 250-nm
nþ-GaN is grown to finish the nonpolar RTD structure. Figure 8.12 shows
the nonpolar RTD energy diagram near the active GaN layer.

8.4.4 Device fabrication


RTDs were fabricated using standard semiconductor methods and tools. For
example, the top mesa of the 35-mm diameter was created by electron
cyclotron resonance (ECR) reactive ion etching (RIE) to remove excess n-GaN
(Fig. 8.13). The nomenclature of Fig. 8.13 is as follows: (i) A: the deepest part of
the mesa where a passivation layer and the top metal contact will be
subsequently deposited to minimize leakage current and parasitic capacitance
between contact layers; (ii) F and G: the bottom contact consisting of 400-Å Ti/
1500 Å Au covered with a 300-nm plasma-enhanced chemical vapor
deposition (PECVD) SiO2 passivation layer. This passivation layer was
removed at the top (D) and bottom (G) contact pads via buffered hydrofluoric
acid (HF). The device was completed by conformal deposition of 400-Å Ti/1500
Å Au as the top contact metal that covers both ledges B and C.
The transmission line measurements (TLMs) of the top and bottom
contacts on polar substrates show ohmic behavior with specific contact
194 Chapter 8

Figure 8.13 Typical side-view schematic and top-view images of an RTD. (a) Side-view
schematic of a fabricated RTD device. (b) Top-view optical and (c) scanning electron
micrograph of a fabricated RTD device. Inset of (b) shows the scanning electron microscopy
(SEM) bird’s eye view of the mesa. Points A through G correspond to side (a) and top (b)
and (c) views of the indicated RTD locations (reprinted from Ref. 57).

resistance of <10 3 V  cm2. For nonpolar substrates, however, the TLM


measurements of top and bottom contacts show a Schottky barrier of
1.5 eV. It should be noted that surface of FS GaN m-plane contains hillocks
after the GaN growth,59 and part of TLM patterns (40 mm  400 mm) are
covered with such hillocks. The ohmicity difference between contacts on polar
and nonpolar planes could also be related to different surface energies of polar
and nonpolar orientations. The effect of resistivity differences on NDR
behavior is discussed in the following section.
AlGaN-based Intersubband Device Technology 195

8.4.5 Electrical characterization


All I-V curves were measured using an HP 4155A semiconductor parameter
analyzer configured to input a voltage sweep while measuring current. The
voltage polarity refers to that applied to the top electrode [Fig. 8.13 (a)]. For
consecutive measurements (Figs. 8.14 and 8.15), the voltage sweep was
repeated to confirm both the reliability and reproducibility of the measure-
ments. Measurements were performed under continuous wave at both RT and
liquid-N2 temperature.
As a bias voltage is applied across the device [voltage polarity shown
in Fig. 8.13(a)], the electronic state in the narrower-bandgap material

Figure 8.14 Electrical characterization of polar RTDs. (a) I-V curve of an RTD at RT for
different scan directions. (b) I-V curve of an RTD showing NDR for both polarities (reprinted
from Ref. 57).

Figure 8.15 Electrical characterization of nonpolar RTDs. (a) I-V curve of the RTD at RT.
The 1st, 30th, and 50th I-V curve is plotted to demonstrate NDR reliability and reproducibility.
(b) I-V curve of the RTD at RT is plotted with low-temperature (77 K) I-V curve (reprinted
from Ref. 57).
196 Chapter 8

(Figs. 8.11 and 8.12) is pulled down in energy with respect to the more
negative electrode. Electrons flowing from outside the well will be largely
deflected by the barriers, except those residing within a very narrow
range of discrete energy levels of the well. The well therefore acts as an
electron energy filter. Thus, the tunneling current through the well depends
on the density of occupied states in the well and alignment of the discrete
states with Fermi levels of the contact layers. In resonance, the electron
states in the electrode align with the discrete energy states of the well, and
the peak current IP corresponds to the applied peak voltage VP.
With further increase in bias, the emitter electron states fall below the
conduction-band edge, minimizing the tunnel current. The current and
voltage in this regime are defined as the valley current IV and valley
voltage VV.

8.4.5.1 Polar devices


Figure 8.14 shows I-V curve characteristics of typical polar devices. An onset
of NDR occurs in both scan directions at a VP of 0.84 V with a stable current
peak-to-valley ratio (CPVR) of 1.4. The calculated electronic and Fermi
energy EF levels for this device are 0.32 eV and 67 meV, respectively, implying
an ideal NDR voltage of 0.51 V. The difference between the observed
(0.84 V) and ideal VNDR (0.51) is attributed to the voltage drop due to the
series resistance of the device and polarization charges at the Al0.2Ga0.8N/
GaN interfaces.
Enhanced NDR reproducibility (based on multiple measurements)
correlates with (1) very low density of dislocations in the RTD structure
grown on FS GaN, (2) low Al content (20%) in the double barriers, and
(3) reduced piezoelectric fields.52 Lower Al content in the barriers not only
decreases the lattice mismatch to FS GaN and hence dislocation density but
also lowers the piezoelectric field at the AlGaN/GaN heterointerfaces.
We have also investigated the dependence of NDR on the polarity of the
applied bias. Despite symmetry in the grown structure of an RTD, asymmetry
in the polarization field (i.e., 2D electron gas in a AlGaN/GaN SL that forms
only in the GaN well) does occur during the reverse bias.60 Therefore,
changing the polarity of RTDs should not affect the I-V behavior but should
only cause a shift in the NDR characteristics (peak voltage/current and valley
voltage/current). The lower peak current in the reverse bias is typical of many
GaAs-based structures61 and may arise from out-diffusion of impurities
during growth or due to differences between GaN/AlGaN and AlGaN/GaN
interface roughness, where the latter is believed to be rougher.21

8.4.5.2 Nonpolar devices


Figure 8.15 shows I-V curve characteristics of a nonpolar RTD. The NDR
phenomenon is clearly observed in the upward scan at 6.6 V. The reliability
AlGaN-based Intersubband Device Technology 197

and reproducibility of NDR was established using previously described


methodology in that multiple I-V measurements were taken consecutively.
The 1st, 30th, and 50th I-V scans are plotted in Fig. 8.15. All I-V scans look
nearly identical, indicating high material and device quality. I-V measure-
ments taken at cryogenic temperatures are also included in Fig 8.15.
The improvement of the peak-to-valley ratio (PVR) at lower temperature
is related to (1) thermal deactivation of the traps, (2) the decrease in
thermionic off-resonant current over the barriers, (3) improved confinement
of the distribution function around the resonant energy, and (4) reduction in
inelastic phonon-assisted tunneling. Lower temperatures improve the NDR
behavior, indicating that NDR is indeed related to quantum tunneling.61
Typical figures of merit in RTDs are the NDR magnitude (R ¼ 1/Gd),
CPVR ¼ IP/IV, and average oscillator output power (PMAX  3/16  DI 
DV).62 The average values of the R, CPVR and PMAX over fifty scans are
67  5 V, 1.08  0.02, and 0.52  0.01 mW, respectively. These figures of
merit, achieved for MOCVD-grown large-diameter diodes measured at RT,37
are comparable to state-of-the-art GaAs-based RTDs57 and demonstrate the
potential of III-nitride RTDs for next-generation high-power, high-frequency
device applications. The much-improved NDR behavior is attributed to
(1) very low density in FS GaN, (2) low Al content in double barriers, and
(3) minimization/elimination of piezoelectric fields.37

8.5 Summary
III-V-nitride-based ISB devices have successfully been demonstrated recently
because of pronounced improvements in material and device technologies.
For example, GaN-based RTDs based on quantum transport among ISB
energy levels with negative resistance of 67 V has been achieved, as shown in
Fig. 8.16(b). Our results suggest that with proper device design and energy gap
engineering, the (sub)bands of AlGaInN can be engineered to operate over a
wide optical range from ultraviolet to terahertz, as shown in Fig. 8.16(a).
An RTD is an electronic device that displays NDR due to a unique
quantum-interference phenomenon. Unlike other negative resistance
devices such as (Esaki) tunnel and transferred-electron devices, RTDs
have higher performance and can operate at higher temperatures. In
particular, AlGaInN alloys have a wide bandgap (6.2 eV), high carrier
mobility (1000–1500 cm2/Vs), and thermal stability (800 8C), making
them ideal for high-power (>20 mW), high-frequency (>500 GHz) RTDs.
Moreover, a larger conduction-band discontinuity in AlGaN (1.8 eV)
results in higher NDR than in other III-Vs (such as GaAs) at RT. It
should be noted that earlier efforts on GaN-based RTD structures have
failed to achieve a reliable and reproducible NDR. Recently, we have
demonstrated for the first time that minimization of dislocation density
198 Chapter 8

Figure 8.16 (a) Demonstration of ISB transitions in AlN/GaN and AlGaN/GaN SLs from
near-infrared to mid-infrared, respectively, and (b) RT (300 K) and low-temperature (77 K)
operation of a GaN-based resonant tunneling diode. The inset shows the reliability and
reproducibility of NDR at RT (reprinted from Ref. 39).

and elimination of piezoelectric field are necessary requirements to achieve


reproducible NDR in GaN-based RTDs, even at RT. The observed
electrical characteristics of NDR under both forward and reverse bias at
room and low temperatures indicate that NDR behavior is attributable to
quantum tunneling.
To date, the prominent method for growing high-performance III-nitride
RTDs has been MBE. Nevertheless, commercial implementation of RTDs
will require MOCVD for high-volume/high-throughput production. Initial
attempts to grow RTD structures by MOCVD resulted in inferior devices to
those grown by MBE. However, progress in controlling growth-induced
defects during MOCVD has allowed its successful implementation for RTDs.
In fact, electrical characteristics of advanced III-nitride devices are now
equivalent to those of the best-of-breed devices grown by MBE.

8.6 Conclusions
In conclusion, we demonstrated a reliable and reproducible NDR (R of
67 V, CPVR of 1.08, and PMAX of 0.52 mW) in MOCVD-grown III-nitride
RTDs for the first time, as shown in Fig. 8.16(b). These RTDs operate both in
reverse- and forward-bias conditions with improved behavior at low
temperatures. We further demonstrated that very low dislocation density
(<105 cm 2) and minimization of the polarization charges at DB
heterointerfaces are a prerequisite for reliable and reproducible NDR in III-
nitride RTDs. These findings are expected to encourage further research in
material and device engineering on GaN-based quantum devices, including
RTD-based electronic oscillators and tunneling-based quantum cascade
photonic devices operating at terahertz.
AlGaN-based Intersubband Device Technology 199

References
1. E. R. Mueller, “Terahertz radiation: Applications and sources,” Physics
Today 9, 27–29 (2003).
2. S. M. Kim, F. Hatami, J. S. Harris, A. W. Kurian, J. Ford, D. King,
G. Scalari, M. Giovannini, N. Hoyler, J. Faist, and G. Harris, “Biomedical
terahertz imaging with a quantum cascade laser,” Appl. Phys. Lett. 88,
153903 (2006).
3. R. M. Woodward, V. P. Wallace, R. J. Pye, B. E. Cole, D. D. Arnone,
E. H. Linfield, and M. Pepper, “Terahertz pulse imaging of ex vivo basal
cell carcinoma,” J. Invest. Dermatol. 120, 72–78 (2003).
4. P. C. Upadhya, K. L. Nguyen, Y. C. Shen, J. Obradovic, K. Fukushige,
R. Griffiths, L. F. Gladden, A. G. Davies, and E. H. Linfield,
“Characterisation of crystalline phase transformations in theophylline by
time domain terahertz spectroscopy,” Spectrosc. Lett. 39, 215–224 (2006).
5. M. Hangyo, M. Tani, and T. Nagashima, “Terahertz time-domain
spectroscopy of solids: A review,” Int. J. Infrared Millimeter Waves 26,
1661–1689 (2005).
6. L. L. Nguyen, T. Friscic, G. M. Day, L. F. Gladden, and W. Jones,
“Terahertz time-domain spectroscopy and the quantitative monitoring of
mechanochemical cocrystal formation,” Nature Mater. 6, 206–209 (2007).
7. Y. C. Shen, T. Lo, P. F. Taday, B. E. Cole, W. R. Tribe, and
M. C. Kemp, “Detection and identification of explosives using terahertz
pulsed spectroscopic imaging,” Appl. Phys. Lett. 86, 241116 (2005).
8. H. Li, J. C. Cao, Y. J. Han, X. G. Guo, Z. Y. Tan, J. T. Lu, H. Luo,
S. R. Laframboise, and H. C. Liu, “A study of terahertz quantum cascade
lasers: Experiment versus simulation,” J. Appl. Phys. 104, 043101 (2008).
9. B. S. Williams, S. Kumar, H. Callebaut, Q. Hu, and J. L. Reno,
“Terahertz quantum cascade laser operating up to 137 K,” Appl. Phys.
Lett. 83, 5142 (2003).
10. O. P. Marshall, V. Apostolopoulos, J. R. Freeman, R. Rungsawang,
H. E. Beere, and D. A. Ritchie, “Surface-emitting photonic crystal
terahertz quantum cascade lasers,” Appl. Phys. Lett. 93, 171112 (2008).
11. M. A. Belkin, F. Capasso, A. Belyani, D. L. Sivco, A. Y. Cho,
D. C. Oakley, C. J. Vineis, and G. W. Turner, “Terahertz quantum-
cascade-laser source based on intracavity difference-frequency gener-
ation,” Nature Photonics 1, 288–292 (2007).
12. L. Nevou, M. Tchernycheva, F. H. Julien, F. Guillot, and E. Monroy,
“Short wavelength (l ¼ 2.13 mm) intersubband luminescence from GaN/
AlN quantum wells at room temperature,” Appl. Phys. Lett. 90, 121106
(2007).
200 Chapter 8

13. G. Sun and R. A. Sorel, “Design and simulation of a GaN/AlGaN


quantum cascade laser for terahertz emission,” Microelectron. J. 36,
450–452 (2005).
14. N. Vukmirovic, V. D. Jovanovic, D. Indjin, Z. Ikonic, and
P. Harrison, “Optically pumped terahertz laser based on intersubband
transitions in a GaN/AlGaN double quantum well,” J. Appl. Phys. 97,
103106 (2005).
15. P. Kung, R. McClintock, J. L. P. Vizcaino, K. Minder, C. Bayram, and
M. Razeghi, “III-nitride avalanche photodiodes,” Proc. SPIE 6479,
64791J (2007) [doi: 10.1117/12.713774].
16. S. Zhou, M. F. Wu, S. D. Yao, B. S. Zhang, and H. Yang, “Structural
characterization of Al/GaN/GaN superlattices by x-ray diffraction and
Rutherford backscattering,” Superlattices and Microstructures 40,
137–143 (2006).
17. N. Gogneau, D. Jalabert, E. Monroy, E. Sarigiannidou, J. L. Rouvière,
T. Shibata, M. Tanaka, J. M. Gerard, and B. Daudin, “Influence of AlN
overgrowth on structural properties of GaN quantum wells and quantum
dots grown by plasma-assisted molecular beam epitaxy,” J. Appl. Phys.
96, 1104 (2004).
18. C. Bayram, N. Péré-Laperne, and M. Razeghi, “Effects of well width and
growth temperature on optical and structural characteristics of Al/N/GaN
superlattices grown by metal-organic chemical vapor deposition,” Appl.
Phys. Lett. 95, 201906 (2009).
19. A. D. Bykhovski, B. L. Gelmont, and M. S. Shur, “Elastic strain
relaxation and piezoeffect of GaN-AlN GaN-AlGaN, and GaN-InGaN
superlattices,” J. Appl. Phys. 81, 6332 (1997).
20. N. Suzuki, N. Iizuka, and K. Kaneko, “Calculation of near-infrared
intersubband absorption spectra in GaN/AlN quantum wells,” Jpn. J.
Appl. Phys. 42, 132–139 (2002).
21. C. Adelmann, E. Sarigiannidou, D. Jalabert, Y. Hori, J. L. Rouvière,
B. Daudin, S. Fanget, C. Bru-Chevallier, T. Shibata, and M. Tanaka,
“Growth and optical properties of GaN/AlN quantum wells,” Appl. Phys.
Lett. 82, 4154 (2003).
22. P. Boguslawski, K. Rapcewicz, and J. J. Bernholc, “Surface segregation
and interface stability of AlN/GaN, GaN/InN, and AlN/InN {0001}
epitaxial systems,” Phys. Rev. B 61, 10820 (2000).
23. S. Nicolay, E. Feltin, J.-F. Carlin, N. Grandjean, L. Nevou, F. H. Julien,
M. Schmidbauer, T. Remmele, and M. Albrecht, “Strain-induced
interface instability if GaN/AlN multiple quantum wells,” Appl. Phys.
Lett. 91, 061927 (2007).
AlGaN-based Intersubband Device Technology 201

24. H. J. Kim, S. Choi, D. Yoo, J.-H. Ryou, R. S. Dupuis, R. F. Dalmau,


P. Lu, and Z. Sitar, “Modulated precursor flow epitaxial growth of AlN
layers on native AlN substrates by metal-organic chemical vapor
deposition,” Appl. Phys. Lett. 93, 022103 (2008).
25. M. Razeghi and C. Bayram, “Material and design engineering of (Al)
GaN for high-performance avalanche photodiodes and intersubband
applications,” Proc. SPIE 7366, 73661F (2009) [doi: 10.1117/12.819390].
26. P. K. Kandaswamy, F. Guillot, E. Bellet-Amalric, E. Monroy, L. Nevou,
M. Tchernycheva, A. Michon, F. H. Julien, E. Baumann, F. R. Giorgetta,
D. Hofstetter, T. Remmele, M. Albrecht, S. Birner, and Le Si Dang,
“GaN/AlN short-period superlattices for intersubband optoelectronics:
A systematic study of their epitaxial growth, design, and performance,”
J. Appl. Phys. 104, 093501 (2008).
27. V. D. Jovanović, D. Indjin, Z. Ikonić, and P. Harrison, “Simulation and
design of GaN/AlGaN far-infrared (l  34 mm) quantum cascade laser,”
Appl. Phys. Lett. 84, 2995 (2004).
28. G. Sun and R. A. Soref, “Design and simulation of a GaN/AlGaN
quantum cascade laser for terahertz emission,” Microelectron. J. 36, 450
(2005).
29. N. Vukmirovic, V. D. Jovanović, D. Indjin, Z. Ikonić, P. Harrison, and
V. Milanović, “Optically pumped terahertz laser based on intersubband
transitions in a GaN/AlGaN double quantum well,” J. Appl. Phys. 97,
103106 (2005).
30. E. Baumann, F. R. Giorgetta, D. Hofstetter, S. Golka, W. Scherenk,
G. Strasser, L. Kirste, S. Nicolay, E. Feltin, J. F. Carlin, and
N. Grandjean, “Near-infrared absorption and room temperature photo-
voltaic response in AlN/GaN superlattices grown by metal-organic vapor-
phase epitaxy,” Appl. Phys. Lett. 89, 041106 (2006).
31. I. Waki, C. Kumtornkittikul, Y. Shimogaki, and Y. Nakano, “Shortest
intersubband transition wavelength (1.68 mm) achieved in AlN/GaN
multiple quantum wells by metalorganic vapor phase epitaxy,” Appl.
Phys. Lett. 82, 4465 (2003) and erratum paper: Appl. Phys. Lett. 84, 3703
(2003).
32. N. Péré-Laperne, C. Bayram, L. Nguyen-Thê, R. McClintock, and
M. Razeghi, “Tunability of intersubband absorption from 4.5 to 5.3 mm
in a GaN/Al0.2Ga0.8N superlattices grown by metalorganic chemical
vapor deposition,” Appl. Phys. Lett. 95, 131109 (2009).
33. M. A. Moram and M. E. Vickers, “X-ray diffraction of III-nitrides,” Rep.
Prog. Phys. 72, 036502 (2009).
34. M. Tchernycheva, L. Nevou, L. Doyennette, F. H. Julien, E. Warde,
F. Guillot, E. Monroy, E. Bellet-Amalric, T. Remmele, and M. Albrecht,
202 Chapter 8

“Systematic experimental and theoretical investigation of inter-


subband absorption in GaN/AlN quantum wells,” Phys. Rev. B 73,
125347 (2006).
35. L. Polenta, A. Castaldini, and A. Cavallini, “Defect characterization in
GaN: Possible influence of dislocations in the yellow-band features,”
J. Appl. Phys. 102, 063702 (2007).
36. A. Kikuchi, R. Bannai, K. Kishino, C. M. Lee, and J. I. Chyi, “AlN/GaN
double-barrier resonant tunneling diodes grown by rf-plasma-assisted
molecular-beam epitaxy,” Appl. Phys. Lett. 81, 1729–1731 (2002).
37. Z. Vashaei, E. Cicek, C. Bayram, R. McClintock, and M. Razeghi, “GaN
avalanche photodiodes grown on m-plane freestanding GaN substrate,”
Appl. Phys. Lett. 96, 201908 (2010).
38. M. Tonouchi, “Cutting-edge terahertz technology,” Nat. Photonics 1,
97–105 (2007).
39. M. Razeghi, “Toward realizing high power semiconductor terahertz laser
sources at room temperature,” Proc. SPIE 8023, 802302 (2011) [doi:
10.1117/12.887986].
40. T. C. L. G. Sollner, W. D. Goodhue, P. E. Tannenwald, C. D. Parker,
and D. D. Peck, “Resonant tunneling through quantum wells at
frequencies up to 2.5 THz,” Appl. Phys. Lett. 43, 588–590 (1983).
41. S. Suzuki, M. Asada, A. Teranishi, H. Sugiyama, and H. Yokoyama,
“Fundamental oscillation of resonant tunneling diodes above 1 THz at
room temperature,” Appl. Phys. Lett. 97, 242102 (2010).
42. C. T. Foxon, S. V. Novikov, A. E. Belyaev, L. X. Zhao, O. Makarovsky,
D. J. Walker, L. Eaves, R. I. Dykeman, S. V. Danylyuk, S. A. Vitusevich,
M. J. Kappers, J. S. Barnard, and C. J. Humphres, “Current–voltage
instabilities in GaN/AlGaN resonant tunnelling structures,” Phys. Stat.
Sol. C 7, 2389–2392 (2003).
43. M. Hermann, E. Monroy, A. Helma, B. Baur, M. Albrecht, B. Daudin,
O. Ambacher, M. Stutzmann, and M. Eickhoff, “Vertical transport in
group III-nitride heterostructures and application in AlN/GaN resonant
tunneling diodes,” Phys. Stat. Sol. C 1, 2210–2227 (2004).
44. S. Golka, C. Pflügl, W. Schrenk, G. Strasser, C. Skierbiszewski,
M. Siekacz, I. Grzegory, and S. Porowski, “Negative differential
resistance in dislocation-free GaN/AlGaN double-barrier diodes grown
on bulk GaN,” Appl. Phys. Lett. 88, 172106 (2006).
45. M. V. Petrychuk, A. E. Belyaev, A. M. Kurakin, S. V. Danylyuk, N. Klein,
and S. A. Vitusevich, “Mechanisms of current formation in resonant
tunneling AlN/GaN heterostructures,” Appl. Phys. Lett. 91, 222112 (2007).
AlGaN-based Intersubband Device Technology 203

46. S. Leconte, S. Golka, G. Pozzovivo, G. Strasser, T. Remmele, M. Albrecht,


and E. Monroy, “Bi-stable behaviour in GaN-based resonant tunnelling
diode structures,” Phys. Stat. Sol. C 5, 431–434 (2008).
47. S. Sakr, M. Warde, M. Tchernycheva, L. Rigutti, N. Isac, and
F. H. Julien, “Origin of the electrical instabilities in GaN/AlGaN
double-barrier structure,” Appl. Phys. Lett. 99, 142103 (2011).
48. M. Boucherit, A. Soltani, E. Monroy, M. Rousseau, D. Deresmes,
M. Berthe, C. Durand, and J.-C. De Jaeger, “Investigation of the negative
differential resistance reproducibility in AlN/GaN double-barrier resonant
tunnelling diodes,” Appl. Phys. Lett. 99, 182109 (2011).
49. C. Bayram, Z. Vashaei, and M. Razeghi, “AlN/GaN double-barrier
resonant tunneling diodes grown by metal-organic chemical vapor
deposition,” Appl. Phys. Lett. 96, 042103 (2010).
50. Z. Vashaei, C. Bayram, and M. Razeghi, “Demonstration of negative
differential resistance in GaN/AlN resonant tunneling diodes at room
temperature,” J. Appl. Phys. 107, 083505 (2010).
51. C. Bayram, Z. Vashaei, and M. Razeghi, “Room temperature negative
differential resistance characteristics of polar III-nitride resonant tunnel-
ing diodes,” Appl. Phys. Lett. 97, 092104 (2010).
52. L. Yang, H. He, W. Mao, and Y. Hao, “Quantitative analysis of the
trapping effect on terahertz AlGaN/GaN resonant tunneling diode,”
Appl. Phys. Lett. 99, 153501 (2011).
53. C. Bayram, Z. Vashaei, and M. Razeghi, “Reliability in room-
temperature negative differential resistance characteristics of low-alumin-
ium-content AlGaN/GaN double-barrier resonant tunneling diodes,”
Appl. Phys. Lett. 97, 181109 (2010).
54. E. Cicek, Z. Vashaei, R. McClintock, C. Bayram, and M. Razeghi, “Geiger-
mode operation of ultraviolet avalanche photodiodes grown on sapphire and
free-standing GaN substrates,” Appl. Phys. Lett. 96, 261107 (2010).
55. Z. Vashaei, C. Bayram, P. Lavenus, and M. Razeghi, “Photoluminescence
characteristics of polar and nonpolar AlGaN/GaN superlattices,” Appl.
Phys. Lett. 97, 121918 (2010).
56. R. Songmuang, G. Katsaros, E. Monroy, P. Spathis, C. Bougerol,
M. Mongillo, and S. De Franceschi, “Quantum transport in GaN/AlN
double-barrier heterostructure nanowires,” Nanolett. 10, 3545–3550
(2010).
57. C. Bayram, D. K. Sadana, Z. Vashaei, and M. Razeghi, “Reliable GaN-
based resonant tunneling diodes with reproducible room-temperature
negative differential resistance,” Proc. SPIE 8268, 826827 (2012) [doi:
10.1117/12.913740].
204 Chapter 8

58. C. Bayram, J. L. Pau, R. McClintock, and M. Razeghi, “Comprehensive


study of blue and green multi-quantum-well light emitting diodes grown
on conventional and lateral epitaxial overgrowth GaN,” Appl. Phys. B 95,
307 (2009).
59. A. Hirai, Z. Jia, M. C. Schmidt, R. M. Farrell, S. P. DenBaars,
S. Nakamura, and J. S. Speck, “Formation and reduction of
pyramidal hillocks on m-plane {1 1 00} GaN,” Appl. Phys. Lett. 97,
191906 (2007).
60. A. N. Razzhuvalov and S. N. Grinyaev, “Hysteresis of tunnel current in
w-GaN/AlGaN (0001) double-barrier structures,” Semiconductors 42,
580–588 (2008).
61. K. D. Ferry, S. M. Goodnick, and J. Bird, Transport in Nanostructures,
Second ed., Cambridge Publishers, New York, pp. 116–140 (2009).
62. S. C. Kim and A. Brandli, “High-frequency high-power operation of
tunnel diodes,” IRE Trans. Circuit Theory 8, 416–425 (1961).

Can Bayram obtained his B.S. degree in electrical


engineering from Bilkent University, Ankara,
Turkey, in 2005. He received his Ph.D. degree in
2011 from the Electrical Engineering and Computer
Science Department of Northwestern University,
Illinois, USA, with a focus on solid state and
photonics. Upon graduation he joined IBM Research
and is currently working as a Research Scientist at
IBM Thomas J. Watson Research Center, Yorktown Heights, New York,
USA. His research interests focus on fundamental and applied aspects of
GaN technology and novel III-V photonic devices. He is an expert in the
development of InGaN-based visible light-emitting diodes and high-
efficiency III-V solar cells, and is interested in emerging materials and
novel fabrication schemes. He has more than 100 scientific contributions
and is an active reviewer for journals and agencies. He also regularly
serves on conference program and fellowship committees. Dr. Bayram is
the recipient of distinguished worldwide awards including 2012 Best Paper
Award in MIOMD-XI, 2010 IBM Ph.D Fellowship, 2010 Link Founda-
tion Energy Fellowship, 2010 IEEE Electron Devices Society Ph.D.
Fellowship, 2009 Boeing Engineering Student of the Year, 2009 IEEE
Photonics Society Graduate Student Fellowship, 2009 SPIE Laser
Technology, Engineering and Applications Scholarship, 2009 Ludo Frevel
Crystallography Scholarship, and 2008 Dow Sustainability Innovation
Award.
AlGaN-based Intersubband Device Technology 205

Devendra K. Sadana obtained his Ph.D. from the Indian


Institute of Technology, New Delhi, in 1975. He
worked at the University of Oxford, England, Univer-
sity of California, Berkeley, Microelectronics Center of
North Carolina, and Philips Research Labs, Sunnyvale,
California, from 1975 to 1987 in various capacities. In
1987 he joined IBM Research, where he is currently a
Senior Staff/Manager of Advanced Substrate Research
group. His publications include nearly 250 papers in various scientific journals
and conference proceedings, over 100 issued patents, 6 book chapters,
multiple industrial courses on ion implantation, numerous invited talks at
international conferences, and co-editing of several conference proceedings.

Manijeh Razeghi received the Doctorat d'État ès


Sciences Physiques from the Université de Paris,
France, in 1980. After heading the Exploratory
Materials Lab at Thomson-CSF (France), she joined
Northwestern University, Evanston, Illinois, as a
Walter P. Murphy Professor and Director of the Center
for Quantum Devices in fall 1991, where she created the
undergraduate and graduate program in solid state
engineering. She is one of the leading scientists in the field of semiconductor
science and technology, pioneering in the development and implementation of
major modern epitaxial techniques such as MOCVD, VPE, gas MBE, and
MOMBE for the growth of entire compositional ranges of III-V compound
semiconductors. She is on the editorial board of many journals such as
Journal of Nanotechnology, and Journal of Nanoscience and Nanotechnology,
and is an Associate Editor of Opto-Electronics Review. She is on the
International Advisory Board for the Polish Committee of Science and is an
Adjunct Professor at the College of Optical Sciences of the University of
Arizona, Tucson, Arizona. She has authored or coauthored more than 1000
papers, more than 30 book chapters, and fifteen books, including the
textbooks Technology of Quantum Devices, Springer Science þ Business
Media (2010) and Fundamentals of Solid State Engineering, 3rd Edition,
Springer ScienceþBusiness Media (2009). Two of her books, MOCVD
Challenge Vol. 1, IOP Publishing Ltd. (1989) and MOCVD Challenge Vol. 2,
IOP Publishing Ltd. (1995), discuss some of her pioneering work in InP-
GaInAsP and GaAs-GaInAsP based systems. The MOCVD Challenge,
Second ed., Taylor & Francis/CRC Press (2010) represents the combined
206 Chapter 8

updated version of Volumes 1 and 2. She holds 50 U.S. patents and has given
more than 1000 invited and plenary talks. Her current research interest is in
nanoscale optoelectronic quantum devices.
Dr. Razeghi is a Fellow of MRS, IOP, IEEE, APS, SPIE, OSA, a Fellow
and Life Member of the Society of Women Engineers (SWE), a Fellow of
the International Engineering Consortium (IEC), and a member of the
Electrochemical Society, ACS, AAAS, and the French Academy of Sciences
and Technology. She received the IBM Europe Science and Technology Prize
in 1987, the Achievement Award from the SWE in 1995, the R. F. Bunshah
Award in 2004, and many best-paper awards.
Part III: Lasers
Chapter 9
Advances in High-Power
Quantum Cascade Lasers
and Applications
Arkadiy Lyakh, Richard Maulini, Alexei Tsekoun, and Boris Tadjikov
Pranalytica, Inc., Santa Monica, California, USA

C. Kumar N. Patel
Pranalytica, Inc., Santa Monica, California, USA and Department of Physics &
Astronomy, University of California, Los Angeles, California

9.1 Introduction
9.2 MWIR Laser Design
9.3 Tapered Waveguide Geometry
9.4 Silicon Carbide Submounts
9.5 MWIR QCL Experimental Data
9.6 LWIR QCL Design
9.7 LWIR QCL Experimental Data
9.8 Conclusion
References

9.1 Introduction
Quantum cascade lasers (QCLs) are important infrared light sources with
numerous applications in defense and civilian fields. Low optical absorption
in the atmospheric windows spanning from 3.5 to 4.8 mm and 8 to 12 mm has
given rise to a number of applications. While QCL performance in the 5- to
7-mm spectral region has improved significantly,1 culminating in continuous-
wave (CW) room-temperature wallplug efficiency (WPE) exceeding 20% and
optical power of 5 W at 5 mm,2 progress in laser performance in the
technologically important 3.5- to 4.8-mm and 8- to 12-mm spectral regions has
significantly slowed down.
209
210 Chapter 9

Injection efficiency for the upper laser level is usually considered to be the
main factor limiting laser performance of the short-wavelength QCLs.
Thermal carrier leakage from the upper laser level 4 to continuum states
located above the barriers reduces laser population inversion at a given
pumping current density and therefore increases laser threshold current
density (Fig. 9.1). Another carrier leakage path is due to carrier excitation to
level 5 and subsequent scattering to states other than level 4.3 Carrier escape
to continuum states C and level 5 can be suppressed by increasing energy
spacings EC4 and E54. A straightforward approach to increase EC4 is to
increase potential barrier heights by employing higher-strain InxGa1 xAs/
AlyIn1 yAs composition. In addition to larger EC4, employment of higher
barriers also leads to larger E54 for the same laser transition energy.
Therefore, use of higher strain composition is a very attractive path for
suppressing carrier leakage from the upper laser level.
Another advantage to using a high-strain composition is that the
position of indirect X and L valleys relative to direct G-valley minimum
increases as strain increases.4 Carrier leakage from the upper laser level
through indirect states progressively increases as laser emission wavelength
reduces below 5 mm. Therefore, short-wavelength QCLs with high- strain
composition should benefit from suppressed carrier leakage through indirect
states. Increase in barriers height, however, also increases linewidth G43 of
the laser transition.

Figure 9.1 Schematic of a conduction-band diagram for a nonresonant extraction (NRE)


structure.
Advances in High-Power Quantum Cascade Lasers and Applications 211

Linewidth is proportional5 to
X
g43  EC 2  D2  L2  ½C4 2 ðzk ÞC3 2 ðzk Þ2 , ð9:1Þ
where EC is conduction-band offset (barrier height), D is interface roughness,
L is roughness correlation length, C is electron wavefunction, zk is interface
location, and summation is carried out over all interfaces k where either the
upper or lower laser level wavefunction is nonzero. Laser threshold current
density is inversely proportional to linewidth. Therefore, an increase in
linewidth for a composition with higher barriers can offset laser performance
improvements due to suppressed carrier leakage from the upper laser level.
Typical thicknesses for the first and the second active-region barriers are
in the range of two to five monolayers (6–15 Å). Depending on epigrowth
quality, a barrier thickness of two to five monolayers is comparable to, or
even less than, total roughness at both interfaces of a barrier 2D. In other
words, the whole barrier thickness d may be irregularly graded. In this case,
Eqs. (9.1) may not be directly applicable for calculating laser transition
linewidth since it is derived assuming that d > 2D. Using electroluminescence
(EL) data, we show in this work that very good epiquality can be achieved for
high-strain material both in MWIR and LWIR spectral regions, leading to
improved laser characteristics in both spectral regions.

9.2 MWIR Laser Design


In the new 4.7-mm active-region design with highly strained barriers and
quantum wells (QWs), our goal was to reproduce, as closely as possible, the
nonresonant extraction (NRE) design.6,7 With the exception of the energy
spacing between the upper laser level 4 and the top of the barriers, EC4, and
the energy spacing between the upper laser level and the active region level
located above it, E54, all design parameters for the new structure were very
similar to the parameters of our earlier design.6 EC4 and E54 were increased
from 230 meV and 63 meV for the old design up to 420 meV and 72 meV,
respectively, for the new design as a result of a larger band offset of the higher-
strain composition (Al0.78In0.22As/In0.72Ga0.28As, with –2.0% strain for
barriers and 1.3% strain for QWs).
The 40-stage QCL active region, along with the waveguide and contact
layer sequence, discussed in Ref. 6, was grown by molecular beam epitaxy.
First, a small part of the new material was processed into round mesas for EL
testing. Measured pulsed EL was centered at 4.65 mm and had full width at
half maximum (FWHM) of only 22 meV, which is 10% lower than EL
FWHM for the design reported in Ref. 6, which was based on Al0.64In0.36As/
In0.67Ga0.33As, resulting in 1% strain both in barriers and QWs. This result
shows that an excellent epiquality was achieved for the new design, despite
employment of the significantly higher-strain composition.
212 Chapter 9

9.3 Tapered-Waveguide Geometry


The remaining wafer was then processed into tapered buried heterostructure
(BH) geometry. We have observed for 4.7-mm QCLs that the optical damage
threshold for the front facet coated with Al2O3 lies at 10 MW/cm2, which
limits the maximum single-ended optical power to approximately 3 W. The
output facet is more susceptible to optical damage than any other part of the
device because it is located where the optical density is the highest. In
addition, the dielectric antireflective coating has a relatively low thermal
conductivity, unlike the rear facet whose high-reflection coating contains a
metallic layer that enhances heat removal. While the utilization of wider
straight devices would reduce the optical power density on the facet, this
approach leads to lower beam quality and increases active region self-heating.
Instead, we fabricated devices comprising a long and narrow straight section,
which strongly favors the TM00 order mode, and a short tapered section at the
output facet to increase the optical damage threshold.
The tapered waveguide is illustrated in Fig. 9.2. Devices were designed to
have a total cavity length of 10 mm and ridge width WR of 7.5 mm in the
straight section. The output facet width WT was chosen to be 20 mm, in order
to reduce the power density and thus increase the damage threshold by a
factor of two compared to typical straight devices, while keeping the self-
heating at the facet location to a minimum. The taper length LT was chosen to
be 0.5 mm so that the taper angle aT was 0.7 deg and the mode expanded
adiabatically in the tapered section. Even though it was not necessary to
reduce the optical power density at the back facet, we used the same taper
geometry there as well so that our devices were symmetrical. The resulting
length of the straight section was 9 mm.
Tapered waveguides have previously been used in the design of QCLs, to
realize master oscillator power amplifier (MOPA) devices9,10 and high-peak-
power, high-beam-quality pulsed devices.11,12 However, the motivation to
use tapered waveguides in these applications was different from ours, as
were the resulting waveguide geometries. In Refs. 9 and 10 the taper was
used as a monolithically integrated single-pass external optical amplifier,
i.e., a device through which the light emitted by the distributed-feedback
QCL oscillator travels only once before leaving the device. In the latter

Figure 9.2 Schematic of a tapered-waveguide QCL (reprinted from Ref. 8).


Advances in High-Power Quantum Cascade Lasers and Applications 213

application, on the other hand, the taper was part of the laser cavity, as in
our application. However, the motivation for tapering the waveguide in this
application was also very different from ours. In Refs. 11 and 12, the main
purpose of the taper was to increase the device area in order to increase its
peak power in low-duty-cycle pulsed operation while maintaining a good
beam quality. In such devices, the length of the tapered section was typically
more than half of the entire length of the device, and its area typically
constituted most of the area of the entire device. Since self-heating is not
significant in low-duty-cycle pulsed operation, the output facet width was
typically 50–200 mm. While adequate for these operating conditions, such
large waveguide widths are not compatible with high-duty-cycle and/or CW
operation because of the high active-region self-heating resulting from the
significant waste heat generated in QCLs. In our work, the purpose of the
taper was to reduce optical intensity at the output facet, while keeping self-
heating to a minimum for high-performance CW operation. Therefore, the
taper width and length are kept to a minimum. As a result, the taper length is
only a small fraction of the length of the entire device, and the taper area is a
small fraction of the entire device area.

9.4 Silicon Carbide Submounts


A tapered 10-mm laser was packaged into a hermetically sealed butterfly
package for testing. A typical semiconductor laser package assembly
involves bonding of the laser to a submount (substrate material) that, in
turn, is mounted on a heat spreader or a heat sink. Two critical parameters
need to be considered when choosing the substrate on to which the
semiconductor laser chip is mounted. The first is the thermal conductivity of
the submount, which needs to be as high as possible in order to remove the
heat efficiently from the laser. The second is the coefficient of thermal
expansion (CTE) of the submount with respect to the CTE of the
semiconductor laser material. The CTE of the submount must be close to
that of the laser in order to minimize mechanical stress in the laser chip
during large temperature cycles, both during the operation of the laser but
also during the mounting process which, for high-reliability purposes,
typically uses AuSn eutectic or other hard solders.
One of the most technologically important submount materials for InP-
based semiconductor lasers is aluminum nitride (AlN) because of its relatively
high thermal conductivity of 200 W/mK and because its CTE is perfectly
matched to that of InP.13 However, even though AlN has a relatively high
thermal conductivity, higher laser performance can be achieved employing
submount materials with even higher thermal conductivity.
Diamond has the highest known thermal conductivity (2000 W/m  K) of
all natural materials, exceeding that for AlN by an order of magnitude.
214 Chapter 9

Therefore, employment of diamond submounts is expected to significantly


reduce laser active-region temperature under the same laser driving
conditions. However, there is a large CTE mismatch between InP and
diamond. Therefore, when using diamond as submount material, it is
necessary to use soft solders such as indium for laser bonding, in order to
avoid the undesirable mechanical stress. Soft solders suffer from electro-
migration from the bonding area to the laser facets, which eventually leads to
facet damage and laser destruction. This significantly reduces laser reliability.
Therefore, diamond is rarely used as a submount material for commercial
semiconductor laser applications.
The second-best material for high-thermal-load applications is silicon
carbide (SiC), with thermal conductivity of up to 500 W/mK, two and a half
times that for AlN. As with AlN, it can be made semi-insulating, which
facilitates the electrical interconnects. In addition, its CTE of 4.0  10 6 K 1
is close to that of InP: 4.5  10 6 K 1. However, even this relatively small
CTE mismatch can lead to reduced laser reliability, especially when the final
assembly undergoes large thermal cycles during packaging and subsequent
laser operation.
In this work we used a thin layer of AlN as a buffer layer between the
SiC wafer and InP laser for the purpose of absorbing mechanical stress
caused by the CTE mismatch between the two materials.14 Since AlN has a
relatively high thermal conductivity and its thickness is only 10–20 mm,
presence of this buffer layer does not significantly increase overall thermal
resistance. Thermal simulation showed that the 4.7-mm QCLs with the active
region and waveguide designs discussed in this work, mounted epi-down on
an AlN/SiC submounts, have average active-region temperatures 10 8C
lower under roll-over conditions compared to similar lasers mounted on an
AlN submount.
We processed an AlN (20 mm)/SiC (250 mm) wafer into laser submounts.
As a hard solder we used an AuSn eutectic solder system that does not exhibit
electromigration. After numerous large temperature cycles (20 to 300 8C), we
did not observe any visible mechanical damage to the laser, indicating that
there was no strain buildup at the laser/submount interface.

9.5 MWIR QCL Experimental Data


CW optical power versus current and voltage versus current (LIV) chara-
cteristics of a hermetically packaged 10-mm-long laser with a 7.5-mm-wide
central section tapered up to 20 mm at laser facets mounted on AlN/SiC
submounts are shown in Fig. 9.3. The laser temperature was set to 283 K,
using a thermoelectric cooler (TEC). Maximum optical power of over 4.5 W
and efficiency of 16.3% have been demonstrated for the laser, the best result at
this wavelength. Maximum efficiency is reached at 4 W, which is close to the
Advances in High-Power Quantum Cascade Lasers and Applications 215

Figure 9.3 CW LIV of a hermetically packaged 10-mm-long laser with a 7.5-mm-wide


central section tapered up to 20 mm at laser facets mounted on AlN/SiC submounts. The
laser temperature was set to 283 K (reprinted from Ref. 8). (See color plate section.)

maximum power and is important for practical high-power applications.


Also, the laser had a very low-threshold current density of 0.8 kA/cm2. This is
the first demonstration of tapered CW BH QCLs.
The beam image of the tapered laser at a pumping current slightly above
threshold is shown in Fig. 9.4. The beam is single lobed, i.e., consists of a zero-
order mode along the two axes, with a ratio of the two diameters equal to
about three. When this ellipticity is not desirable for many applications, it can
be corrected by the use of circularizing optics. The fact that the beam is purely
TM00 shows that the 7.5-mm-wide, 9-mm-long straight section of the
waveguide is sufficient to prevent higher-order transverse modes from lasing,
even though facet reflectivity is higher for these modes in transverse-magnetic
(TM) polarization.
Figure 9.5 demonstrates reliability data for the active laser packaged into
an air-cooled QCL system delivering 3 W in a collimated beam. Negligible
laser performance degradation has been observed for over 2,000 h. This result
demonstrates that employment of a very high-strain composition and new
AlN/SiC submounts does not compromise laser reliability. It also shows that
tapered-waveguide geometry is an effective tool for the increasing optical
damage threshold. High laser efficiency leads to low heat waste and, as a
consequence, low TEC power consumption. As a consequence, measured
WPE of the entire system was in excess of 10%, including TEC power
consumption (TEC power consumption 4 A  2 V ¼ 8 W; laser power
consumption 1.55 A  14.1 V ¼ 21.9 W). High overall efficiency for the laser
216 Chapter 9

Figure 9.4 Beam image of the hermetically packaged 10-mm-long QCL with a 7.5-mm-
wide central section tapered up to 20 mm at laser facets mounted on AlN/SiC submounts.
The laser current was set to 0.75 A (see Fig. 9.3 for LIV). The laser temperature was set to
283 K (reprinted from Ref. 8). (See color plate section.)

Figure 9.5 Reliability data with periodic hourly laser turns on/off that subject the QCL to a
large thermal stress (reprinted from Ref. 8).
Advances in High-Power Quantum Cascade Lasers and Applications 217

with a TEC assembly is crucial for practical applications with limited cooling
capability.

9.6 LWIR QCL Design


For MWIR QCLs, relatively large laser transition energy leads to a high
position of the upper laser level, close to the top of the G-valley barriers and
bottom of indirect-valley QWs. As a consequence, it is difficult to entirely
suppress carrier leakage through continuum states and indirect states in
MWIR QCLs. In addition, it is difficult to separately evaluate individual
contributions of the two types of carrier leakage. The situation is more
favorable in the case of longwave infrared (LWIR) QCLs emitting in the
second atmospheric window (8–12 mm). Since the laser transition energy is
much smaller than in the MWIR region, it is easier to confine carriers in the
upper laser level. The position of the indirect valleys has a relatively weak
dependence on material composition. Therefore, leakage through indirect
states in LWIR QCLs is expected to be entirely suppressed for a wide range of
band offsets. As a consequence, it should be possible to independently study
carrier leakage through G states in LWIR QCLs by changing the band offset.
LWIR QCLs are traditionally designed and fabricated using the lattice-
matched AlInAs/InGaAs composition that has a relatively small band offset
of 520 meV. For an emission wavelength of 9 mm, this band offset results in
250-meV energy spacing between the upper laser level and the continuum
states located above the barriers, similar to that of MWIR QCLs. Therefore,
band offset of the lattice-matched composition is not sufficient for taking full
advantage of the smaller transition energy of LWIR QCLs for the purposes of
suppressing carrier leakage from the upper laser state.
The main reason for using the lattice-matched composition is that
spontaneous emission linewidth of the laser transition is expected to increase
with an increase in the band offset, i.e., with increase in strain, which, in turn,
reduces optical gain. However, as described above, we have experimentally
shown, in the case of MWIR QCLs, that highly strained QCL designs can
have spontaneous emission linewidth similar to that of designs based on
significantly lower-strain composition. Therefore, employment of high-strain
promises a way of improving LWIR QCL performance.
The 9-mm active-region design presented here was based on a strain-
balanced In0.58Ga0.42As/Al0.64In0.36As composition. Energy spacing E54 was
designed to be approximately 60 meV. Energy spacing between the upper laser
level and top of the direct barriers, EC4, was increased to 430 meV.
The optical waveguide was designed to achieve low free-carrier optical
losses by keeping the doping level low (2  1016cm 3) in the 3-mm cladding
layers adjacent to the 45-stage active-region design described above. The
rest of the waveguide structure consists of 4-mm (top) and 2-mm (bottom)
218 Chapter 9

low-doped (5  1016cm 3) InP layers and a highly doped (8  1018cm 3) 1-mm


thick plasmon layer, which helps to decouple the optical mode from the lossy
metal top contact. This waveguide design resulted in calculated free-carrier
waveguide losses of afc ¼ 1.1 cm 1 and optical mode overlap factor with the
active region G of 52%. Loss contribution from free carriers in the active
region was ignored in these calculations.

9.7 LWIR QCL Experimental Data


EL data measured at room temperature for a round mesa in the vicinity of
threshold voltage and roll-over voltage are shown in Fig. 9.6(a). The EL peak
is centered at 9.1 mm at threshold and 8.7 mm at roll over. Figure 9.6(b)
summarizes EL FWHM dependence on bias. EL is relatively wide at
threshold since several radiative transitions contribute to gain. It quickly
narrows at higher bias as a single transition becomes dominant, reaching
approximately 14 meV at roll over. The narrow EL spectrum at roll over
confirms our MWIR results, demonstrating that EL FWHM comparable to
that of lattice-matched material can be achieved for highly strained
compositions.
Figure 9.7 shows a comparison between pulsed and CW optical power
versus current (LI) and voltage versus current (IV) characteristics at 293 K for
an uncoated 3-mm by 10-mm laser. Threshold current density, slope
efficiency, maximum WPE, and maximum total optical power in pulsed
and CW modes at 293 K were measured to be 2.1 and 2.5 kA/cm2, 2.8 and
2.1 W/A, 16 and 10%, and 4.4 and 2.0 W, respectively. Both optical power
and efficiency in pulsed/CW mode are the highest values reported at this
wavelength and exceed the best previously reported result by over a factor

Figure 9.6 (a) EL spectra of a round mesa at threshold and roll-over voltages. (b)
Dependence of EL linewidth on voltage (reprinted from Ref 15). (See color plate section.)
Advances in High-Power Quantum Cascade Lasers and Applications 219

Figure 9.7 Comparison between pulsed and CW optical power versus current and voltage
versus current characteristics measured at 293 K for an uncoated 3-mm by 10-mm laser-
mounted epi-side down on an AlN/SiC composite submount. Inset shows pulsed laser
spectrum taken at maximum current (reprinted from Ref. 15). (See color plate section.)

of two.16 Figure 9.7 inset shows that the pulsed laser spectrum taken at
maximum current was centered close to 9.2 mm.
An important aspect of the LIV curves shown in Fig. 9.7 is their
behavior at bias values above the LI curve roll over. The pulsed LI curve
shows a very abrupt decrease in optical power, while the pulsed IV curve
shows signs of negative differential resistance (NDR). NDR was more
pronounced for some other chips processed from the same wafer. This
behavior in the vicinity of the roll-over condition demonstrates that carrier
tunneling from the injector to the active-region states other than the upper
laser level is suppressed. In other words, these results indicate improved
injection efficiency for the upper laser level.

9.8 Conclusion
In conclusion, we have presented experimental data on tapered 4.7-mm QCLs
based on the nonresonant extraction approach. EL FWHM of only 22 meV
showed that excellent epiquality was achieved despite using highly strained
active-region QWs and barriers. WPE of 16.3% and optical power of over
4.5 W have been demonstrated for a 10-mm by 7.5-mm high-reflection-coated
laser mounted on an AlN/SiC submount. Reliable CW operation was
demonstrated for a laser system for over 2,000 h at a 3-W level with overall
system efficiency (including power input to the TEC) exceeding 10%. We have
also presented experimental data on high-strain 9-mm QCLs. Record-high
220 Chapter 9

pulsed and CW WPE of 16 and 10% and optical power of 4.4 and 2.0 W,
respectively, were demonstrated at 293 K for an uncoated 3-mm by 10-mm
laser mounted epi-side down on AlN/SiC composite submounts. Laser
characteristics indicate improved injection efficiency for the LWIR structure.

References
1. R. Maulini, A. Lyakh, A. Tsekoun, and C. Kumar N. Patel, “l7.1 mm
quantum cascade lasers with 19% wall-plug efficiency at room
temperature,” Opt. Express 18, 17203 (2011).
2. Y. Bai, N. Bandyopadhayay, S. Tsao, S. Slivken, and M. Razeghi,
“Room temperature quantum cascade lasers with 27% wall plug
efficiency,” Appl. Phys. Lett. 98, 181102 (2011).
3. D. Botez, S. Kumar, J. C. Shin, L. J. Mawst, I. Vurgaftman, and J. R.
Meyer, “Temperature dependence of key electro-optical characteristics for
midinfrared emitting quantum cascade lasers,” Appl. Phys. Lett. 97,
071101 (2010).
4. W. Masselink, M. Semtsiv, S. Dressler, M. Ziegler, and M. Wienold,
“Physics, growth, and performance of (In, Ga)As-AlP/InP quantum-
cascade lasers emitting at <4 mm,” Phys. Stat. Sol. B 244, 2906 (2007) .
5. A. Wittmann, Y. Bonetti, J. Faist, E. Gini, and M. Giovannini,
“Intersubband linewidth in quantum cascade laser design,” Appl. Phys.
Lett. 93, 141103 (2008).
6. A. Lyakh, R. Maulini, A. Tsekoun, R. Go, C. Pflügl, L. Diehl, Q. J. Wang,
F. Capasso, and C. K. N. Patel, “3 W continuous-wave room temperature
single-facet emission from quantum cascade lasers based on nonresonant
extraction design approach,” Appl. Phys. Lett. 95, 141113 (2009).
7. C. K. N. Patel, A. Tsekoun, R. Maulini, A. Lyakh, C. Pflugl, L. Diehl,
Q. Wang, and F. Capasso, “Quantum cascade laser,” U.S. Patent
#8,014,430 (September 6, 2011).
8. A. Lyakh, R. Maulini, A. Tsekoun, R. Go, and C. K. N. Patel, “Tapered
4.7 mm quantum cascade lasers with highly strained active region
composition delivering over 4.5 watts of continuous wave optical power,”
Opt. Express 20(4), 4382–4388 (2012).
9. M. Troccoli, C. Gmachl, F. Capasso, D. L. Sivco, and A. Y. Cho, “Mid-
infrared (l  7.4 mm) quantum cascade laser amplifier for high power single-
mode emission and improved beam quality,” Appl. Phys. Lett. 80, 4103 (2002).
10. S. Menzel, L. Diehl, C. Pflügl, A. Goyal, C. Wang, A. Sanchez,
G. Turner, and F. Capasso, “Quantum cascade laser master-oscillator
power-amplifier with 1.5 W ouput power at 300 K,” Opt. Express 19,
16229 (2011).
Advances in High-Power Quantum Cascade Lasers and Applications 221

11. L. Nähle, J. Semmel, W. Kaiser, S. Höfling, and A. Forchel, “Tapered


quantum cascade lasers,” Appl. Phys. Lett. 91, 181122 (2007).
12. W. Zhang, L. Wang, L. Li, J. Liu, F.-Q. Liu, and Z. Wang, “Small-
divergence singlemode emitting tapered distributed feedback quantum
cascade lasers,” Electron. Lett. 46, 528 (2010).
13. A. Tsekoun, R. Go, M. Pushkarsky, M. Razeghi, and C. K. N. Patel,
“Improved performance of quantum cascade lasers through a scalable,
manufacturable epitaxial-side-down mounting process,” Proc. Natl. Acad.
Sci. 103, 4831 (2006).
14. C. K. N. Patel, A. Lyakh, A. Tsekoun, and R. Maulini, “Submounts for
semiconductor lasers,” U.S. Patent #8,068,524 (November 29, 2011).
15. A. Lyakh, R. Maulini, A. Tsekoun, R. Go, and C. K. N. Patel, “Multiwatt
long wavelength quantum cascade lasers based on high strain composition
with 70% injection efficiency,” Opt. Express 20(22), 24272–24279 (2012).
16. M. Troccoli, X. Wang, and J. Fan, “Quantum cascade lasers: high-power
emission and single-mode operation in the long-wave infrared (l > 6 mm),”
Opt. Eng. 49, 111106 (2010) [doi: 10.1117/1.3498778].

Arkadiy Lyakh is Director of High Performance QCLs


at Pranalytica and is responsible for high-power QCL
research and development, as well as QCL component
production. He is the inventor of Pranalytica’s proprie-
tary QCL design principles that are widely utilized in
development of the industry-leading QCL-based pro-
ducts manufactured by the company. Dr. Lyakh led
development and transition to production of the first
multiwatt QCLs in the MWIR and LWIR spectral regions, setting a number of
world records in laser optical power and efficiency. He also led the development
of the first substrate-emitting QCLs and MWIR BH QCL tree arrays.

Richard Maulini received his M.Sc. degree in physics and


his Ph.D. degree in physics from the University of
Neuchatel, Neuchatel, Switzerland, in 2002 and 2006,
respectively. His Ph.D. thesis focused on the development
of broadly tunable mid-infrared QCLs for spectroscopic
applications. Since 2007, Dr. Maulini has been working
as a senior scientist at Pranalytica, Inc., in Santa Monica,
California, where he is responsible for the company’s
tunable laser research and development. His current research interests include
222 Chapter 9

the development of novel tunable QCLs, high-power QCLs, and beam


combining of QCL arrays.

Alexei G. Tsekoun is Director of Special Projects at


Pranalytica. He is the developer of Pranalytica’s state-
of-the-art technology for thermal management and
packaging of high-performance QCLs. Prior to joining
Pranalytica, he was with the Sarnoff Corp., where for
more than eight years he made notable contributions to
a variety of fields ranging from photonic test and
packaging to microfabrication and microfluidics to
digital imaging. Mr. Tsekoun holds an M.S. in engineering physics from St.
Petersburg State Polytechnic University, and an M.S. in electrical engineering
from Princeton University.

Boris Tadjikov is a senior scientist at Pranalytica and is


responsible for QCL-based system development. He
previously served as an assistant professor at the
Department of Chemistry and Biochemistry at the
New Mexico State University and as a Visiting
Scientist at the Institute of Chemical and Physical
Research in Japan and at the Chemistry Division of
the Argonne National Laboratory. Dr. Tadjikov
received his M.S. in physics from Novosibirsk State University, Russia,
and Ph.D. from USSR Academy of Sciences. In Russia he worked as a
Senior Research Fellow at the Institute of Chemical Kinetics and
Combustion in the Laboratory of Fast Processes and later as an Adjunct
Professor at the Novosibirsk State University. Dr. Tadjikov has coauthored
5 U.S. patents and more than 30 scientific publications in peer-reviewed
journals. He was awarded the Gold Medal of the USSR Academy of
Sciences for his research in spectroscopy.
Advances in High-Power Quantum Cascade Lasers and Applications 223

C. Kumar N. Patel is President and CEO of Pranalytica,


a Santa Monica, California, based company that
develops and manufactures leading-edge QCLs and
laser systems and high-sensitivity sensors for the
detection of chemical warfare agents, explosives, and
industrial and environmental pollutants. He is concur-
rently a professor of physics and astronomy at
University of California, Los Angeles (UCLA). He is
the inventor of the carbon dioxide, carbon monoxide, and the spin-flip Raman
lasers. He pioneered the use of these and other lasers to measure trace gases in
difficult environments. He was at AT&T (now Lucent Technologies) Bell
Laboratories for thirty-two years and was Executive Director of the Physics
Division and of the Materials Research Division. From 1993 to 1999 he was
the Vice Chancellor for Research at UCLA. Dr. Patel was elected to the
National Academy of Sciences in 1974 and the National Academy of
Engineering in 1978. He received the National Medal of Science given by the
President of the United States in 1996. In recognition of the CO2 laser’s
importance to the medical field, he was elected as an Honorary Member of the
Gynecologic Laser Surgery Society in 1980, and in 1985 he was elected an
Honorary Member of the American Society for Laser Medicine and Surgery.
He was inducted into the U.S. National Inventors Hall of Fame in 2012. He
also serves on the Board of Directors of Newport Corporation.
Chapter 10
High-Performance Quantum
Cascade Lasers for Industrial
Applications
Mariano Troccoli, Jenyu Fan, Gene Tsvid, and Xiaojun Wang
AdTech Optics, Inc., City of Industry, California, USA

10.1 Introduction
10.2 Manufacturing of High Performance QC Lasers
10.2.1 Design
10.2.2 Growth
10.2.3 Fabrication
10.3 Results
10.3.1 High power multimode devices
10.3.2 Low power consumption distributed feedback laser devices
10.3.3 Power scaling: arrays
10.4 Conclusions
Acknowledgments
References

10.1. Introduction
Quantum cascade lasers (QCLs) are semiconductor lasers based on
intersubband transitions between energy states created by quantum confine-
ment in the conduction band of semiconductor multilayers.1 The laser core is
composed of hundreds of layers of quantum wells and barrier materials
(typically InGaAs/InAlAs) that together define most of the laser properties
such as wavelength, bias voltage, and output power, to a degree that is
unprecedented among semiconductor devices and that led the inventors of this
type of lasers to talk about “materials by design” and “bandgap
engineering.”2 The great advantage of QCLs over other laser types in the

225
226 Chapter 10

mid-infrared region of the electromagnetic spectrum (referred to in this paper


as the region between 4 and 12 mm) is their ability to use direct electronic
transitions for light generation, thus being one of the few, if any, options for
direct generation of coherent mid-infrared radiation by electrical pumping
with no optical excitation, no second-order processes, no complex alignment
procedures, and with the added flexibility of being able to tailor the active
material properties to match the desired emission wavelength and character-
istics. This powerful tool for spectroscopic analysis can be tuned to match the
fingerprint absorption characteristics of several molecules in the mid-infrared,
as well as serve many other industrial, security, medical, and environmental
applications needing high-power beams at these wavelengths. Powers in the
watts range have been demonstrated in several laser configurations and
designs3,4 and at different wavelengths.5 In this paper we will focus on the
high-power emission from industrial-grade devices fabricated to achieve watt-
level powers in the midwave IR (MWIR) and longwave IR (LWIR) regions of
the spectrum, i.e., the 3–5 mm and 8–12 mm regions, respectively. In addition,
we show how these lasers can be fabricated in order to match low-power-
consumption requirements of some single-mode applications, and, finally,
how the high power from single emitters can be scaled up in an array
configuration.

10.2 Manufacturing of High-Performance QC Lasers


10.2.1 Design
Design of QCL devices has been explored for several years, and many
approaches have been proposed to achieve high-power, high-temperature
operation. The design of the active-region portion of the device has been
refined over the course of the two decades following the first device
demonstration in 19941 and is based on several widely accepted criteria that
are shared by most of the current high-performance structures. As early as
19986 it was understood that in order to achieve high-temperature operation,
phonon back-filling was to be avoided by keeping the electron reservoir at an
energy much lower than the lower laser level. In this way, population
inversion could be maximized even when the effective electronic temperature
was raised by current injection during laser operation at room temperature.
Subsequent high-temperature designs that assumed many names such as
chirped superlattice, dual-phonon, bound-to-continuum, nonresonant extrac-
tion, continuum-to-continuum, among others, all take into account this basic
principle. Another detrimental effect of high temperature on laser perfor-
mance can be found in the hot electron effects that compromise the injection
efficiency and affect the population inversion by spreading the electronic
distribution over more than just the upper laser level where injected electrons
are able to emit photons at the desired energy. The mitigation of this issue
High-Performance Quantum Cascade Lasers for Industrial Applications 227

came at first by modifying the wavefunction of the upper laser level in order to
maximize the electron probability distribution away from the conduction-
band offset (so called “diagonal design”), thus, minimizing the probability of
escape (“leakage”) to higher energy levels or to the conduction-band
continuum. Nevertheless, at short wavelengths in the 3–5 mm range, the
upper laser level would still be too close to the conduction-band offset of the
lattice-matched or moderately strained GaInAs/AlInAs material to reason-
ably avoid this leakage from significantly affecting the laser operation.
Highly strained material can offer a larger band offset, and improve-
ments in the growth technologies, first in molecular beam epitaxy (MBE)7
and subsequently also in metalorganic chemical vapor deposition
(MOCVD)8 performed at AdTech Optics, Inc. (ATO), have enabled the
design and growth of materials with very high strain and large band offsets
capable of preventing leakage currents during high-temperature operation at
short wavelengths. The combination of these design features, among others,
has paved the way to high-power, highly efficient devices. State-of-the-art
MBE-grown devices have demonstrated powers as high as 5.1 W from a
single emitter, and efficiencies of more than 20% at room temperature.4
However, RT operation requires buried-heterostructure (BH) fabrication
techniques that can only be performed by MOCVD. These hybrid MBE/
MOCVD QCLs have been, so far, the most successful research-grade devices
in terms of performance, but the use of different technologies at different
stages of the laser manufacturing is significantly more expensive for
industrial-grade devices and would not enable volume production of QCLs
at reasonable cost. In this paper, we present the results on MOCVD-grown
highly strained QCL devices that are capable of emitting close to 3 W of
power in continuous wave (CW) at RT, with electro-optical conversion
efficiencies of 11.5%. These performances are obtained by using the strain-
compensated laser design illustrated in Fig. 10.1, where both the uniform
strain and the selective strain design approaches have been explored. The
material composition is Ga0.28In0.72As/Al0.64In0.36As. Figure 10.1 illustrates
one period of the active material, which is repeated 40 times in current laser
designs for high-power emission. Both uniformly strained and selectively
strained designs are used in the lasers manufactured at ATO.

10.2.2 Growth
QCL material growth, both of the active region and the waveguide, was
performed by low-pressure MOCVD. The growth system is designed in a
showerhead gas injection arrangement, in close proximity with the wafers,
allowing for a very short transient gas switching time between layers. In
addition, the MOCVD is equipped with ultrasonic gas concentration
monitoring systems that allow automatic modification of the flow rate, and
consequently the growth rate and composition, to compensate for fluctuations
228 Chapter 10

Figure 10.1 Examples of (a) selectively strained and (b) uniformly strained designs for high-
power, high-temperature emission at MWIR wavelengths. The wavy horizontal lines represent
the electronic wave function probabilities within the conduction band of the GaInAs/AlInAs
multilayer structure, represented by the step-like black curve. (See color plate section.)

due to environmental variations. Our MOCVD system is particularly suitable


for growth of very thick layers typically required in QCL structures. Iterative
growth condition optimization of the growth parameters, such as substrate
temperature, interface switching mechanism, growth rate, V/III ratio, among
others, have been carried out in order to produce very sharp multiple-quantum-
well interfaces. All manufactured wafers undergo a highly selective qualification
High-Performance Quantum Cascade Lasers for Industrial Applications 229

process. For every wafer, we measure the x-ray scattering pattern, polaron C-V,
Hall conductivity, near-IR photoluminescence map, among others. For strain-
compensated materials, a small amount of residual strain in the complete
structure needs to be achieved and can be verified by x-ray measurements.
Polaron C-V testing is used to monitor the doping in the structure, while high-
resolution scanning electron microscope (SEM) and Nomarski microscope
techniques are used to ensure the grown wafer surface quality.

10.2.3 Fabrication
All QCLs were fabricated in BH waveguides. The laser stripe width varied from
4 mm for low-power-consumption devices to about 12 mm for high-power
devices, while their cavity length was between 2 mm for distributed-feedback
(DFB) lasers and up to 5 mm for high-power devices. After completion of the
MOCVD growth, ridge waveguides were defined by photolithography and
etched by a combination of dry and wet etching, and insulating InP:Fe was
regrown on the sides of the laser waveguide. The top and bottom metal contact
depositions by electron beam evaporation completed the device fabrication.
Figure 10.2 shows the finished device. In the case of DFB lasers, the MOCVD
growth was interrupted just after completion of the active core, including an
InP buffer and an InGaAs sacrificial grating layer. The grating pattern for

Figure 10.2 (a) SEM image of the front edge of a finished BH device and (b) close-up of
the same laser indicating the different regions of the device. The downward arrow indicates
the direction of electron injection through the structure.
230 Chapter 10

wavelength selection was traced by electron-beam lithography on top of the


InGaAs sacrificial layer, which was subsequently etched. The cladding and top
layers were grown on top of the patterned core material. After the fabrication
was completed, the wafers were diced into bars, and high-reflectivity/
antireflection (HR/AR) coatings were applied as needed. Bars were subse-
quently cleaved into single devices that were bonded to high-conductivity
substrates for optimal heat dissipation.

10.3 Results
10.3.1 High-power multimode devices
MWIR devices (designed according to the uniformly strained and selectively
strained approaches illustrated in Section 10.2.1) have been processed in BH-
type devices for optimized CW operation at room temperature (RT), leading
to high-power emission at wavelengths in the range of l ¼ 4–5 mm. In an
effort to minimize current leakage and optimize temperature sensitivity of the
device characteristics (represented by the characteristic temperatures T0 and
T1), the selectively strained devices have been proven to yield the highest-
power outputs so far. Our devices are showing performances comparable to
the best-in-class and are fabricated using industrial-process manufacturing
rather than research-grade equipment and procedures.
Figure 10.3 illustrates the performances of a selectively strained device at
RT. The total emitted power from a 5-mm-long device installed on a CS-type
mount, with HR coating on the back facet and uncoated front facet, rises to

Figure 10.3 Voltage-versus-current (black curve), optical power-versus-current (red


curve), and efficiency-versus-current (blue curve) CW characteristics at T ¼ 15 C of a
high-power QC laser emitting in the range 4.5 5.0 mm. The maximum efficiency is above
10%, and total output power is close to 3 W. The green curve indicates the differential
resistance and shows a clear drop at threshold. (See color plate section.)
High-Performance Quantum Cascade Lasers for Industrial Applications 231

2.8 W at about 2 A of current and 13.5 V of bias voltage, corresponding to a


maximum electro-optical conversion efficiency of more than 11%. The
voltage-current characteristic (black curve) clearly shows a discontinuity in
the differential resistance at threshold. This is highlighted by the plot of the
differential resistance (green curve) overlapped to the graph. This kink in the
I-V curve is clearly associated with the beginning of the stimulated emission
regime, and the differential resistance above threshold gives an idea of the
residual contact resistance determined by the waveguide claddings, contact
layers, and bonding interface to the mount. The maximum efficiency is
reached at currents of about 1.3 A, corresponding to an output power of
about 2W, and stays approximately constant up to the maximum current,
where it starts to decrease due to thermal effects at the high current regime,
determining the roll-off of the power-versus-current curve.
LWIR devices typically do not require strain-compensated designs due to
the lower photon energy of the longwave transitions. Typically, for a l ¼ 9-mm
emission, i.e., a photon transition energy of DE32 ¼ 137 meV, and assuming an
electron relaxation energy of DEinj ¼ 150 meV  4  DELO, equal to about
4 times the phonon energy DELO to avoid phonon back-filling, we can estimate
the energy difference from the upper laser level to the conduction-band offset
DE3C to be at least
DE3C ¼ DEoffset DE32 DE20  200 meV; ð10:1Þ
where DE20 ¼ DEinj þ DELO  180 meV is the energy difference between the
lower laser level and the ground state of the quantum cascade active region,
DE32 is the energy difference between the upper and lower laser level, and
DEoffset is the conduction-band offset, which for the InGaAs/InAlAs
material system lattice matched to InP is considered to be DEoffset ¼ 520
meV. Equation (10.1) gives a lower limit for DE3C that is usually considered
more than enough to ensure confinement of electrons against transitioning
to the conduction-band continuum. Nevertheless, attempts have been made
at using strain-compensated materials for LWIR emission, yielding
promising results.9 This suggests that even the transition to higher energy
levels within the conduction-band offset can be deleterious to laser
operation, and that besides helping with electron confinement and injection
efficiency, there may be a contribution due to the interface quality of strain-
compensated material versus lattice-matched material that could play a role
in the laser performance. In our case, from lattice-matched designs, we have
obtained up to 1.4 W of LWIR power output in pulsed mode from QCLs
emitting at l ¼ 9 mm. Figure 10.4 shows the voltage-versus-current and
power-versus-current characteristics of an LWIR device under pulsed
operating conditions at RT. The device is 6-mm long and 12-mm wide,
with an HR coatings on the back facet, and is bonded to a CS-type mount
for optimal heat transfer. The front facet was left uncoated. The laser was
232 Chapter 10

Figure 10.4 Pulsed-mode characteristics of an LWIR device operated at T ¼ 15 C. The


maximum power output is close to 1.4 W, and the conversion efficiency is close to 4%.

operated with 200-ns pulses at 2% duty cycle, while the average output
power was measured with a thermopile detector and divided by the duty
cycle in order to estimate the peak power during the pulse.
To the best of our knowledge, the MWIR and LWIR results presented
here are the best industrial-grade result obtained with MOCVD-grown QCLs
in terms of power and efficiency. MBE-grown devices have demonstrated
higher efficiencies in the MWIR range, but MBE technology does not allow
for BH fabrication, which still needs to be performed by MOCVD and
constitutes the most critical step of the fabrication procedure. For industrial
purposes, and in order to have the lasers grown and fabricated at the same
facility, use of MOCVD for both the growth and the fabrication portion of the
laser manufacturing will greatly simplify the production and make it more
affordable in volume quantities.
When installed in high heat load (HHL) packages, Adtech’s QCL devices
produce up to 1 W, at wavelengths in the strategically important ranges of 4.1,
4.6, and 9 mm. The results are shown in Fig. 10.5. The HHL packages include
a thermoelectric cooler (TEC), temperature sensor, and collimating optics so
that the device can be simply connected to a laser driver and TEC controller
for RT operation.

10.3.2 Low-power-consumption distributed-feedback-laser devices


One of the key specifications for single-mode devices in several applications is
their power consumption. Besides wavelength accuracy and stability, beam
pointing, temperature tuning, and output power, power consumption is a
critical parameter for QC devices and one that is difficult to minimize due to
the intrinsic nature of the device itself.
High-Performance Quantum Cascade Lasers for Industrial Applications 233

Figure 10.5 High-power laser characteristics after installation in HHL packages for RT
operation. All devices at various wavelengths across the mid-IR show continuous power
output close to 1 W. (a) The HHL package. The emission wavelengths shown in (b), (c), and
(d) are 4.6, 4.1, and 9 mm, respectively. (See color plate section.)

In many applications,10 in particular for handheld or for battery-powered


systems, the power consumption of the core component (the laser) can be a
determining factor for the satisfaction of the user requirements. In QC lasers,
given the design of the active region, which is based on the repetition of tens of
identical stages that need to be biased in order to achieve current injection and
lasing, the operating voltage is typically quite large compared to regular diode
lasers. Even for long-wavelength devices, which require lower biases,
operating voltages fall close to 10 V or higher. This means that in order to
achieve laser operation with 1 W of input power, we need to keep threshold
currents below or close to 100 mA. Although quite large in absolute terms,
this is a small value for QC devices, which typically need a long cavity size
(several millimeters) due to the smaller gain of the intersubband transitions as
compared with interband diode lasers. As a consequence, technological
challenges to realize narrow-emitter configurations need to be overcome in
order to be able to keep power consumption to the lowest. Alternative
234 Chapter 10

Figure 10.6 (a) Optical power versus current and (b) spectra of a low-power-consumption
DFB laser at l ¼ 4.59 mm. The total power required to operate the laser progresses from
1.2 W at threshold to about 2.5 W at maximum power. (See color plate section.)

solutions, such as high-Q cavities, would affect the total power output and
limit the potential for application to those that require only a few milliwatts of
laser power, such as certain gas detection systems or some close-range
detection of high concentrations for substances where selectivity is important
but sensitivity and access to the point of detection are not an issue.
In this chapter, we present the results on low power consumption of
4.59-mm DFB QC lasers. Figure 10.6 shows the optical power-versus-
current characteristics of a 2-mm laser processed in BH waveguides of
about 5-mm width. The threshold current is only 0.1 A, leading to a power
consumption at a threshold of about 1.2 W. The maximum power from
these devices is more than 50 mW, which is still quite large and suitable for
most sensing applications, including those based on photoacoustic
spectroscopy or similar techniques where optical output power makes a
difference in the sensitivity that can be achieved. Another upside of the low-
consumption devices is that they can be installed into smaller packages
needing lower heat dissipation. Figure 10.7 illustrates the optical power
output from a l ¼ 4.55-mm DFB device operated in CW mode at RT after
installation in a TO-3 package. The total power output is 60 mW, and the
total power consumption at threshold power is 0.96 W, while it rises to
more than 2 W at maximum power.

10.3.3 Power scaling: arrays


The need for high-power mid-infrared emission does not stop at the few watts
achievable in a single-emitter configuration. Applications related to industrial
High-Performance Quantum Cascade Lasers for Industrial Applications 235

Figure 10.7 Optical power-versus-current characteristics of a low-power-consumption


DFB laser installed in a TO-3 package including TEC and temperature control. The total
power needed to operate the device (not including the TEC) is about 0.96 W at threshold
and is capable of emitting more than 60 mW at a wavelength of l ¼ 4.55 mm. Inset: image of
a TO-3 package. (See color plate section.)

processing, surgery, defense, among others, may benefit from even higher levels
of power. In order to scale up the power of a single emitter, several approaches
have been proposed, either related to the device design and operation, such as
increasing the number of active stages and working at low temperatures, or
using alternative devices schemes such as optical amplifiers, unstable
resonators, broad area devices, and arrays. All solutions have advantages and
disadvantages. For example, amplifiers, first demonstrated in 200111 and
recently improved,12 have the advantage of giving high-power output in a good
optical mode with narrow far-field angular dispersion. On the other hand,
master oscillator power amplifier (MOPA) devices are more easily damaged due
to the uneven current distribution along the device cavity, and their fabrication
is more challenging than regular BH waveguides. Also, given the small gain of
intersubband transitions, the amplification effect brings about only a modest
improvement in terms of output power with respect to an optimized high-power
device of comparable length. Still, this could be a solution for high-power single-
mode lasers where the lateral mode quality is critical.
One potential solution we explore in this chapter is fabricating arrays of
QCL emitters that can be subsequently beam combined in order to achieve a
single higher-power beam. The beam-combining step goes beyond the scope
of this publication and will not be discussed here. Figure 10.8 shows the
separate operation of several lasers in the same bar as cleaved from the
processed wafer. The power-versus-current characteristics of the various
devices overlap with each other, thus demonstrating the optimized uniformity
achieved in the growth and processing that is necessary in order to realize a
236 Chapter 10

Figure 10.8 Optical power-versus-current characteristics of multi-emitter bars when


(a) individually biased and (b) simultaneously biased. The right panel shows a comparison
of the total array emission (red curve) with the single-emitter output (blue curve). (See color
plate section.)

working laser bar with no dark emitters and uniform operating conditions
across the different devices. Figure 10.8(b) shows the simultaneous biasing of
all emitters, as compared to the single emitter, and demonstrates that in pulsed
operation the total power from four emitters in the same bar mounted on a
CS-type mount is four times the power from a single emitter. The total power
was only limited by our pulse-generator capability to achieve high currents.
We estimate that without this limitation the four-emitter array could achieve a
peak power of 10 W.
In order to test continuous operation, the multi-emitter bar was operated at
various duty cycles and different pulse widths. The results are shown in Fig. 10.9.
The array was tested with up to 10-ms pulse width and showed some power increase
up to 50% duty cycle. However, the average intrapulse power stayed constant only
up to about 10% duty cycle and started decreasing for duty cycles above 10% for
pulse widths of 0.5–10 ms, eventually shutting off the laser for duty cycles above
50%. Improving the heat sinking and device multi-emitter geometry will allow
achievement of full CW operation at RT for multi-emitter configurations.

10.4 Conclusions
In conclusion, we have shown high-power operation in both single-emitter and
multiple-emitter configurations for MOCVD-grown and -processed devices and
have demonstrated that MOCVD-grown QCLs can be used in low-power
regimes as well, when limited to smaller cavity sizes and optimized packages.
All of the results presented here were obtained from industrial-grade QCL
High-Performance Quantum Cascade Lasers for Industrial Applications 237

Figure 10.9 (a) Optical power-versus-current characteristics of a four-emitter array at


different duty cycles for pulse widths of 0.5 ms. (b) Intrapulse output power of a four-emitter
array as a function of different duty cycles for different pulse widths. (c) Intrapulse output
power of a four-emitter array as a function of pulse width for different duty cycles. The array
does not show CW operation. (See color plate section.)

devices that were manufactured in volume quantities and operated for a


cumulative time of tens of thousands of hours. A full-blown, comprehensive,
reliability study remains to be established, and better definition of the user
specifications that have been addressed in previous years will help to define the
reliability parameters needed in order for this study to be meaningful.

Acknowledgments
We acknowledge support from the following funding agencies: the Office for
Naval Research under contract no. N00014-11-C-0252, and the Missile
Defence Agency under contract no. HQ0147-11-C-7701. We would like to
acknowledge the following people for their help and partial contributions:
Hien Quach, Rommel Ceballos, Armando Marquez, and Ulisses Gamboa.
238 Chapter 10

References
1. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and
A. Y. Cho, “Quantum cascade laser,” Science 264, 553–556 (1994).
2. F. Capasso, C. Gmachl, D. L. Sivco, and A. Y. Cho, “Quantum cascade
lasers,” Physics Today 55, 34–38 (2002).
3. A. Lyakh, R. Maulini, A. Tsekoun, R. Go, C. Pflügl, L. Diehl, Q. J. Wang,
F. Capasso, and C. K. N. Patel, “3W continuous-wave room temperature
single-facet emission from quantum cascade lasers based on nonresonant
extraction design approach,” Appl. Phys. Lett. 95, 141113–141115 (2009).
4. Y. Bai, N. Bandyopadhyay, S. Tsao, S. Slivken, and M. Razeghi, “Room
temperature quantum cascade lasers with 27% wall plug efficiency,” Appl.
Phys. Lett. 98, 181102–181105 (2011).
5. M. Troccoli, J. Fan, and X. Wang, “Quantum cascade lasers: high-power
emission and single-mode operation in the long-wave infrared (l > 6 mm),”
Opt. Engin. 49, 111106 (2010) [doi: 10.1117/1.3498778].
6. A. Tredicucci, F. Capasso, C. Gmachl, D L. Sivco, A. L. Hutchinson, and
A Y. Cho, “High performance interminiband quantum cascade lasers
with graded superlattices,” Appl. Phys. Lett. 73, 2101–2103 (1998).
7. Y. Bai, N. Bandyopadhyay, S. Tsao, E. Selcuk, S. Slivken, and
M. Razeghi, “Highly temperature insensitive quantum cascade lasers,”
Appl. Phys. Lett. 97, 251104–251106 (2010).
8. A. Lyakh, C. Pflügl, L. Diehl, Q. J. Wang, F. Capasso, X. J. Wang, J. Y. Fan,
T. Tanbun-Ek, R. Maulini, A. Tsekoun, R. Go, C. Kumar, and N. Patel,
“1.6 W high wall plug efficiency, continuous-wave room temperature quantum
cascade laser emitting at 4.6 mm,” Appl. Phys. Lett. 92, 111110 (2008).
9. A. Lyakh, R. Maulini, A. Tsekoun, R. Go, and C. K. N. Patel, “Multiwatt
long wavelength quantum cascade lasers based on high strain composition
with 70% injection efficiency” Opt. Express 20(22), 2472–9 (2012).
10. M. Troccoli, L. Diehl, D. P. Bour, S. W. Corzine, N. Yu, C. Y. Wang,
M. A. Belkin, G. Höfler, R. Lewicki, G. Wysocki, F. K. Tittel, and
F. Capasso, “High performance quantum cascade lasers grown by metal-
organic vapor phase epitaxy and their applications to trace gas sensing,”
IEEE J. Lightwave Technol. 26, 3534–3555 (2008).
11. M. Troccoli, C. Gmachl, F. Capasso, D. L. Sivco, and A. Y. Cho,
“Mid-infrared l ¼ 7.4 mm quantum cascade laser amplifier for high power
single-mode emission and improved beam quality,” Appl. Phys Lett. 80,
4103–4105 (2002).
12. P. Rauter, S. Menzel, A. K. Goyal, B. Geokden, C. A. Wang, A. Sanchez,
G. W. Turner, and F. Capasso, “Master-oscillator power-amplifier quantum
cascade laser array,” Appl. Phys. Lett. 101, 261117–261119 (2012).
High-Performance Quantum Cascade Lasers for Industrial Applications 239

Mariano Troccoli obtained his M.S. (“laurea”) in


theoretical physics magna cum laude (1997), and his
Ph.D. in quantum electronics (2001), both from the
University of Bari, Italy. He subsequently joined Bell
Laboratories in Murray Hill, NJ as a postdoctoral
MTS where he worked on QCLs under the supervision
of Dr. Federico Capasso, coinventor of the QCL. He
contributed to numerous innovations in mid-infrared
photonics, including QC amplifiers, photonic crystal lasers, InP/InGaAs
emitters, and broadband lasers, among others. From 2003 until 2006 he was
at Harvard University in Cambridge, MA as a Research Associate Fellow,
working on nonlinear intersubband generation and high-power QC lasers.
He was responsible for the first demonstration of room-temperature
continuous operation of an MOCVD-grown QCL, in collaboration with
Agilent Labs. In 2006, he left Harvard to be the cofounder of Argos Tech in
Santa Clara, CA, a VC-funded spin-off from Agilent Technologies of which
he was Director of Device Research for two years. Currently, he is Director
of Product Development at AdTech Optics Inc., leading the effort on
products and business development for mid-infrared laser sources and
applications. He has helped the company raise several million dollars in
government and private contracts for which he is the PI. His work has been
widely recognized in news articles and technical publications worldwide. He
is coauthor of more than 40 publications in prestigious scientific journals
and books, has filed more than 10 patents, and is frequently invited to major
international conferences. Dr Troccoli is a fellow of the OSA and senior
member of IEEE and SPIE. He received the “Young Investigator Award” of
the European Material Research Society in 2001 and was nominated for the
Prism award in 2013.

Jenyu Fan is the Director of Fab Operations at AdTech


Optics. He joined AdTech Optics as its first employee
right after the company acquired the current facility in
2002 for InP-based laser and module manufacturing.
His vast experience in laser manufacturing, especially in
chip design, wafer processing, and chip packaging, is an
invaluable resource during his close day-to-day inter-
actions with the factory operations for laser develop-
ment and technology transfer. Previously, Dr. Fan was with Lightcross
(currently Kotura) as one of the first seven employees to develop the high-
240 Chapter 10

performance photonic integrated circuits based on AWG, VOA, power


splitter, and waveguide components on silicon-on-insulator (SOI) material.
With the world class AWG performance that he designed, the company raised
more than $15M in second- round fund raising. Dr. Fan holds a Ph.D. in
electrical engineering from the University of Maryland Baltimore County and
an M.S. degree in electro-optical engineering from National Chiao-Tung
University, Taiwan. He has authored and coauthored more than 70 papers in
peer-reviewed journals and professional conferences, and has been granted
one U.S. patent.

Gene Tsvid received his M.S. degree in physics from


Moscow Institute of Physics and Technology and Ph.D.
in electrical engineering from the University of
Wisconsin-Madison. His research interests include
science and technology of GaAs, InP, GaSb diode
and intersubband quantum cascade semiconductor
lasers. His doctorate research dealt in both spectral
gain and radiative efficiency studies of quantum well
and quantum dot lasers, and the design and fabrication of intersubband
quantum box lasers. He worked on long-wavelength GaSb diode lasers in
the group of Prof. Belenky at Stony Brook University, NY. As an industrial
postdoctoral researcher with Princeton University and AdTech Optics Inc.,
he designed new QCLs and investigated how design metric correlates with
device performance. Currently he is a scientist at the University of
Wisconsin-Madison working on a new generation of genome optical
mapping technology.

Xiaojun Wang is the Director of Chip Development at


AdTech Optics, managing the MOCVD material
growth and device fabrication for high-performance
mid-infrared QCLs. He joined AdTech in 2003 and
focused on the developments of near-infrared high-
power laser diodes and DFB/EML for telecom/datacom
applications during the first three years. Prior to
working at AdTech, Dr. Wang was with Nortel
Networks (2000–2002) as a senior device engineer, developing the 1310-nm
single-mode pump laser and MEMS/VCSEL-based C/L band high-power
tunable laser. From 1998 to 2000, he was a Research Scientist in University of
Maryland Baltimore County, working on InP-based optical components for
High-Performance Quantum Cascade Lasers for Industrial Applications 241

communication applications. From 1992 to 1997 he conducted research on


980- and 808-nm high-power lasers, and GaN LED on GaAs in the Institute
of Semiconductors, Chinese Academy of Sciences (ISCAS). Dr. Wang holds a
Ph.D. degree in semiconductor device physics from XiaMen University,
China. He is a member of IEEE and SPIE and served as (co-) PIs for projects
supported by various agencies. He has authored and coauthored more than
80 peer-reviewed publications.
Chapter 11
Mid-infrared Tunable
Surface-Emitting Lasers
for Gas Spectroscopy
Hans Zogg
ETH Zurich, Zurich, Switzerland

Ferdinand Felder and Matthias Fill


ETH Zurich, Zurich, Switzerland and Phocone AG, Zurich, Switzerland

11.1 Introduction
11.2 Some Properties of Narrow Gap Lead Chalcogenides (IV VI Compound Semiconductors)
11.2.1 Structure, binary compositions, alloying
11.2.2 Band structure and Auger recombination
11.2.3 Permittivities
11.2.4 Defects and non lattice matched growth
11.2.5 Growth on Si(111) and thermal mismatch dislocation glide
11.3 Applications
11.3.1 Broadband photovoltaic IV VI mid infrared detectors
11.3.2 Resonant cavity enhanced detectors
11.3.3 Edge emitting laser diodes
11.3.4 Monolithic vertical cavity surface emitting lasers (VCSELs) and microdisk lasers
11.4 VECSELs
11.4.1 Principle and structure of the long cavity
11.4.2 Optical and electronic simulation
11.4.3 Short cavity and end pumping
11.5 Conclusions
References

243
244 Chapter 11

11.1 Introduction
We describe the development of a tunable semiconductor disk laser, also
called a vertical external-cavity surface-emitting laser (VECSEL) for the mid-
infrared range (wavelength 2.5 mm to 10 mm). The intended applications
are mainly gas spectroscopy for trace gas analysis, air pollution monitoring,
or medical use.
The mid-infrared range is especially suited for gas spectroscopy since the
fundamental modes of many common gases lie in this range. This includes gases
such as CO2, CO, nitric oxides (NOx), many organic compounds (including
C–H vibration lines), SO2, or H2O. Gas spectroscopy in this range is therefore
especially interesting since the fundamental modes yield to much higher
sensitivity than when using shorter wavelengths in the near-infrared or even
visible range, where only higher-order lines can be analyzed.1
For the VECSEL, lead chalcogenide (IV-VI) narrow-gap semiconductor
layers are employed,2 as they are perfectly suited for the mid-infrared
wavelength range, while VECSELs fabricated with the well established III-V
technologies are restricted to wavelengths below 2.8 mm.3 6
Generally, VECSELs offer several advantages compared to conven-
tional edge-emitting laser diodes:3 VECSELs emit perpendicular to the
surface, so batch processing is possible leading to extremely low costs. The
emitted beam is circular with a cone angle of only a few degrees. Large
continuous tuning without any mode hops is easily attained by simply
changing the length of the cavity.
Note that quantum cascade lasers (QCLs)7 as well as interband cascade
lasers (ICLs)8 fabricated with III-V technology emit in the mid-infrared, but
both are edge-emitting lasers. They therefore emit a strongly astigmatic beam
with an aperture angle in the fast axis of up to 60 deg. This requires elaborate
optics for beam conditioning. Edge-emitting laser diodes additionally need
individual delineation of the edge mirrors. Tuning can be done with an external
cavity or by using QCL arrays;9 however, the design of the cavity is quite
elaborate in order to obtain a mode-hop-free tuning. An additional advantage
of the lead chalcogenide VECSEL is that the layers are epitaxially grown by
molecular beam epitaxy (MBE) on easily available and robust Si substrates.
The chapter is organized as follows: Since lead chalcogenides (IV-VI
semiconductors) are presently not widely employed, we first summarize some of
their properties. The reasons the IV-VIs can be grown by MBE on Si substrate
material, despite the huge lattice–thermal-expansion mismatch, are outlined
in Section 11.2. Some infrared applications are reviewed in Section 11.3. In
Section 11.4, the design and realization of IV-VI VECSELs on Si is
described, followed by their experimental and theoretically feasible properties.
True monomode emission is achieved with short cavities and end-pumping
(Section 4.3). Finally, a spectroscopic application is presented as an example.
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 245

11.2 Some Properties of Narrow-Gap Lead Chalcogenides


(IV-VI Compound Semiconductors)
11.2.1 Structure, binary compositions, alloying
Lead chalcogenides crystallize in the NaCl structure and have a direct narrow
bandgap at the L point of the Brillouin zone and a near-symmetrical band
structure. The bandgap of the binary compounds PbS, PbSe, and PbTe is
around 0.2 eV. A comprehensive review of lead chalcogenides was compiled
by Khoklov.10
Since as early as the 19th century, researchers have known of PbS, and
thermal imaging with infrared detectors of lead chalcogenides was used in
World War II. A wide variety of ternary compositions allows for decreasing
or increasing the bandgap: When alloying Sn, the bandgap of Pb1 xSnxY
(Y ¼ Se, Te) decreases and may be tuned even down to zero. Since the starting
binary compound PbY is already narrow gap, the composition dependence of
the gap is not too strong to allow for rather homogeneous layers in any
spectral range. When alloying with, e.g., Eu or Sr, the bandgap of Pb1 xZxY
(Z ¼ Eu, Sr, Y ¼ Se, Te, S) increases. This allows the design of infrared sensors
or lasers with shorter cutoff wavelengths than the corresponding binary alloy,
or employing Pb1 xZxY as barrier layers in laser structures. When changing
temperature, the bandgap decreases with decreasing temperature, which
means that the cutoff wavelength lc increases. Figure 11.1 shows an example
of the change in cutoff wavelength when alloying PbTe with Sn, Eu, or Sr at
two distinct temperatures.

11.2.2 Band structure and Auger recombination


Due to a near-symmetric band structure, effective masses of electrons and
holes are nearly equal. In addition, this structure leads to a very low Auger
recombination, even at very small bandgap values [on an order of 10 28 cm6/s 3
for PbSe at room temperature (RT)]. This is in sharp contrast to III-V

Figure 11.1 Change in cutoff wavelength when alloying PbTe with Sn, Eu, or Sr at two
distinct temperatures (reprinted from Ref. 30).
246 Chapter 11

semiconductors, where the Auger effect for wavelengths toward the mid-
infrared range increases so strongly that sophisticated structures for lasers must
be developed in order to arrive at acceptable low recombination.8

11.2.3 Permittivities
The permittivity of IV-VI semiconductors is extremely high (102 to >103 at
low temperatures). This makes infrared detectors slower than their
competitors, Hg1 xCdxTe detectors. (This is one reason that development
of IV-VI semiconductors for scanning infrared cameras for thermal imaging
was discontinued in the late 1970s in favor of HgCdTe.11 However, for
staring infrared focal plane arrays, this is not an issue). On the other hand,
the high permittivity efficiently shields charged defects. Therefore, even with
quite a high number of defects, mobilities are high (>106 cm2/Vs at low
temperatures), and device-quality layers result.

11.2.4 Defects and non–lattice-matched growth


This tolerance to defects allows growth on foreign single-crystal substrates
(lattice-matched growth on IV-VI single-crystal surfaces is extremely tedious
due to their limited size and their softness). A breakthrough occurred in the
1970s: growth on cleaved BaF2(111) single crystals.12 IV-VI layers were again
(111) oriented and were of device quality, and Schottky-barrier-type
photovoltaic infrared detectors were fabricated in the layers. The lattice
mismatch was up to several percent for these combinations.
However, for applications, Si substrates are most convenient. Device-
quality IV-VI layers were already being grown on Si(111) wafers by solid state
molecular beam epitaxy in 1985.13 Material compositions varied to cover
cutoff wavelengths from <3 mm to >15 mm.10

11.2.5 Growth on Si(111) and thermal-mismatch dislocation glide


A BaF2/CaF2 buffer layer and later a very thin CaF2 buffer layer are
employed on Si substrates for reproducible growth of IV-VI layers. The total
lattice mismatch is up to 20%. However, the thermal-expansion coefficients of
IV-VI materials are as high as 20  10 6/K. This is about seven times higher
than the thermal-expansion coefficient of Si (while nearly equal that of to
BaF2). Therefore, when growing on Si substrates, in addition to the high
lattice mismatch, an extremely high thermal-expansion mismatch must
be overcome. One might expect that, with an MBE-growth temperature of
400 8C and operating temperature of infrared detectors of 80 K, the layers
will crack due to these very different thermal expansions. However, this does
not occur when grown on Si(111) because dislocations glide very easily in
IV-VI materials. Their main glide planes are of the {100} type. These planes
are inclined by 54 deg with respect to the (111) surface and arranged in
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 247

threefold symmetry. When temperature changes, dislocations move due to the


thermal mismatch strain on these glide planes. The dislocations glide back and
forth on each change, but without detrimental effects on the structural quality
of the layers. Rather, the number of defects decreases on each temperature
change.14
We use this effect already during growth of the layers by applying several
growth interruptions with temperature excursions.15 (It is interesting to note
that the same technique has been very recently employed to improve the
structural quality of HgCdTe layers on Si substrates. The authors found that
this thermal cycle anneal is much more efficient than a single anneal.16)
However, despite these attractive properties of IV-VI materials, only a very
small number of groups are presently working with lead chalcogenides, whose
technical development stage is much behind that of III-V- or II-VI-type
semiconductors. Despite this, several applications have successfully been
demonstrated.

11.3 Applications
11.3.1 Broadband photovoltaic IV-VI mid-infrared detectors
Using these techniques, in 1985 one of the authors successfully demonstrated
IV-VI infrared sensor arrays on Si substrates.13 Only homogeneous layers of a
few microns in thickness were grown on CaF2-covered Si(111) substrate
wafers. Cutoff wavelengths ranged from 3 mm up to >15 mm.10 In one
application, a whole 2D monolithic infrared focal plane array was fabricated
on a Si substrate that contained integrated multiplexing electronics in a true
monolithic fashion.15 The individual infrared sensors, in this case photovoltaic
PbTe, were delineated on one part of the individual pixel with a bare Si(111)
surface, while the prefabricated multiplexing transistors were located on the
remaining part of the pixel.

11.3.2 Resonant-cavity-enhanced detectors


With improved MBE techniques, even very thin but device-quality MBE
layers on Si(111) can be obtained. In addition, it is easy to fabricate Bragg
mirrors using similar IV-VI layers: A few pairs of quarter-wavelength layers
with alternating high and low refractive index make possible a high reflectivity
and a broad reflection band.17 This is because of the high index contrast of
materials in the mid-infrared range (and allowing lattice-mismatched growth).
A typical high index material is PbSrTe (n > 5), while a low index material is
EuTe (n ¼ 2.3); both are suited to be grown on Si(111) and combined with the
electronic active layers of a device. Using this technique, resonant-cavity-
enhanced detectors (RCEDs) tunable around 5-mm center wavelength and
with a 1.5% width of resonance have been realized.18 Due to the small
248 Chapter 11

thickness of the active layer in the cavity, a low noise volume results that can
lead to higher sensitivity than in a similar bulk detector with a broadband
response. It is interesting to note that IV-VI materials are currently the only
materials with which RCEDs can be realized in the mid-infrared range.

11.3.3 Edge-emitting laser diodes


IV-VI-based laser diodes were already realized by the 1960s but were operated
only at low temperatures. A large amount of work involving several groups
was performed to obtain improved devices. As substrates, small-sized single-
crystal PbTe, PbSe, or PbS were used, and the active layers were preferably
grown lattice matched (for reviews, see Refs. 10, 19, and 20). These included
double heterostructures, separate-confinement heterostructures, and multi-
quantum-well structures. The highest operating temperature was 223 K for
continuous wave (CW), and above RT for pulsed mode. These IV-VI laser
diodes were extensively employed for spectroscopy in the mid-infrared. For a
long time, IV-VI materials were the only materials with which mid-infrared
laser diodes were realized.

11.3.4 Monolithic vertical-cavity surface-emitting lasers (VCSELs)


and microdisk lasers
Epitaxial Bragg mirrors employing IV-VI materials with very high reflectivity
were first developed by the group at Linz University.17 Various VCSELs
employing such mirrors were demonstrated. All of these monolithic structures
were grown by MBE on BaF2(111) and optically pumped. The highest
operation temperature for CW was 100 K for a structure emitting at 6.7-mm
wavelength,21 and above RT for pulsed operation.22 The same group
developed whispering gallery microdisk lasers.23 Such a laser operated CW
near RT and emitted a total power of 2 mW at 260 K.

11.4 VECSELs
11.4.1 Principle and structure of the long cavity
A semiconductor VECSEL, also called a disk laser, consists of two high-
reflectivity mirrors that form a cavity. The length l of the cavity is typically a
few centimeters. A stack of thin active semiconductor gain layer(s) is placed
inside the cavity, but most of the cavity is free space. Emission is
perpendicular to the gain layers and the mirror surfaces. One of the mirrors
is flat, and, in most cases, the active-layer stack is monolithically grown onto
this mirror. The second mirror is curved with radius r (Fig. 11.2). The ratio l/r
determines the mode diameter on the active layer. This leads to much more
design freedom compared to the (monolithic) VCSEL.
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 249

Figure 11.2 Layout of a VECSEL (reprinted from Ref. 30).

Since the active layers are thin, they must exhibit a high gain, and the
reflectivities of the two mirrors must be very high in order to reach
threshold. For a direct-gap semiconductor and especially for lead
chalcogenides, gain is typically very high, and threshold is easily reached.
In addition, Bragg mirrors with very high reflectivity can easily be grown
using epitaxial lead-chalcogenide layers owing to the high index contrast in
the mid-infrared range. As for the RCED, a well-suited high (H) index
material is PbSrTe (n > 5), while a low (L) index material is EuTe (n ¼ 2.3).
A few pairs of such H/L quarter-wavelength l0/4 layers (l0 is the design
emission wavelength) suffice to obtain a reflectivity R  99.9 %, even over a
broad wavelength range.
As with most III-V-based VECSELs, our mid-infrared IV-VI VECSELs
are optically pumped from the side (Fig. 11.2) using a separate pump laser
diode. This is very convenient since the focus of this diode can be
appropriately designed to fit to the mode diameter, and optical pumping
leads to a very homogeneous and scalable pump spot. No doping of the active
layers is needed, which ensures the lowest electronic loss. A disadvantage is
the quantum deficit (ratio of the photon energy of the pump wavelength with
respect to that of the mid-infrared emission wavelength), which leads to lower
wall-plug efficiency. We use commercial laser diodes emitting at 1.5- or 2-mm
wavelength as pump lasers.
The active-gain-layer stack has an optical thickness of a multiple of half-
wavelengths l0/2. This leads to resonance at the design wavelength l0 and
therefore the lowest threshold. However, due to the strong absorption of the
pump beam in this layer stack, its thickness is restricted to about 2l0.
250 Chapter 11

Figure 11.3 Normalized spectra of a VECSEL based on (a) a PbS QW and (b) “bulk”
PbSnSe (reprinted from Ref. 30).

Resonant-periodic-gain structures containing many l0/2 parts, as employed in


the near-infrared, are not possible due to this absorption.
Two emission spectra are displayed in Fig. 11.3 and demonstrate the
broad wavelength coverage of IV-VI VECSELs. An active-layer structure
based on a PbS quantum well (QW) in PbSrS leads to emission wavelengths
below 3 mm.24 Note in Fig. 11.3(a) that the large shift of the emission
wavelength of 450 cm 1 for a single laser is caused only by a change in the
heat sink temperature. The addition of Sn decreases the bandgap energy, and
longer emission wavelengths are achieved. A VECSEL with a Pb0.95Sn0.05Se
(bulk) active layer emits up to nearly 10 mm [Fig 11.3(b)]. By also using QW
and bulk structures of PbTe and PbSe, the whole mid-infrared range from 2.5
to 10 mm is covered.
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 251

11.4.2 Optical and electronic simulation


For the design of a IV-VI VECSEL, the threshold optical gain g of the cavity
as a function of the emission wavelength (one needs to take into account its
temperature dependence) is calculated as
g ¼ G 1
L 1
 lnð R1 R2 Tloss Þ, ð11:1Þ
where G is the confinement factor, L is the length of the gain layer, R1 and R2
are the reflectivity of mirror 1 and mirror 2, respectively, and Tloss is the
remaining transmission due to losses in the active layer. An example is shown in
Fig. 11.4 (left scale) for a homogeneous PbTe layer: For a design wavelength of
l0 ¼ 5.3 mm (corresponding to the bandgap of PbTe at 130 K), the l0-thick
PbTe gain layer has a thickness of 830 nm. This leads to the minimum in
Fig. 11.4 at 130 K.25 The threshold gain is 10 cm 1 at this minimum and
increases to 100 cm 1 when the temperature is changed by 50 K.
The threshold pump power needed to overcome the electronic losses such
as Auger-, radiative-, and Shockley–Read recombination must create an
excess carrier density N(T) (cm 3) according to
F=L ¼ g Auger N 3 þ rrad N 2 þ N=t sr , ð11:2Þ

Figure 11.4 Calculated gain g (left scale) and calculated threshold power Pthr (right scale)
for a VECSEL with a 830-nm-thick active PbTe gain layer, corresponding to a design
wavelength of l0 ¼ 5.3 mm (130 K), in comparison to the experimental Pthr (points) (reprinted
from Ref. 25).
252 Chapter 11

where free-carrier absorption is neglected, and F is the number of pump


photons (s 1cm 2). Each carries an energy of 0.8 eV (1.55-mm pump
wavelength). The corresponding threshold pump power Pthr is shown on the
right-hand scale in watts for a 200-mm pump spot diameter. The strong
dependence of Nthr with temperature nearly masks the strong resonance
gain peak. For the Auger coefficient of PbTe, gAuger ¼ (2.5  1.3)  10 28
cm6s 3, was used.26 The Auger effect becomes important at the higher
temperatures only, while the term with rrad is not important. A reasonable fit is
obtained for a Shockley–Read lifetime t sr ¼ 3 ns, where t sr is determined by the
number of defects; increasing t sr mainly leads to a downward vertical
displacement of the simulated curve, i.e., improved Pthr. For the IV-VI narrow-
gap semiconductors, tsr is mainly determined by the areal density of threading
dislocations r: t sr is approximately proportional to 1/r, where a low r leads to
a long t sr. From our work with photovoltaic IV-VI infrared sensors,15,27 we
can roughly deduce a threading dislocation density r  3  107 cm 2 for tsr ¼ 3
ns. Similar structures with PbS gain layers yielded tsr up to 10 ns, demonstrating
the high quality of these PbS layers grown by Ishida’s group at Hamamatsu,
Japan.28
With QW lasers, improved results with significantly lower Pthr are
expected. With these more-sophisticated structures using PbSe QWs of
various thicknesses and numbers, lower thresholds were indeed achieved.2,29,30
Figure 11.5 gives an example for a structure containing 7 PbSe QWs,
each 9.5-nm thick, embedded in Pb0.93Sr0.07Se barrier layers. However, the
Shockley–Read lifetimes deduced from the calculation are as short as 0.1 ns,

Figure 11.5 Calculated Pthr of a VECSEL with 7 PbSe QWs, each 9.5-nm thick and
separated by Pb0.93Sr0.07Se barrier layers for Shockley Read lifetimes of 0.1 and 1 ns
(dashed lines), in comparison to the experimental values (points) (reprinted from Ref. 29).
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 253

much shorter than those achieved for homogeneous gain layers, and nearly
three orders of magnitude above the theoretical limit when t sr can be
neglected. With PbS-based QW structures, Shockley–Read lifetimes around
1 ns were obtained, again still much shorter than for “bulk” homogeneous
layers.24
The reasons for this discrepancy remain unclear. One may argue that:
• SR recombination is stronger in 2D (QW) than in 3D (“bulk”)
structures.
• Asymmetrical band offsets lead to carrier leakage at the higher
temperatures.
• 2D Auger recombination is much stronger than in 3D. Such behavior
was found for certain conditions in III-V QW structures.31 For IV-VI
materials, no such calculations are known.
• The design of QW lasers is still far from optimized.
At the time of writing this overview, threshold pump powers have been
significantly decreased to 1 Wp near RT. This is due to improved growth
procedures, design, and fabrication. Details will be described in a forthcoming
article.32

11.4.3 Short cavity and end pumping


All of the VECSELs described so far emit multimode radiation due to the
short free spectral range of centimeter-long cavities. The individual modes
are typically selected by the Si substrate, their spacing corresponding to the
optical thickness of the substrate. However, for spectroscopy, truly mono-
mode lasers are required. The easiest way to achieve single-mode emission is
by reducing the cavity length until only one longitudinal mode can develop
across the spectral gain region of the active material. This means a cavity
length of 30 to 200 mm. With such short cavities, optical pumping from
the side is no longer possible. End pumping, i.e., pumping through one of the
cavity mirrors, is therefore required.
Figure 11.6 shows the schematic setup. The pump beam enters through
the top curved Bragg mirror, which consists of a curved Si substrate covered
with layers of nonepitaxial Si as H- and SiOx as L-index material to form the
H/L pairs. This combination is transparent for the excitation beam with
1.55-mm wavelength. Spectral tuning is obtained by slightly changing the
length of the cavity with a piezoelectric crystal.33,34 This leads to a completely
continuous, mode-hop-free tuning. The tuning range extends over the entire
spectral gain region. The beam is monomode provided the cavity is short
enough that only one fixed longitudinal mode can be excited. In addition, one
must ensure that only the fundamental TEM00 mode (no other higher
transversal modes) develops. This is achieved by either proper focusing of the
254 Chapter 11

Figure 11.6 Layout of a tunable monomode VECSEL with end pumping (reprinted from
Ref. 34).

Figure 11.7 Normalized spectra for different piezovoltages of a tunable monomode PbSe
QW VECSEL (reprinted from Ref. 2).

pump beam onto the surface of the gain layer, or by limiting the lateral
extension of the excited region by, e.g., an aperture.
In Fig. 11.7, some results for a tunable PbSe QW VECSEL designed to
probe C–H absorption lines around 3.3-mm wavelength are shown. The cavity
length is around 50 mm, and operation is near RT. The normalized spectra at
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 255

Figure 11.8 Measured transversal intensity distribution of the output beam of the
monomode VECSEL. The observed single TEM00 mode is circular with an angle of the
output cone of a few degrees (reprinted from Ref. 35). (See color plate section.)

different voltages of the piezocrystal are true monomode for 5–25 V


piezovoltages. This corresponds to a tuning range of 3%. At lower and
higher voltages, the next longitudinal mode starts to develop. With the still
shorter cavity length, this mode switching would be absent, as the monomode
range would extend due to the increased spacing between the modes. Typical
output powers are up to 20 mWp in this example. The lateral intensity
distribution of the beam is TEM00 (Fig. 11.8).
As a first test for spectroscopic applications, an absorption line of H2O
vapor around 1844 cm 1 (5.42 mm) was measured. This is shown in Fig. 11.9
together with HITRAN simulation. The spectrum was recorded with a PbTe-
based VECSEL at atmospheric pressure and air humidity of 50%. Due to
the high pressure the absorption line is broadened with few characteristic
features. Therefore only a upper limit of 0.05 cm 1 for the linewidth of the
laser can be determined.

11.5 Conclusions
Lead chalcogenide (IV-VI) narrow-bandgap semiconductors are the only
material system suited to fabricate VECSELs (also called disk lasers) for the
mid-infrared range (3 to >10 mm wavelength), while all III-V-based lasers
such as QCLs or ICLs for this range are edge emitters. VECSELs offer several
advantages compared to edge emitters as they can be easily tuned, are
scalable, and exhibit a high beam quality.
256 Chapter 11

Figure 11.9 Absorption spectrum of H2O vapor around 1844 cm1 (5.42 mm) recorded
with a PbTe-based tunable monomode VECSEL (reprinted from Ref. 34).

The IV-VI VECSELs described in this chapter are grown by MBE on Si


substrates and are extremely compact. They are optically pumped with near-
infrared laser diodes through one mirror of the cavity, the length of which is
on order of 50 mm. Tuning by only changing the cavity length is completely
mode-hop free and extends up to several percent of the emission wavelengths.
The circular and true monomode (TEM00) emitted beam exhibits a small
output-cone angle of a few degrees, thus leading to very simple beam-
conditioning optics. Applications include gas spectroscopy for environmental
monitoring, industrial process control, and medical use.

References
1. A. W. Mantz, A. I. Nadezhdinskii, M. W. Sigrist, and F. K. Tittel, Articles
from Special Issue: Selected papers presented at the 7th International
Conference on Tunable Diode Laser Spectroscopy,” Appl. Phys. B 100(2),
231–232 (2009).
2. M. Fill, F. Felder, M. Rahim, A. Khiar, R. Rodriguez, H. Zogg, and
A. Ishida, “IV-VI mid-infrared VECSEL on Si-substrate,” Proc. SPIE
8242, 82420H (2012) [doi 10.1117/ 12.905643].
3. M. Kuznetsov, F. Hakimi, R. Sprague, and A. Mooradian, “High-power
(>0.5 W) CW diode pumped vertical-external-cavity surface emitting
semiconductor lasers with circular TEM00 beams,” IEEE Photon.
Technol. Lett. 9, 1063 (1997).
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 257

4. S. Calvez, J. E. Hastie, M. Guina, O. G. Okhotnikov, and M. D. Dawson,


“Semiconductor disk lasers for the generation of visible and ultraviolet
radiation,” Laser & Photon. Rev. 3, 407–434 (2009).
5. N. Schulz, J.-M. Hopkins, M. Rattunde, D. Burns, and J. Wagner, “High-
brightness long-wavelength semiconductor disk lasers,” Laser & Photonics
Rev. 2, 160 (2008).
6. B. Rösener, M. Rattunde, R. Moser, S. Kaspar, T. Töpper, C. Manz,
K. Köhler, and J. Wagner, “Continuous-wave room-temperature opera-
tion of a 2.8 mm GaSb-based semiconductordisk laser,” Opt. Lett. 36, 319
(2011).
7. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and
A. Y. Cho, “Quantum cascade laser,” Science 264, 553 (1994).
8. I. Vurgaftman, W. W. Bewley, C. L. Canedy, C. S. Kim, M. Kim,
J. R. Lindle, C. D. Merritt, J. Abell, and J. R. Meyer, “Mid- type-II
interband cascade lasers,” IEEE J. Sel. Topics in QE 17, 1435 (2011).
9. B. G. Lee, M. A. Belkin, R. Audet, J. MacArthur, L. Diehl, C. Pflügl,
F. Capasso, D. C. Oakley, D. Chapman, A. Napoleone, D. Bour,
S. Corzine, G. Höfler, and J. Faist, “Widely tunable single-mode quantum
cascade laser source for mid-infrared spectroscopy,” Appl. Phys. Lett. 91,
231101 (2007).
10. D. Khokhlov, Ed., Lead Chalcogenides: Physics and Applications, Taylor
& Francis Books, New York and London (2003).
11. P. R. Norton, “Infrared image sensors,” Opt. Engin. 30 1649 (1991) [doi:
10.1117/12.56001].
12. H. Holloway, “Thin film IV-VI semiconductor photodiodes,” in Phys.
Thin Films 11, 105–203 (1980).
13. H. Zogg, and M. Hüppi, “Growth of high quality epitaxial PbSe onto Si
using a (Ca,Ba) F2 buffer layer,” Appl. Phys. Lett. 47, 133 (1985).
14. P. Müller, H. Zogg, A. Fach, J. John, C. Paglino, A. N. Tiwari,
M. Krejci, and G. Kostorz, “Reduction of threading dislocation densities
in heavily lattice mismatched PbSe on Si(111) by glide,” Phys. Rev. Lett.
78, 3007 (1997).
15. H. Zogg, K. Alchalabi, D. Zimin, and K. Kellermann, “Two-dimensional
monolithic lead chalcogenide infrared sensor arrays on silicon read-out
chips and noise mechanisms,” IEEE Trans. Electron Dev. ED50, 209–214
(2003).
16. J. D. Benson, S. Farrell, G. Brill, Y. Chen, P. S. Wijewarnasuriya,
L. O. Bubulac, P. J. Smith, R. N. Jacobs, J. K. Markunas, M. Jaime-
Vasquez, L. A. Almeida, A. Stoltz, U. Lee, M. F. Vilela, J. Peterson,
S. M. Johnson, D. D. Lofgreen, D. Rhiger, E. A. Patten, and P. M. Goetz,
258 Chapter 11

“Dislocation analysis in (112)B HgCdTe/CdTe/Si,” J. Electronic Mater. 40,


1847 (2011); A. J. Stoltz, J. D. Benson, M. Carmody, S. Farrell,
P. S. Wijewarnasuriya, G. Brill, R. Jacobs, and Y. Chen, “Reduction of
dislocation density in HgCdTe on Si by producing highly reticulated
structures,” J. Electronic Mater. 40(8), 1785 (2011).
17. T. Schwarzl, W. Heiß, and G. Springholz, “Ultra-high finesse IV-VI
microcavities for the mid-infrared,” Appl. Phys. Lett. 75, 1246 (1999).
18. F. Felder, M. Arnold, M. Rahim, C. Ebneter, and H. Zogg, “Tuneable
lead-chalcogenide on Si resonant cavity enhanced midinfrared detector,”
Appl. Phys. Lett. 91, 101102 (2007).
19. M. Tacke, “Lead-salt lasers,” Philos. Trans. Royal Soc. London A 359,
547 (2001).
20. H. Zogg and A. Ishida, “IV-VI (Lead Chalcogenide) Infrared Sensors and
Lasers,” in Infrared Detectors and Emitters, Materials and Devices,
P. Capper, C. T. Elliott, Eds., Kluwer Academic Publ., Amsterdam,
pp. 43–75 (2000).
21. T. Schwarzl, G. Springholz, M. Böberl, E. Kaufmann, J. Roither,
W. Heiss, J. Fürst, and H. Pascher, “Emission properties of 6.7 mm
continuous-wave PbSe-based vertical-emitting microcavity lasers operat-
ing up to 100K,” Appl. Phys. Lett. 86, 031102 (2005).
22. W. Heiss, T. Schwarzl, G. Springholz, K. Biermann, and K. Reimann,
“Above-room-temperature mid-infrared lasing from vertical-cavity sur-
face-emitting PbTe quantum-well lasers,” Appl. Phys. Lett. 78, 862 (2001).
23. M. Eibelhuber, T. Schwarzl, S. Pichler, W. Heiss, and G. Springholz,
“Near room temperature continuous-wave laser operation from type-I
interband transitions at wavelengths beyond 4 mm,” Appl. Phys. Lett. 97,
061103 (2010).
24. A. Ishida, Y. Sugiyama, Y. Isaji, K. Kodama, Y. Takano, H. Sakata,
M. Rahim, A. Khiar, M. Fill, F. Felder, and H. Zogg, “2 W high
efficiency PbS mid-infrared surface emitting laser,” Appl. Phys. Lett. 99,
121109 (2011).
25. M. Rahim, “Lead Chalcogenide Mid-Infrared Vertical External Cavity
Surface Emitting lasers,” Ph.D. thesis: Diss. ETH No. 19288 (2010).
26. R. Klann, T. Höfer, R. Buhleier, T. Elsaesser, and J. W. Tomm, “Fast
recombination processes in lead chalcogenide semiconductors studied via
transient optical nonlinearities,” J. Appl. Phys. 77, 277 (1995).
27. H. Zogg, “Dislocation reduction by glide in epitaxial IV-VI layers on Si
substrates,” J. Electronic Mat. 41, 1931 (2012).
28. A. Khiar, M. Rahim, M. Fill, F. Felder, H. Zogg, D. Cao, S. Kobayashi,
T. Yokoyama, and A. Ishida, “Modular PbSrS/PbS mid-infrared vertical
Mid-infrared Tunable Surface-Emitting Lasers for Gas Spectroscopy 259

external cavity surface emitting laser on Si,” J. Appl. Phys. 110, 023101
(2011).
29. M. Fill, A. Khiar, M. Rahim, F. Felder, and H. Zogg, “PbSe quantum
well mid-infrared vertical external cavity surface emitting laser on
Si-substrates,” J. Appl. Phys. 77, 277 (1995).
30. M. Fill, “PbSe quantum well based mid-infrared vertical surface emitting
lasers on silicon,” Ph.D. thesis: Diss. ETH No. 19980 (2011).
31. R. G. Bedford, G. Triplett, D. H. Tomich, S.W. Koch, J. Moloney, and
J. Hader, “Reduced Auger recombination in mid-infrared semiconductor
lasers,” J. Appl. Phys. 110, 073108 (2011).
32. M. Fill and F. Felder, article in preparation for journal submission.
33. A. Khiar, M. Rahim, M. Fill, F. Felder, F. Hobrecker, and H. Zogg,
“Continuously tunable monomode mid-infrared vertical external cavity
surface emitting laser on Si,” Appl. Phys. Lett. 97, 151104 (2010).
34. M. Rahim, A. Khiar, M. Fill, F. Felder, and H. Zogg, “Continuously
tunable singlemode VECSEL at 3.3 mm wavelength for spectroscopy,”
Electron. Lett. 47, 1037–1039 & 1008 (2011).
35. H. Zogg, “Lead chalcogenide widely tunable monomode vertical external
cavity surface emitting layer (VECSEL) near room temperature,”
(abstract) http://miomd-11.northwestern.edu/technical/speakers.php.

Hans Zogg received both his diploma and Ph.D. from


ETH Zurich. After some industrial research positions,
he rejoined ETH Zurich, where he received his
habilitation in 1988 as a private lecturer and founded
a group whose main activities were in infrared materials
and devices as well as thin film photovoltaics. His main
interests are the physics and technology of lead-
chalcogenide (IV-VI) narrow-gap semiconductors. He
was the first to epitaxially grow device-quality narrow-gap semiconductors on
Si substrates and demonstrated mid-infrared photovoltaic detectors and
detector arrays in these layers as early as 1984. He devoted considerable
research to understanding the mechanical strain relaxation mechanism and
the reduction of dislocation densities due to the huge lattice- and thermal-
expansion mismatch between the layers and the Si substrate, and the influence
of defects on the electronic properties of heteroepitaxial IV-VI mid-IR
devices. In addition, mid-infrared VECSELs fabricated with epitaxial IV-VI
layers on Si were successfully developed in his group. These currently span the
2.5- to 15-mm wavelength range. Their superior properties, including wide
260 Chapter 11

monomode tunability, led to the foundation of the ETH-spin-off company


Phocone AG in 2011.

Ferdinand Felder received his diploma in physics from


the Technical University Vienna in 2005. He joined the
group of Hans Zogg at ETH Zurich in 2004 to work on
his diploma thesis and then continued to work on his
Ph.D., which he received from ETH Zurich in 2009. In
2010 he received a postgraduate degree in management
and economics from ETH Zurich, during the course of
which he worked at the Beijing, China office of a large
German railroad company. His research interest focuses on the growth and
processing of multilayer structures for optoelectronic devices based on lead-
chalcogenide (IV-VI) narrow-gap semiconductors and the use of microelec-
tromechanical system (MEMS) micromirrors. He significantly contributed to
the development of room-temperature-operated single-mode tunable lasers
emitting at mid-infrared wavelengths. As an ETH Zurich Pioneer Fellow, he
developed the business strategy for commercializing this unique laser
technology and initiated the technology transfer into the start-up company
Phocone AG, of which he is cofounder and chief executive officer.

Matthias Fill received his diploma in physics from the


University of Vienna in 2007. He joined the group Thin
Film Physics at ETH Zurich, where he received his Ph.D.
in 2011. The topics of his research are single-mode lasers
based on IV-VI materials such as PbSe and PbTe. He is
the cofounder and chief technology officer of Phocone
AG, a company developing continuously tunable lasers
on silicon in the mid-infrared-wavelength region.
Chapter 12
Frequency Noise and Linewidth
of Mid-infrared Continuous-
Wave Quantum Cascade
Lasers: An Overview
Stéphane Schilt, Lionel Tombez, Gianni Di Domenico, and Daniel Hofstetter
Laboratoire Temps-Fréquence, Université de Neuchâtel, Neuchâtel,
Switzerland

12.1 Introduction
12.2 Frequency Noise and Laser Linewidth in QCLs: Experimental Methods
12.2.1 Relation between frequency noise and laser linewidth
12.2.2 Frequency noise measurement methods
12.3 Intrinsic Linewidth in QCLs
12.4 Impact of Technical Noise on the QCL Experimental Linewidth
12.5 Overview of Reported Frequency Noise Spectra in 4 to 5 mm QCLs
12.5.1 Free running QCLs
12.5.2 Frequency stabilized QCLs
12.6 Temperature Dependence of the Frequency Noise in a QCL
12.7 The Origin of Frequency Noise in QCLs
12.8 Conclusion and Outlook
References

12.1 Introduction
Since their first demonstration in 1994,1 quantum cascade lasers (QCLs) have
shown remarkable improvements in terms of technology and overall
performance. The first devices were operated in pulsed mode only, at
cryogenic temperatures, with a multimode emission and a tiny average output
power. In less than one decade, advancements in the design, fabrication, and

261
262 Chapter 12

processing of QCLs have led to important achievements, such as pulsed


operation at room temperature,2 strong reduction in the threshold current and
dissipated electrical power, single-mode continuously tunable emission with
distributed-feedback (DFB) gratings,3 continuous-wave (CW) operation at
cryogenic temperature in a first step and at room temperature currently in
several spectral ranges,4 as well as a high output power (up to several
hundreds of milliwatts in single-mode operation). All of these milestones
made QCLs very versatile laser sources in the mid-infrared spectral region,
leading to applications in various fields covering, e.g., defense (directional
infrared countermeasure systems for civil and military aircrafts) or free-space
optical communications.5 However, their broad spectral coverage over the
important mid-infrared fingerprint region has so far resulted in the most
widespread use of QCLs in the field of high-precision and high-resolution
spectroscopy and trace gas sensing. Owing to their nice spectral properties as
well as their fast tuning and direct-modulation capabilities via their injection
current, QCLs have been implemented in many sensitive spectroscopy
techniques, such as long path length,6 balanced detection,7 wavelength-
modulation spectroscopy,8 frequency-modulation spectroscopy,9 photoacoustic
or quartz-enhanced photoacoustic spectroscopy,10,11 and cavity ring-down
spectroscopy.12
An essential requirement for high-resolution spectroscopy applications
and trace gas sensing is the spectral purity of the laser source, which needs to
be single mode and narrow linewidth. For a QCL, this implies CW operation
because pulsed operation leads to an important chirp of the QCL frequency
that results from the thermal heating of the laser structure during the current
pulses.13 Even with extremely short pulses of 5 ns, an effective QCL linewidth
in the range of 250 MHz has typically been observed,14 whereas longer pulses
(10–50 ns) can lead to a linewidth broader than 1 GHz,15,16 which becomes
similar to the typical width of rovibrational transitions of small molecules at
atmospheric pressure.17 Continuous wave DFB QCLs have a much narrower
linewidth in the 1- to 10-MHz range.18 24 This is most often fully adequate for
trace gas sensing but may still be a limiting factor in some particular high-
resolution spectroscopy applications. As a typical example, Bartalini et al.
reported that the molecular linewidth of a Doppler-free CO2 transition at
4.3 mm obtained by polarization spectroscopy was larger than expected,
limited by the emission linewidth of the DFB QCL used in their setup.25 In
their comb-assisted spectroscopy experiments of CO2 at 4.3 mm, performed
with a QCL referenced to a mid-infrared optical frequency comb, Gambetta
et al.26 also mentioned that the precision of the determined CO2 self-broadening
coefficient was limited by the emission linewidth of their DFB QCL. Finally, in
the very recent demonstration by Hugi et al.27 of a mid-infrared frequency
comb directly generated from a broadband QCL, the linewidth of an
individual QCL comb mode could not be assessed from the heterodyne beat
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 263

with a reference CW QCL, since the beat linewidth was dominated by the
contribution of the CW laser.
Therefore, many applications would greatly benefit from QCLs with
narrower linewidth, and the development of such lasers is of significant
interest for high-resolution spectroscopy. External cavity (EC) QCLs have
been developed, achieving a large tuning range of over 15% of their central
wavelength,28 but so far without significant improvement in terms of
linewidth as compared to DFB QCLs.29 In order to develop QCLs with
narrower linewidth, the mechanisms contributing to the linewidth need to be
better understood. Generally speaking, fluctuations of the laser emission
frequency occurring at different timescales are responsible for the broadening
of the linewidth. These fluctuations are characterized by the laser frequency
noise power spectral density (PSD), expressed in units of Hz2/Hz. Until
recently, this quantity has been studied and optimized very little in QCLs.
This is in sharp contrast to the other parameters that are more commonly
considered, such as the output power or the wavelength tuning, to name a few.
However, there has been a growing interest during the last couple of years for
this topic, ranging from basic studies of the frequency noise in QCLs at either
cryogenic19 or room temperature,20,21,24 to studies of its dependence as a
function of the laser temperature,22 and investigations of its possible
origin,22,23 as well as studies in relation to the frequency stabilization of
mid-infrared QCLs.30 33
In this chapter, we present an overview of experimental results obtained in
recent years on the frequency noise of QCLs. The overview is based on a
compilation of both our own work and studies from other laboratories. We
also briefly discuss the frequency noise reduction obtained by different active
stabilization methods. First of all, we begin in Section 12.2 with a short
reminder about the relation between frequency noise and linewidth in a laser
and show how the frequency noise spectrum of a QCL can be experimentally
measured. Then we discuss the intrinsic linewidth of QCLs in Section 12.3 and
the impact of technical noise on the experimentally observed linewidth in
Section 12.4. Subsequently, we revisit the experimental results on the
frequency noise measured in different QCLs reported worldwide (Section
12.5), and we present our study of the temperature dependence of the
frequency noise in a 4.6-mm QCL (Section 12.6). Finally, Section 12.7
mentions some aspects of the origin of frequency noise in QCLs.

12.2 Frequency Noise and Laser Linewidth in QCLs:


Experimental Methods
12.2.1 Relation between frequency noise and laser linewidth
It is convenient to characterize the spectral purity of a laser by the full width at
half maximum (FWHM) linewidth of the optical lineshape. The linewidth can
264 Chapter 12

be directly measured from the heterodyne beat between the laser under test
and a reference laser or from self-homodyning using a long-delay-line
interferometer.34 This method is straightforwardly applicable to near-infrared
lasers but not to QCLs due to the lack of low-loss and affordable optical fibers
in the mid-infrared spectral region.
The linewidth is a single value that is adequate for a rough comparison of
the spectral properties of different lasers, but it gives a very incomplete view of
the spectral distribution of the laser frequency noise. On the other hand,
complete information is given by the laser frequency noise PSD Sdn ðf Þ, which
represents the spectral distribution of the laser frequency fluctuations.
Knowing the frequency noise PSD of a laser, its exact lineshape and the
corresponding linewidth can be calculated with the two-step integration
introduced by Elliott et al.35 and discussed later on by other authors:36 38
2 0 13
Z1 Z1 2
sin ðpf tÞ
SE ðnÞ ¼ 2 e i2pnt 4E02 ei2pn0 t exp@ 2 Sdn ðf Þ df A5dt: ð12:1Þ
f2
1 0

The first integration step consists of calculating the autocorrelation


function E (t) of the electrical field, which is represented by the term in the
square brackets in Eq. (12.1). Then, the optical spectrum SE(n) is obtained in
the second step by a Fourier transform of E(t). Equation (12.1) can be
analytically solved only in the simple case of a pure-white frequency noise
Sdn ð f Þ ¼ S0 ,36 leading to the well-known Lorentzian lineshape with a FWHM
DnL ¼ pS0 . In all other cases, including any real laser frequency noise spectra
encountered in practice, Eq. (12.1) must be numerically integrated. As
discussed in Ref. 39, this procedure is not straightforward, and great care is
needed in its implementation to obtain the correct laser optical lineshape
without any numerical artifact. In order to circumvent this numerical
integration, we introduced a simple approximation to determine the linewidth
of a laser from an arbitrary frequency noise spectrum, based on the concept of
the b-separation line that divides the frequency noise PSD into two
geometrical surfaces with a strongly different impact on the laser lineshape.40
The b-separation line is defined as Sdn ð f Þ ¼ ð8lnð2Þ=p2 Þ  f . It is a line of
slope 0.56 in the linearly plotted frequency noise PSD. Only the noise
components for which Sdn ð f Þ > ð8lnð2Þ=p2 Þ  f (corresponding to the slow-
modulation area) contribute to the linewidth of the signal, whereas the
components for which Sdn ð f Þ < ð8lnð2Þ=p2 Þ  f (fast-modulation area) only
affect the wings of the lineshape, without contributing to the linewidth. The
FWHM linewidth can thus be approximated from the surface A of the slow-
modulation area (see Fig. 12.1):
p
FWHM ¼ 8lnð2ÞA: ð12:2Þ
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 265

Figure 12.1 Measured frequency noise spectrum of a 4.6-mm DFB QCL composed of
flicker (1/f ) noise. An approximate linewidth is obtained from the surface A (colored area in
the plot) of the slow-modulation area for which the frequency noise PSD exceeds the
b-separation line (dashed red line). A low-frequency cutoff fc ¼ 200 Hz (to ¼ 5 ms) is
introduced in the calculation of the surface A to prevent the divergence of the surface and of
the retrieved linewidth for infinite observation times (adapted from Ref. 24).

The surface A is the geometrical area under the frequency noise PSD
obtained for all Fourier frequencies for which Sdn( f ) exceeds the
b-separation line.40 In the case of a typical frequency noise spectrum
dominated by flicker (1/f ) noise, as displayed in Fig. 12.1 for a DFB QCL
at 4.6 mm, a cutoff frequency fc (inverse of the observation time to in which
the linewidth is measured, fc ¼ 1/to) needs to be introduced to prevent the
divergence of the surface A at low frequency. An experimental validation of
the approximated linewidth given by Eq. (12.2) proved its accuracy (within
the experimental uncertainties) over more than three decades of linewidth
values.39 In the example of Fig. 12.1, the linewidth of the DFB QCL
approximated using Eq. (12.2) is around 900 kHz for a cutoff frequency of
1 Hz (1-s observation time) and 560 kHz for a cutoff frequency of 200 Hz
(5-ms observation time).

12.2.2 Frequency noise measurement methods


Different methods can be used to measure the frequency noise spectrum of a
laser. One technique makes use of an optical frequency discriminator to
convert the laser frequency fluctuations into intensity fluctuations. An
optical frequency discriminator is a device having a frequency-dependent
transmission or reflection in a restricted frequency range. A molecular
transition in a gas-filled absorption cell has been the most common optical
frequency discriminator used with QCLs in the mid-infrared. Alternatively,
a resonance of an optical Fabry–Pérot cavity can be used in a similar
266 Chapter 12

manner, but this has been rarely implemented with QCLs in the mid-
infrared, in contrast to the near-infrared where the method is quite common
owing to the much easier availability of Fabry–Pérot cavities. N2O at
8.5 mm,41 CO2 at 4.3 mm,19,21,23 or CO at 4.6 mm20,22,24 are some examples
of reported molecular frequency discriminators. This method has also been
implemented recently with a terahertz QCL using a Doppler-broadened
methanol molecular transition.42 The laser under test is generally tuned to
the side of the absorption line, to a linear region where frequency
fluctuations are linearly converted into intensity fluctuations that are
subsequently measured with a photodiode. Reduced cell pressure operation
is required to achieve a narrow-linewidth absorption feature, providing a
high conversion factor of frequency noise into intensity noise (the so-called
discriminator slope). The discriminator slope must be sufficiently high that
the contribution of the frequency noise converted into intensity noise is
much larger than the direct contribution of the laser intensity noise, which
also impacts the detector signal. The parameters of the gas cell (path length,
gas pressure) must therefore be properly selected in order to optimize the
discriminator slope.24
The experimental setup implemented in our studies is shown in Fig. 12.2.
The strongly divergent QCL emission is collimated with an aspheric ZnSe

Figure 12.2 Scheme of the experimental setup used to measure the frequency noise of a
QCL at 4.6 mm. The R(14) CO absorption profile measured in the gas cell is shown in the
lower part of the figure. The linear side of the absorption profile is used as a frequency
discriminator to convert frequency noise (FM) into intensity noise (IM). The discriminator
slope D is indicated by the dashed line.
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 267

lens, then passes through the gas-filled absorption cell and is finally focused
onto a low-noise HgCdTe photodiode. The cell is only 1-cm long and is
filled with pure CO at a pressure of about 20 mbar, which is sufficient to
produce a high absorption, as a result of the intense linestrength of the CO
lines in the fundamental vibrational band. The voltage fluctuations of the
photodiode output signal are measured with a fast Fourier transform (FFT)
spectrum analyzer for Fourier frequencies of up to 100 kHz and with an
electrical spectrum analyzer (ESA) at higher frequencies. The PSD of the
photodiode output voltage is converted into frequency noise PSD of the
laser by scaling with the squared value of the measured discriminator slope
D, which is determined from the recorded cell transmission spectrum
obtained when scanning the laser through the absorption line. A linear fit of
the side of the molecular transmission profile provides the discriminator
slope, as shown in Fig. 12.2 for the R(14) rovibrational transition of CO at
2196.6 cm 1.
A second method to measure the frequency noise spectrum of a laser is
by beating the laser under test with a reference laser and by analyzing the
frequency noise of the radio-frequency (RF) beat with an RF discriminator.
The method is particularly attractive at wavelengths where a proper optical
discriminator is not available, but the price to pay is the need for a second
laser. This reference laser is either similar to the first one or has a much
smaller frequency noise. In the first case, the two lasers are considered to
contribute equally to the noise of the RF beat, and the frequency noise PSD
of the beat signal is twice that of a single laser. In the second case, the
frequency noise PSD of the laser under test is directly obtained since the
noise of the reference laser is negligible. An RF discriminator has been
successfully implemented to characterize near-infrared lasers43,44 but, to the
best of our knowledge, has not been used so far with QCLs.
Finally, a third method to measure the frequency noise spectrum of a
QCL was recently proposed by Knabe et al.,29 based on the characteriza-
tion of the instantaneous optical frequency of an EC QCL by comparison
to a near-infrared optical frequency comb. The near-infrared fiber comb
centered at 1550 nm was combined with the 4.5-mm QCL in a nonlinear
crystal to generate a new frequency comb at 1150 nm by sum-frequency
generation. This new comb combines the frequency properties of the QCL
and of the near-infrared comb. The frequency fluctuations of the QCL
were obtained by a subsequent heterodyning of the 1150-nm comb with a
supercontinuum comb generated from the spectrally broadened near-
infrared comb. The resulting beat signal contains mainly the frequency
fluctuations of the QCL, the contribution of the comb repetition rate being
negligible for a stabilized comb. The beat signal was digitized and
processed to extract the QCL instantaneous frequency and its frequency
noise spectrum.
268 Chapter 12

12.3 Intrinsic Linewidth in QCLs


The intrinsic (or “instantaneous”) linewidth of a laser is due to spontaneous
emission in the gain medium. Spontaneous emission gives rise to a white
frequency noise PSD, which leads to a Lorentzian lineshape with a theoretical
linewidth given by the Schawlow–Townes formula,45 modified by C. H. Henry
to account for the coupling between amplitude and phase noise in semi-
conductor lasers:46
v2g hvnsp atot am ð1 þ a2e Þ
DnST ¼ : ð12:3Þ
4pP0
Here, vg is the group velocity, nsp the spontaneous emission factor, atot the
total losses in the cavity, am the mirror losses, ae the linewidth enhancement
factor,46 and P0 the output power. QCLs are known to have a narrow intrinsic
linewidth that results from their tiny linewidth enhancement factor ae and
from the presence of ultrafast radiative processes.47 The linewidth enhance-
ment factor accounts for the variations in the refractive index of the gain
medium due to fluctuations of the carrier density. It has quite a large value of
typically 2 to 10 in interband diode lasers,48 resulting from the asymmetry of
the gain curve, which leads to a broadening by a factor (1 þ ae2) of the
intrinsic linewidth compared to the Schawlow–Townes formula. In contrast,
the gain curve is symmetric in QCLs because of the intersubband transition
between discrete levels, both located in the conduction band. As a
consequence, the linewidth enhancement factor is expected to be close to
zero, which was indeed experimentally observed.49 Even if this is strictly valid
in a DFB QCL only when the laser emission line is centered exactly to the gain
peak (i.e., when the DFB grating is tuned to the gain peak), the ae factor
remains small when the DFB grating is only slightly detuned from the gain
curve. This implies that the major current-tuning mechanism in a QCL is of
thermal origin (dependence of the gain curve and DFB grating with respect to
the dissipative heating induced by the driving current). A second important
consequence of the small ae factor in QCLs in the context of this paper is that
current noise induces frequency noise via thermal mechanisms.
Because of the presence of 1/f noise at low frequency, the white frequency
noise of a QCL can be observed only as a high-frequency plateau. Bartalini
et al. experimentally observed an upper limit of 163 Hz2/Hz for the white
frequency noise of a 4.33-mm DFB QCL operated at cryogenic temperature
(85 K) at Fourier frequencies around 100 MHz, corresponding to an intrinsic
linewidth of about 510 Hz.19 The frequency noise spectrum of the room-
temperature DFB QCL displayed in Fig. 12.1 shows an upper limit for the
white frequency noise of roughly 100 Hz2/Hz (at 10 MHz), even though one
cannot certify that the white frequency noise level is reached in this
measurement due to the limited detector bandwidth. This corresponds to an
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 269

upper limit for the intrinsic linewidth of 300 Hz, a value that is interesting to
compare with the theoretical linewidth given by Eq. (12.3). As already
mentioned, the linewidth enhancement factor ae is close to zero in a QCL, and
one can reasonably consider ae ¼ 0 in Eq. (12.3). A delicate aspect in properly
evaluating the Schawlow–Townes linewidth for a DFB QCL lies in the
difficulty in determining both the total cavity losses atot ¼ am þ awg (mirror
and waveguide) and the mirror losses am to compute the intracavity optical
power from the output power P0. It is not correct to consider the losses of a
Fabry–Pérot laser, which can be straightforwardly determined. This may lead
to an overestimation of the theoretical linewidth because the losses of the
grating (aDFB) need to be accounted for in a DFB laser, and these losses are
generally lower than the mirror losses in a Fabry–Pérot laser. The total losses
in our DFB QCL were estimated by comparing its threshold current with
those of a similar Fabry–Pérot device (same manufacturer and close design,
but with slightly different dimensions), assuming that the ratio of the total
losses coincides with the ratio of the threshold currents. Considering similar
waveguide losses awg  4.5 cm 1 in both cases (as measured by the
manufacturer on Fabry–Pérot devices), the grating losses are estimated to
aDFB ¼ 1.47 cm 1, which is in relatively good agreement with data reported
for a 9-mm DFB QCL (awg ¼ 6.7 cm 1, aDFB ¼ 0.7 cm 1).50 For comparison,
the mirror losses in the Fabry–Pérot laser were higher: am ¼ 2.7 cm 1. With a
spontaneous emission coefficient nsp ¼ 1, an optical power P0 ¼ 6 mW, and
using typical values of the laser parameters provided by the manufacturer, an
intrinsic linewidth DnST  380 Hz is obtained. This value is very slightly
affected by the only partial knowledge of the waveguide losses in the
Fabry–Pérot laser, as changing these losses in the range of 4 to 5 cm 1 only
changes the computed intrinsic linewidth by a couple of hertz. The calculated
theoretical linewidth is thus in good agreement with the value of 300 Hz
assessed from the frequency noise spectrum.

12.4 Impact of Technical Noise on the QCL Experimental


Linewidth
Despite the extremely narrow intrinsic linewidth of QCLs discussed in Section
12.3, the real linewidth observed in practical applications is much broader, in
the megahertz or submegahertz range in the best case,24 which means that the
intrinsic linewidth is never observed in practice. This is a consequence of the
flicker noise that affects any free-running QCL at low Fourier frequency, as
well as most other semiconductor devices. Here, we discuss a prerequisite to
achieving a narrow linewidth in a QCL: the need to not be limited by technical
noise external to the QCL. This includes both laser temperature and driving
current. Any temperature or current variation translates into a fluctuation of
the laser frequency via the temperature- and current-tuning coefficients of the
270 Chapter 12

laser, Dn/DT and Dn/DI, respectively. These are typically on the order of
5 GHz/K and 0.3–1 GHz/mA in DFB QCLs at 4- to 5-mm wavelength. An
average temperature stability of the laser of 0.01 K is usually sufficient and
is achievable with a standard regulation loop that includes a thermoelectrical
cooler and a negative thermal coefficient resistor as a temperature sensor.
Temperature variations arise on a long timescale and only induce a slow drift
of the laser emission frequency.
Much more attention must be paid to the current source that drives the
laser because the current noise of the driver can degrade the laser frequency
noise and therefore broadens the linewidth to a significant extent. A low-noise
driver is required to observe the frequency noise originating from the QCL
itself. We refer to this frequency noise as the noise inherent to the laser (not to
be confused with the intrinsic noise that is responsible for the intrinsic
linewidth discussed in Section 12.3), without limitation from the current noise
of the driver. In Ref. 24, we experimentally compared the impact of two
different current sources on the frequency noise and linewidth of a 4.6-mm
DFB QCL. The current noise of these two drivers was measured on a resistive
load (30 V) at the same voltage and current used to drive the QCL. The
current noise spectral density is shown in Fig. 12.3(a). The first driver (labelled
Driver-1) has a white current noise of about 2 nA/Hz1/2, whereas the second
driver has an average noise floor of 350 pA/Hz1/2 (and even 200 pA/Hz1/2
in the range of 1–10 kHz). The impact on the laser frequency noise is clearly
visible in Fig. 12.3(b): Driver-1 induces an excess frequency noise in the range
of 1 kHz to 3 MHz. The contribution of the current source to the laser
frequency noise, calculated from the white current noise and combined with
the dynamic frequency response of the laser, is also displayed in Fig. 12.3(b).
This current noise fully explains the observed excess frequency noise, which
leads to a laser linewidth of about 1.5 MHz (at 5-ms observation time).
On the other hand, the laser frequency noise spectrum obtained with
Driver-2 corresponds to the noise inherent to the laser, without any
degradation induced by the driver, apart from the small bumps visible
around 400 kHz and at higher frequencies. The corresponding linewidth is
550 kHz (5-ms observation time), a factor of 3 narrower than with the use
of Driver-1. The importance of having a low-noise current source to reach the
frequency noise inherent to the QCL and avoid any excess noise clearly
appears in these results. They show that a current noise of roughly 2 nA/Hz1/2
already broadens the laser linewidth by a factor 3.
The maximum tolerable driver-current noise that enables the inherent
noise of the laser to be reached without degradation from technical noise was
simulated in Ref. 24 for a 4.6-mm DFB QCL. For this purpose, the impact of
different levels of white-current noise on the QCL linewidth was calculated
based on the formalism of Section 12.2.1. White current noise was considered
because it is the most representative type of noise in typical laser drivers.
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 271

Figure 12.3 (a) Current noise spectral density of two QCL drivers. The noise peaks
in the range of 10 100 kHz are experimental artifacts due to the measurement setup.
The noise of Driver-2 below 100 Hz is limited by the 1/f instrumental noise floor.
(b) Frequency noise of a 4.6-mm DFB QCL obtained with the use of two current drivers.
The contribution of the drivers to the frequency noise is displayed as thin lines obtained
by combining the current noise with the measured laser transfer function (shown by
green circles on the right vertical scale). (See color plate section.)

The contribution of the current noise to the laser frequency noise was
calculated taking into account the dynamic frequency response of the laser.
Figure 12.4(a) shows the calculated frequency noise corresponding to three
different levels of current noise: 350 pA/Hz1/2, 2 nA/Hz1/2, and 10 nA/Hz1/2.
The first two values correspond to the noise of the two aforementioned laser
drivers, whereas the highest current noise of 10 nA/Hz1/2 typically corresponds
to some commercial QCL drivers. The calculated impact of the driver current
noise on the QCL linewidth is shown in Fig. 12.4(b). One notices that
the linewidth is unaffected for current noise densities <0.5 nA/Hz1/2 but
drastically increases at a rate of 1.6 MHz/(nA/Hz1/2) for current noise
>1 nA/Hz1/2.
272 Chapter 12

1010

Frequency noise PSD [Hz2/Hz]


10 [nA/ Hz ]

108 2 [nA/ Hz ]

106

104
line
ion
ep arat 2 ) f 350 [pA/ Hz ]
102 -s )
n(2
(8L

100
101 102 103 104 105 106 107 108
Frequency [Hz]
(a)
2
10
FWHM linewidth [MHz]

101

100

10-1
10-1 100 101 102
Current noise [nA/ Hz ]
(b)

Figure 12.4 (a) Simulation of the laser frequency noise induced by drivers with different
current noise densities. (b) Calculated laser linewidth (FWHM) as a function of the driver
current noise density (white current noise is considered). The dashed line represents the
linear broadening rate [1.6 MHz/(nA/Hz1/2)] of the laser linewidth as a function of the
current noise (reprinted from Ref. 24).

12.5 Overview of Reported Frequency Noise Spectra


in 4- to 5-mm QCLs
In this section, we first review and discuss the main results obtained so far on the
frequency noise of free-running QCLs in the 4- to 5-mm spectral range (Section
12.5.1). In Section 12.5.2, we discuss some accomplishments in frequency
stabilization of QCLs, for which the initial frequency noise of the unlocked laser
plays an important role in the achievable performances of the stabilized laser.

12.5.1 Free-running QCLs


Since 2010, at least four different studies have been published about the
frequency noise of DFB QCLs in the 4- to 5-mm range, between our group in
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 273

Table 12.1 Comparison of different studies performed during recent years on the
frequency noise of 4- to 5-mm QCLs (DFB or EC). The reported linewidth is usually
determined from the frequency noise spectrum using either the exact numerical integration
of Eq. (12.1) or the approximation given by Eq. (12.2) for an observation time in the range of
1 to 10 ms. [RT: room temperature (unspecified value).]

Laser type l (mm) Top (K) Manufacturer Discriminator FWHM (MHz) Group Ref.

DFB 4.33 85 Alpes Lasers CO2 line 6 LENS (I) 19


DFB 4.55 277 Alpes Lasers CO line 0.6 Our work 20
DFB 4.36 288 Hamamatsu CO2 line 0.4 LENS (I) 21
DFB 4.48 4.55 128 303 Alpes Lasers CO line 10 0.8 Our work 22
EC 4.5 RT Daylight Beat with 4 NIST (USA) 29
Solutions comb

Neuchâtel, Switzerland and LENS in Florence, Italy. In addition, the


frequency noise of an EC QCL was recently reported by a research group at
National Institute of Standards and Technology (NIST), USA. Table 12.1
summarizes the main characteristics of each of these studies.
The first paper from the LENS group reported the frequency noise and
intrinsic linewidth of a DFB QCL from Alpes Lasers, operated at cryogenic
temperature (85 K) and emitting at 4.33 mm.19 A gas cell filled with CO2 was
used as a frequency discriminator. Then, we reported the first measurement
of the frequency noise spectrum of two 4.55-mm DFB QCLs operated at
room temperature.20 The DFB QCLs were both produced from the same
fabrication run and supplied by Alpes Lasers. In this case, a Doppler-
broadened transition of CO was used as a frequency discriminator. The two
lasers showed a very similar frequency noise spectrum, as shown in Fig. 12.5,
even though they were operated at slightly different temperatures and
currents to reach the CO line. Surprisingly, the frequency noise in these two
lasers was two orders of magnitude lower than that of the cryogenic QCL of
LENS, leading to a one-order-of-magnitude-narrower linewidth. The
linewidth calculated from the frequency noise spectrum using the formalism
described in Section 12.2.1 was around 600 kHz for each laser (at 10-ms
observation time), which is one of the narrowest linewidths achieved for a
free-running QCL over a millisecond timescale. The heterodyne beat of a
width of 1 MHz (FWHM) measured between the two lasers shown in the
inset of Fig. 12.5 is in good agreement with the linewidth assessed from the
frequency noise spectra.
Our observation of a sub-megahertz linewidth for the room-temperature
4.55-mm DFB QCL was followed by a similar result obtained in LENS for
another DFB QCL at 4.3 mm, also operated at room temperature, but
produced by a different supplier (Hamamatsu).21 In comparison, the first
cryogenic QCL characterized in LENS had a linewidth of about 6 MHz.
274 Chapter 12

Figure 12.5 Frequency noise spectra of two similar DFB QCLs from the same fabrication
run, operated in slightly different conditions (QCL-1: T ¼ 5 8C, Iop ¼ 350 mA; QCL-2: T ¼ 5 8C,
Iop ¼ 400 mA). The excess noise at high frequency (around 400 kHz and above 1 MHz) is
due to technical noise induced by the laser driver. Inset: heterodyne beat-note signal between
the two QCLs, showing a beat linewidth of <1 MHz. (See color plate section.)

Figure 12.6 Comparison of the frequency noise PSD reported for different DFB QCLs in
the 4.3- to 4.6-mm range, operated at cryogenic temperature [blue curve (from Ref. 19)] and
room temperature [red and orange curves (from Refs. 20 and 21, respectively, courtesy of
S. Bartalini, LENS)]. (See color plate section.)

Figure 12.6 shows a comparison of the frequency noise spectra obtained for
the three aforementioned DFB QCLs in the Fourier frequency range from
20 Hz to 50 MHz. The two lasers operated at room temperature show a rather
similar 1/f noise spectrum, whereas the cryogenic QCL has a two-orders-of-
magnitude-higher noise at low frequency, namely up to 100 kHz. At higher
frequencies, the noise dependence turns into a 1=f 2 regime in the cryogenic
QCL, and the three lasers seem to reach a similar white frequency noise floor
at high frequency. These results may indicate a general influence of
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 275

temperature on the frequency noise of QCLs, but it is difficult to draw


definitive conclusions from these results, mainly because they were obtained
with different devices and thus were probably influenced by other parameters
such as their different dimensions, design, and fabrication process. Therefore,
the temperature dependence of the frequency noise of a single QCL was
investigated in a broad temperature range and is described in Section 12.6.

12.5.2 Frequency-stabilized QCLs


In order to achieve narrower linewidths as required for high-resolution
spectroscopy applications, active frequency stabilization techniques can be
implemented. The achievable linewidth reduction depends on the stabilization
bandwidth, the noise of the frequency reference used to stabilize the QCL, and
also on the frequency noise PSD of the free-running QCL. Frequency
stabilization of QCLs has been accomplished by various means over the last
decade.
The first realization was reported by R. M. Williams et al.51 Using a
molecular side-locking approach with an electronic feedback to the QCL
current controller, they stabilized an 8.5-mm DFB QCL to a rovibrational
transition of N2O and achieved a linewidth of 12 kHz calculated from the
frequency noise of an out-of-loop error signal.
M. S. Taubman et al.52 stabilized two QCLs at 8.5 mm to optical cavities
using the Pound–Drever–Hall method. By further locking one cavity to the
other one, they achieved a linewidth of 5.6 Hz in the beat between the two
lasers. However, this represents a relative linewidth since the two lasers were
not independent but locked together via the cavities. The noise of the optical
cavities was therefore common to the two lasers and canceled out in the beat
signal. The absolute linewidth of each stabilized QCL was most likely much
broader. A cavity-stabilized QCL was used in noise-immune cavity-enhanced
optical-heterodyne molecular spectroscopy (NICE-OHMS) experiments.53
Phase locking of a 9.2-mm DFB QCL to a single-mode CO2 laser was realized
by F. Bielsa et al.54 With this scheme, they were able to reduce the QCL
linewidth to the level of the CO2 laser, i.e., to the kilohertz range.
The most recent advances in the field of QCL frequency stabilization
consists of linking a QCL to an optical frequency comb in order to transfer the
absolute frequency accuracy of the comb to the QCL and to simultaneously
reduce its linewidth, e.g., for extremely accurate determination of line-center
frequencies and line profile parameters of molecular transitions. Sum- or
difference-frequency generation processes have been used to link a QCL to a
near-infrared fiber-laser comb,30 to a visible Ti:sapphire comb,31 or to a
thulium comb.32 In the first two cases, the comb was referenced to an atomic
clock. The stabilization of the QCL to the comb was conventionally achieved
by phase locking the beat note (between the laser and the comb) to a reference
oscillator30 in order to ensure long-term stability and accuracy, but without
276 Chapter 12

reducing the QCL linewidth. In contrast, optical injection locking has been
recently demonstrated for the first time to link a 4.67-mm QCL to a frequency
comb.31 In this case, a significant reduction of the QCL linewidth to 40 kHz
was achieved. A linewidth of 25 kHz (at 1-ms observation time) was also
achieved by phase locking a 9-mm QCL to a thulium comb.32 However the
comb was free-running in this case, so an absolute frequency accuracy of the
QCL was not achieved.
Finally, a subkilohertz linewidth was reported for a 4.3-mm DFB QCL
stabilized to a sub-Doppler CO2 transition by polarization spectroscopy.33
The linewidth and frequency accuracy of the stabilized QCL was assessed
from the beat signal with a frequency comb.

12.6 Temperature Dependence of the Frequency


Noise in a QCL
The temperature dependence of the flicker frequency noise in a DFB QCL has
been recently investigated.22 In this work, we studied for the first time the
frequency noise of the same device operated over a wide range of
temperatures, extending from 128 to 303 K. The DFB QCL, emitting in the
range of 4.48 to 4.55 mm, was provided by Alpes Lasers. It uses a buried
heterostructure active region with epi-side-up mounting and benefits from a
low-threshold current Ith ranging from 75 to 120 mA over the considered
temperature range. The laser was placed in a cryostat and was driven by a
low-noise current source (with 350-pA/Hz1/2 current-noise PSD) that did not
contribute to the laser frequency noise, as discussed in Section 12.4. The
minimum operating temperature of the laser was restricted to 128 K because
of the increasing voltage across the QCL at low temperature that eventually
reached the 15-V compliance voltage of the driver.
Different rovibrational transitions of CO ranging from R(15) to R(24)
were successively used as frequency discriminators to measure the frequency
noise at the different laser temperatures. The laser drive current was adjusted
to 50% above threshold in each case, and the discriminator slope was
accurately measured at each temperature. Since previous studies made with
QCLs at fixed temperatures showed a large difference in the frequency noise
at low Fourier frequency, but not in the high-frequency white noise floor (see
Fig. 12.6), the study of the frequency-noise temperature dependence was
limited to Fourier frequencies below 100 kHz.
Figure 12.7 shows the frequency noise PSD of the QCL. The frequency
noise PSD remains almost unchanged when the laser temperature is reduced
from room temperature to 200 K. However, it strongly increases at lower
temperature and is enlarged by almost two orders of magnitude at 128 K.
Additional measurements also showed that the frequency noise did not
depend on the drive current I0 in the QCL under test in the investigated
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 277

Figure 12.7 Frequency noise PSD of a 4.5-mm DFB QCL measured at different
temperatures ranging from 128 to 283 K. The laser operating current was adjusted to
I0/Ith  1.5 at each temperature (reprinted from Ref. 22). (See color plate section.)

Figure 12.8 Temperature dependence of the frequency noise PSD in a DFB QCL
measured at 3-kHz Fourier frequency (red diamonds). The gray lines result from a fit of
the experimental data on both sides of the transition occurring at T  200 K,
corresponding to S3kHz ¼ 7  106 Hz2/Hz for T > 200 K and S3kHz(T)  2  1012 exp
( 0.06 T) for T < 200 K. The black crosses represent the noise measured on the voltage
across the laser, converted into an equivalent frequency noise using the laser differential
resistance and the current-tuning coefficient (see discussion in Section 12.7). The yellow
markers represent published values of QCL frequency noise obtained at different
temperatures19–21 (reprinted from Ref. 22). (See color plate section.)

current range I0/Ith ¼ 1.2–1.8. The frequency noise PSD at a particular


Fourier frequency of 3 kHz, S3kHz, was chosen as a parameter to characterize
the temperature dependence of the laser frequency noise. Figure 12.8 shows
the only temperature dependence of the frequency noise in a single QCL
reported to date. The plot shows the existence of two very different regimes
separated by an abrupt transition at 200 K; above this point, the frequency
noise PSD is almost independent of temperature, but it drastically increases
278 Chapter 12

when the temperature is lowered below 200 K, with an exponential


dependence with respect to temperature. Figure 12.8 also displays for
comparison the former results obtained for different QCLs operated at a fixed
temperature and discussed in Section 12.5.1 (see Fig. 12.6). The values
obtained both at room temperature and at cryogenic temperature are in quite
good agreement between the different lasers, despite their different
characteristics (different design and fabrication parameters, different manu-
facturers, different operating parameters, etc.). This tends to indicate that the
temperature dependence of the frequency noise in QCLs is related to some
fundamental effect in the QCL semiconductor structure. But since only one
laser has been ever studied over a wide range of temperatures, it is premature
to draw such conclusions.
In terms of linewidth, the increase in laser frequency noise at low
temperature translates into a large spectral broadening.22 The linewidth
broadens from 770 kHz at room temperature to 10 MHz at 128 K (at 5-ms
observation time).

12.7 The Origin of Frequency Noise in QCLs


The content of Section 12.4 showed that the use of a low-noise current source
enables the frequency noise inherent to the QCL to be achieved, without
substantial degradation due to the laser driver. We discuss here some possible
origins of this frequency noise, which thus result from one or several effects
that are internal to the laser structure. S. Borri et al. first assumed the origin of
flicker noise in QCLs to be internal to the laser.23 They stated that “the 1/f
noise in QCLs should arise from current fluctuations originating in the active
medium by means of internal processes related to the peculiar QCL
heterostructure.” In order to support this assumption, they compared the
frequency noise spectrum and the frequency tuning response in a cryogenic
QCL and qualitatively observed a good overlap between these two quantities,
which shows the same thermal cutoff at 200 kHz. Stating that the same
physical mechanism should govern these two quantities, they assumed an
internal current noise to be responsible for this, although, without
demonstrating it.
An experimental confirmation of this assumption has been shown in our
investigations of the temperature dependence of the frequency noise in a QCL,
described in detail in Ref. 22 and summarized in Section 12.6. In addition to
the frequency noise optically measured at different temperatures using CO
lines as frequency discriminators, we also measured the electrical noise in the
laser, i.e., the voltage across the QCL, at the same temperatures. The
measured voltage noise PSD was then converted into a current noise that we
refer to as the laser internal electrical noise, using the corresponding laser
differential resistance, also measured in our laser at various temperatures.
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 279

This internal electrical noise showed the same 1/f nature as the observed laser
frequency noise. More importantly, it showed the same abrupt increase at
temperatures below 200 K.
We evaluated the impact of this internal electrical noise by determining its
contribution to the laser frequency noise. This was achieved by multiplying
the electrical noise by the current-tuning rate of the laser measured at the
corresponding temperature. In Fig. 12.8, the 3-kHz component of the
frequency noise assessed from the measured electrical noise is displayed as
black crosses. It is found to be well correlated with the frequency noise
optically measured using the CO lines. These results tend to show that the
laser frequency noise is induced by internal electrical noise in the QCL
structure and that the strong increase in frequency noise at low temperatures
results from the strong increase in the electrical noise. However, the
mechanism that produces the electrical noise in the QCL is not yet well
understood. 1/f noise is quite typical in semiconductor devices and has been
studied for a long time in various experiments,55 but its origin is generally
difficult to identify. Among possible effects, additional current noise in QCLs
may originate from the contacts, from lattice scattering,56 from carriers
trapped by material defects,57 or from fluctuations in electron tunneling
through the multiple-barrier QCL structure.23 Additional experiments, such
as the characterization of frequency-noise temperature dependence in other
QCLs, possibly with different parameters, will be needed to better understand
the origin of frequency noise.

12.8 Conclusion and Outlook


Frequency noise in QCLs has been reviewed based on experimental results
obtained recently in our group and in other groups worldwide. QCLs operate
on a principle that is different from that of interband diode lasers, resulting in
a linewidth enhancement factor ae that is close to zero. As a consequence, the
intrinsic linewidth in QCLs is much narrower than in diode lasers operating at
the same output power. Typical intrinsic linewidths in the 300- to 500-Hz
range have been assessed for QCLs from the white-noise plateau observed in
the high-frequency part of their frequency noise spectrum. These values are in
good agreement with the theoretical Schawlow–Townes limit calculated from
the physical parameters of these lasers. However, the intrinsic linewidth of
QCLs is never observed experimentally because of the large spectral
broadening induced by the presence of flicker frequency noise. This flicker
noise can have two different contributions, internal or external to the laser.
A first contribution to the QCL frequency noise arises from technical
noise that is external to the laser. A critical aspect regarding technical noise is
the current source used to drive the QCL. A low-noise current controller is
needed to keep the contribution of the technical noise sufficiently low to not
280 Chapter 12

affect the laser frequency noise. In terms of numbers, we showed that a white-
current noise of 1 nA/Hz1/2 is a typical upper limit tolerable for a laser
controller to reach the linewidth inherent to the QCL at the megahertz level.
The requirement is even more stringent for the narrowest linewidth free-
running QCLs observed to date (500-kHz linewidth at about 10 ms, which
requires a current noise inferior to 500 pA/Hz1/2). These values can slightly
vary between different QCLs depending on their current-tuning coefficient,
but they represent a good approximation for any QCL. The main mechanism
of frequency tuning via the drive current is through thermal effects induced by
thermal dissipation in the laser structure. The current noise of the laser
controller is thus transformed into frequency noise via the laser dynamic
tuning response.
Even in the absence of external frequency noise, the linewidth of QCLs
that is experimentally observed is much broader than that given by the
Schawlow–Townes formula. Different experimental results tend to show that
this frequency noise is induced by internal current noise produced in the laser
structure. So far, the origin of the internal current noise in QCLs has not been
clearly identified, even if different options have been proposed, such as noise
induced in the electrical contacts, noise resulting from lattice scattering, noise
from carriers trapped by material defaults, or from fluctuations of electron
tunneling through the multiple-barrier QCL structure. Further experimental
investigations will likely provide new insights on and, hopefully, soon, clarify
this question.

References
1. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and
A. Y. Cho, “Quantum cascade lasers,” Science 264, 553–556 (1994).
2. J. Faist, F. Capasso, C. Sirtori, D. L. Sivco, J. N. Baillargeon,
A. L. Hutchinson, S. N. Chu, and A. Y. Cho, “High power mid-infrared
(l  5 mm) quantum cascade lasers operating above room temperature,”
Appl. Phys. Lett. 68(26), 3680–3682 (1996).
3. J. Faist, C. Gmachl, F. Capasso, C. Sirtori, D. L. Silvco, J. N. Baillargeon,
and A. Y. Cho, “Distributed feedback quantum cascade lasers,” Appl.
Phys. Lett. 70(20), 2670–2672 (1997).
4. M. Beck, D. Hofstetter, T. Aellen, J. Faist, U. Oesterle, M. Illegems,
E. Gini, and H. Melchior, “Continuous wave operation of a mid-infrared
semiconductor laser at room temperature,” Science 295, 301–303 (2002).
5. P. Corrigan, R. Martini, E. A. Whittaker, and C. Bethea, “Quantum
cascade lasers and the Kruse model in free space optical communication,”
Opt. Express 17, 4355–4359 (2009).
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 281

6. D. D. Nelson, B. McManus, S. Urbanski, S. Herndon, and M. S. Zahniser,


“High-precision measurements of atmospheric nitrous oxide and methane
using thermoelectrically cooled mid-infrared quantum cascade lasers and
detectors,” Spectrochim. Acta, Part A 60, 3325–3335 (2004).
7. D. M. Sonnenfroh, W. T. Rawlins, M. G. Allen, C. Gmachl, F. Capasso,
A. L. Hutchinson, D. L. Sivco, J. N. Baillargeon, and A. Y. Cho,
“Application of balanced detection to absorption measurements of trace
gases with room-temperature, quasi-cw quantum-cascade lasers,” Appl.
Opt. 40(6), 812–820 (2001).
8. K. Namjou, S. Cai, E. A. Whittaker, J. Faist, C. Gmachl, F. Capasso,
D. L. Sivco, and A. Y. Cho, “Sensitive absorption spectroscopy with a
room-temperature distributed feedback quantum-cascade laser,” Opt.
Lett. 23(3), 219–221 (1998).
9. S. Borri, S. Bartalini, P. De Natale, M. Inguscio, C. Gmachl, F. Capasso,
D. L. Sivco, and A. Y. Cho, “Frequency modulation spectroscopy by
means of quantum-cascade lasers,” Appl. Phys. B 85(2–3), 223–229
(2006).
10. B. A. Paldus, T. G. Spence, R. N. Zare, J. Oomens, F. J. M. Harren,
D. H. Parker, C. Gmachl, F. Capasso, D. L. Sivco, J. N. Baillargeon,
A. L. Hutchinson, and A. Y. Cho, “Photoacoustic spectroscopy using
quantum-cascade lasers,” Opt. Lett. 24(3), 178–180 (1999).
11. R. Lewicki, G. Wysocki, A. A. Kosterev, and F. K. Tittel, “QEPAS based
detection of broadband absorbing molecules using a widely tunable, cw
quantum cascade laser at 8.4 mm,” Opt. Express 15(12), 7357–7366 (2007).
12. B. A. Paldus, C. C. Harb, T. G. Spence, R. N. Zare, C. Gmachl,
F. Capasso, D. L. Sivco, J. N. Baillargeon, A. L. Hutchinson, and
A. Y. Cho, “Cavity ringdown spectroscopy using mid-infrared quantum-
cascade lasers,” Opt. Lett. 25(9), 666–668 (2000).
13. S. H. K. Lee and J. S. Yu, “Thermal effects in quantum cascade lasers
at l  4.6 mm under pulsed and continuous wave modes,” Appl. Phys. B
106(3), 619–627 (2012).
14. A. A. Kosterev, F. K. Tittel, C. Gmachl, F. Capasso, D. L. Sivco,
J. N. Baillargeon, A. L. Hutchinson, and A. Y. Cho, “Trace-gas detection
in ambient air with a thermoelectrically cooled, pulsed quantum-cascade
distributed feedback laser,” Appl. Opt. 39(36), 6866–6872 (2000).
15. D. Hofstetter, M. Beck, J. Faist, M. Nägele, and M. W. Sigrist,
“Photoacoustic spectroscopy with quantum cascade distributed-feedback
lasers,” Opt. Lett. 26(2), 888–889 (2001).
16. M. Germer and M. Wolff, “Quantum cascade laser linewidth investiga-
tions for high resolution photoacoustic spectroscopy,” Appl. Opt. 48(4),
B80–B86 (2009).
282 Chapter 12

17. L. S. Rothman, I. E. Gordon, A. Barbe, et al., “The HITRAN 2008


molecular spectroscopic database,” J. Quant. Spectrosc. Radiat. Transfer
110, 533–572 (2009).
18. D. Weidmann, L. Joly, V. Parpillon, D. Courtois, Y. Bonetti, T. Aellen,
M. Beck, J. Faist, and D. Hofstetter, “Free-running 9.1-mm distributed-
feedback quantum cascade laser linewidth measurement by heterodyning
with a C18O2 laser,” Opt. Lett. 28, 704–706 (2003).
19. S. Bartalini, S. Borri, P. Cancio, A. Castrillo, I. Galli, G. Giusfredi,
D. Mazzotti, L. Gianfrani, and P. De Natale, “Observing the intrinsic
linewidth of a quantum-cascade laser: Beyond the Schawlow–Townes
limit,” Phys. Rev. Lett. 104, 083904 (2010).
20. L. Tombez, J. Di Francesco, S. Schilt, G. Di Domenico, J. Faist,
P. Thomann, and D. Hofstetter, “Frequency noise of free-running 4.6-mm
DFB quantum cascade lasers near room temperature,” Opt. Lett. 36(16),
3109–3111 (2011).
21. S. Bartalini, S. Borri, I. Galli, G. Giusfredi, D. Mazzotti, T. Edamura,
N. Akikusa, M. Yamanishi, and P. De Natale, “Measuring frequency
noise and intrinsic linewidth of a room-temperature DFB quantum
cascade laser,” Opt. Express 19(19), 17996–18003 (2011).
22. L. Tombez, S. Schilt, J. Di Francesco, P. Thomann, and D. Hofstetter,
“Temperature dependence of the frequency noise in a mid-IR DFB
quantum cascade laser from cryogenic to room temperature,” Opt.
Express 20(7), 6851–6859 (2012).
23. S. Borri, S. Bartalini, P. C. Pastor, I. Galli, G. Giusfredi, D. Mazzotti,
M. Yamanishi, and P. De Natale, “Frequency-noise dynamics of
mid-infrared quantum cascade lasers,” IEEE J. Quantum Electron. 47,
984–988 (2011).
24. L. Tombez, S. Schilt, J. Di Francesco, T. Führer, B. Rein, T. Walther,
G. Di Domenico, D. Hofstetter, and P. Thomann, “Linewidth of a
quantum cascade laser assessed from its frequency noise spectrum and
impact of the current driver,” Appl. Phys. B 109(3), 407–414 (2012).
25. S. Bartalini, S. Borri, and P. De Natale, “Doppler-free polarization
spectroscopy with a quantum cascade laser at 4.3 mm,” Opt. Express
17(9), 7440–7449 (2009).
26. A. Gambetta, D. Gatti, A. Castrillo, G. Galzerano, P. Laporta,
L. Gianfrani, and M. Marangoni, “Mid-infrared quantitative spectros-
copy by comb-referencing of a quantum-cascade-laser: Application to the
CO2 spectrum at 4.3 mm,” Appl. Phys. Lett. 99(25), 251107 (2011).
27. A. Hugi, G. Villardes, S. Blaser, H. C. Liu, and J. Faist, “Mid-infrared
frequency comb based on a quantum cascade laser,” Nature 492, 229–233
(2012).
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 283

28. R. Maulini, M. Beck, J. Faist, and E. Gini, “Broadband tuning of external


cavity bound-to-continuum quantum-cascade lasers,” Appl. Phys. Lett.
84(10), 1659–1661 (2004).
29. K. Knabe, P. A. Williams, F. R. Giorgetta, C. M. Armacost, S. Crivello,
M. B. Radunsky, and N. R. Newbury, “Frequency characterization of a
swept- and fixed-wavelength external-cavity quantum cascade laser by use
of a frequency comb,” Opt. Express 20, 12432–12442 (2012).
30. A. Gambetta, D. Gatti, A. Castrillo, N. Coluccelli, G. Galzerano,
P. Laporta, L. Gianfrani, and M. Marangoni, “Comb-assisted spectros-
copy of CO2 absorption profiles in the near- and mid-infrared regions,”
Appl. Phys. B 109(3), 385–390 (2012).
31. S. Borri, I. Galli, F. Cappelli, A. Bismuto, S. Bartalini, P. Cancio,
G. Giusfredi, D. Mazzotti, J. Faist, and P. De Natale, “Direct link of a
mid-infrared QCL to a frequency comb by optical injection,” Opt. Lett.
37, 1011–1013 (2012).
32. A. A. Mills, D. Gatti, J. Jiang, C. Mohr, W. Mefford, L. Gianfrani,
M. Fermann, I. Hartl, and M. Marangoni, “Coherent phase lock of a
9 mm quantum cascade laser to a 2 mm thulium optical frequency comb,”
Opt. Lett. 37, 4083–4085 (2012).
33. F. Cappelli, I. Galli, S. Borri, G. Giusfredi, P. Cancio, D. Mazzotti,
A. Montori, N. Akikusa, M. Yamanishi, S. Bartalini, and P. De Natale,
“Subkilohertz linewidth room-temperature mid-infrared quantum cascade
laser using a molecular sub-Doppler reference,” Opt. Lett. 37, 4811–4813
(2012).
34. T. Okoshi, K. Kikuchi, and A. Nakayama, “Novel method for high
resolution measurement of laser output spectrum,” Electr. Lett. 16,
630–631 (1980).
35. D. S. Elliott, R. Roy, and S. J. Smith, “Extracavity laser band shape and
bandwidth modification,” Phys. Rev. A 26, 12–18 (1982).
36. P. B. Gallion and G. Debarge, “Quantum phase noise and field
correlation in single frequency semiconductor laser systems,” IEEE J.
Quantum Electron. QE-20, 343–350 (1984).
37. G. M. Stéphan, T. T. Tam, S. Blin, P. Besnard, and M. Têtu, “Laser line
shape and spectral density of frequency noise,” Phys. Rev. A 71, 043809
(2005).
38. L. B. Mercer, “1/f frequency noise effects on self-heterodyne linewidth
measurements,” J. Lightwave Technol. 9, 485–493 (1991).
39. N. Bucalovic, V. Dolgovskiy, C. Schori, P. Thomann, G. Di Domenico,
and S. Schilt, “Experimental validation of a simple approximation to
determine the linewidth of a laser from its frequency noise spectrum,”
Appl. Opt. 51(20), 4582–4588 (2012).
284 Chapter 12

40. G. Di Domenico, S. Schilt, and P. Thomann, “Simple approach to the


relation between laser frequency noise and laser line shape,” Appl. Opt. 49,
4801–4807 (2010).
41. T. L. Myers, R. M. Williams, M. S. Taubman, C. Gmachl, F. Capasso,
D. L. Sivco, J. N. Baillargeon, and A. Y. Cho, “Free-running frequency
stability of mid-infrared quantum cascade lasers,” Opt. Lett. 27(3),
170–172 (2002).
42. M. S. Vitiello, L. Consolino, S. Bartalini, A. Taschin, A. Tredicucci,
M. Inguscio, and P. De Natale, “Quantum-limited frequency fluctuations
in a terahertz laser,” Nature Photonics 6, 525–528 (2012).
43. L. D. Turner, K. P. Weber, C. J. Hawthorn, and R. E. Scholten,
“Frequency noise characterization of narrow linewidth lasers,” Opt.
Comm. 201, 391–397 (2002).
44. S. Schilt, N. Bucalovic, L. Tombez, V. Dolgovskiy, C. Schori, G. Di
Domenico, M. Zaffalon, and P. Thomann, “Frequency discriminators for
the characterization of narrow-spectrum heterodyne beat signals: applica-
tion to the measurement of a sub-hertz carrier-envelope-offset beat in an
optical frequency comb,” Rev. Scient. Instr. 82(12), 123116 (2011).
45. A. L. Schawlow and C. H. Townes, “Infrared and optical masers,” Phys.
Rev. 112, 1940–1949 (1958).
46. C. H. Henry, “Theory of the linewidth of semiconductor lasers,” IEEE J.
Quant. Elect. QE-18(2), 259–264 (1982).
47. C. M. Yamanishi, T. Edamura, K. Fujita, and N. Akikusa, “Theory of
the intrinsic linewidth of quantum-cascade lasers: hidden reason for the
narrow linewidth and line-broadening by thermal photons,” IEEE J.
Quant. Electr. 44, 12–29 (2008).
48. J. Osinski and J. Buus, “Linewidth broadening factor in semiconductor
lasers: An overview,” IEEE J. Quant. Electr. QE-23(1), 9–29 (1987).
49. T. Aellen, R. Maulini, R. Terazzi, N. Hoyler, M. Giovaninni, S. Blaser,
L. Hvozdara, and J. Faist, “Direct measurement of the linewidth
enhancement factor by optical heterodyning of an amplitude-modulated
quantum cascade laser,” Appl. Phys. Lett. 89, 091121 (2006).
50. A. Wittmann, Y. Bonetti, M. Fischer, J. Faist, S. Blaser, and E. Gini,
“Distributed-feedback quantum-cascade lasers at 9 mm operating in
continuous wave up to 423 K,” IEEE Photon. Tech. Lett. 21(12), 814–816
(2009).
51. R. M. Williams, J. F. Kelly, J. S. Hartman, S. W. Sharpe, M. S. Taubman,
J. L. Hall, F. Capasso, C. Gmachl, D. L. Sivco, J. N. Baillargeon, and
A. Y. Cho, “Kilohertz linewidth from frequency-stabilized mid-infrared
quantum cascade lasers,” Opt. Lett. 24, 1844–1846 (1999).
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 285

52. M. S. Taubman, T. L. Myers, B. D. Cannon, R. M. Williams, F. Capasso,


C. Gmachl, D. L. Sivco, and A. Y. Cho, “Frequency stabilization of
quantum-cascade lasers by use of optical cavities,” Opt. Lett. 27,
2164–2166 (2002).
53. M. S. Taubman, T. L. Myers, B. D. Cannon, and R. M. Williams,
“Stabilization, injection and control of quantum cascade lasers, and their
application to chemical sensing in the infrared,” Spectrochim. Acta A. 60
(14), 3457–3468 (2004).
54. F. Bielsa, A. Douillet, T. Valenzuela, J.-P. Karr, and L. Hilico, “Narrow-
line phase-locked quantum cascade laser in the 9.2 mm range,” Opt. Lett.
32, 1641–1643 (2007).
55. G. Bosman, Ed., Proc. of the 16th International Conference on Noise
in Physical Systems and 1/f Fluctuations, World Scientific, Hackensack,
NJ (2001).
56. X. Y. Chen, F. N. Hooge, and M. R. Leys, “The temperature dependence
of 1/f noise in InP,” Solid-State Elec. 41, 1269–1275 (1997).
57. T. Roy, E. X. Zhang, Y. S. Puzyrev, X. Shen, D. M. Fleetwood,
R. D. Schrimpf, G. Koblmueller, R. Chu, C. Poblenz, N. Fichtenbaum,
C. S. Suh, U. K. Mishra, J. S. Speck, and S. T. Pantelides, “Temperature-
dependence and microscopic origin of low frequency 1/f noise in GaN/
AlGaN high electron mobility transistors,” Appl. Phys. Lett. 99, 203501
(2011).

Stéphane Schilt obtained his Master’s degree in physics


from the Swiss Federal Institute of Technology in
Lausanne (EPFL) and his Ph.D. in technical sciences in
2002 from the same institution for his work in trace gas
sensing by laser spectroscopy. After a three-year post-
doctorate, where he worked on photoacoustic spectros-
copy and stabilized lasers, he joined the company IR
Microsystems in Lausanne to develop low-cost gas
sensors based on near-infrared lasers. Since 2009, he has been senior scientist
at Laboratoire Temps-Fréquence at the University of Neuchâtel, Switzerland.
His research interests are focused on optical frequency combs for time and
frequency metrology, studies of the mechanisms of frequency noise in QCLs,
and frequency stabilization of these lasers. He published more than 30 papers
in peer-reviewed journals and has coauthored more than 60 contributions at
international conferences. He is Associate Editor of Journal of Spectroscopy.
286 Chapter 12

Lionel Tombez received his M.Sc. degree in micro-


engineering from EPFL in 2008. From 2008 to 2010 he
worked for EM Microelectronic-Marin Ltd, the semi-
conductor company of the Swatch Group. In 2010 he
joined the Institute of Physics at the University of
Neuchâtel as a Ph.D. candidate in the field of low-noise
mid-IR semiconductor lasers and optical frequency
metrology.

Gianni Di Domenico obtained his Master’s degree in


physics from the University of Neuchâtel, Switzerland,
and his Ph.D. in physics in 2004 from the same
institution for his work on continuous beams of laser-
cooled atoms applied to time and frequency metrology.
After a post-doctorate at the University of Fribourg
where he worked on optical magnetometry applied to
the measurement of the magnetic field produced by the
human heart, he joined the Laboratoire Temps-Fréquence at the University of
Neuchâtel, where he works on the Swiss primary frequency standard, a
continuous atomic fountain clock developed in collaboration with the Swiss
Federal Institute of Metrology. His research interests are cold atomic fountain
clocks and optical frequency metrology.

Daniel Hofstetter was an apprentice as an electrical


mechanic at Landis & Gyr, Zug, Switzerland, from
1982 to 1986, where he became a physics technician and
stayed until 1988. From 1988 to 1993, he studied
physics at the Swiss Federal Institute of Technology in
Zurich (ETHZ), Switzerland. In his diploma thesis, he
carried out photoacoustic spectroscopy on fatty acid
vapors. He then moved on and received his Ph.D. from
the Paul Scherrer Institute in Zurich, Switzerland in 1996 for work that
included the design, fabrication, and testing of a semiconductor-based
monolithically integrated double Michelson interferometer for optical
displacement measurement. From 1996 to 1998, he was with the XEROX
Palo Alto Research Center, Palo Alto, California, USA, developing violet-
blue distributed-feedback lasers for scanning and printing applications. In
1998, he joined the group of Prof. Jerome Faist at the Physics Institute of the
University of Neuchatel, Switzerland. In 2001, he was awarded the Sofja
Frequency Noise and Linewidth of Mid-infrared Continuous-Wave QCLs… 287

Kovalevskaja Prize of the Alexander von Humboldt Foundation, and worked


in Ulm, Germany. The following year, he was awarded a position in the
Professorship Program of the Swiss National Science Foundation and worked
on high-performance mid-infrared quantum cascade lasers and detectors for
spectroscopy. Since 2008, he has been a scientific collaborator at the
University of Neuchatel. He has published more than 130 papers in
international journals and has participated in over 70 conferences.
Chapter 13
Wide-Bandgap Semiconductor
Quantum Cascade Lasers
Operating at Terahertz
Frequencies
Hung Chi Chou
Electrical and Computer Engineering Department, University of Connecticut, Storrs,
Connecticut, USA

John Zeller
Magnolia Optical Technologies, Inc., Woburn, Massachussetts, USA

Anas Mazady and Mehdi Anwar


Electrical and Computer Engineering Department, University of Connecticut, Storrs,
Connecticut, USA

13.1 Introduction
13.1.1 Motivation
13.1.2 Terahertz QCLs: background and recent developments
13.1.3 Terahertz QCLs: challenges
13.2 Terahertz QCLs: Structure and Design
13.2.1 Lasing in terahertz QCLs
13.2.2 Rate equations of a three level QCL
13.2.3 Electron transmission in QCLs
13.3 Simulation and Analysis
13.3.1 Absorption and optical gain
13.3.2 Terahertz output power and wall plug efficiency
13.3.3 Polar versus nonpolar cases
13.4 Conclusion
References

289
290 Chapter 13

13.1 Introduction
13.1.1 Motivation
Terahertz lasers spanning 100 GHz to 10 THz of the electromagnetic
spectrum offer a technology platform with anticipated applications ranging
from detection/imaging of chemico-biological systems to integrated circuits.
Terahertz is a non-ionizing radiation that has also found wide application in
noninvasive and contactless imaging of obscure or hidden objects with
potential use in security communities. For such applications, high-power
terahertz sources operating at room temperature (RT) are often preferred but
become bulky if implemented using standard optical techniques. Optically
pumped terahertz lasers provide power in the range of hundreds of milliwatts,
while output is restricted to a few microwatts if terahertz radiation is
generated using optical heterodyning.
Solid state terahertz lasers provide compactness; however, high-power RT
operation still remains a challenge. Shur et al.1 pioneered terahertz generation
using plasma waves formed in 2D electron fluid in the channel of advanced
heterostructure field-effect transistors (HFETs). Emission of terahertz
radiation has been reported in a GaN HFET with a channel length of
1.5 mm at cryogenic temperatures. Knapp et al.2 report terahertz generation
using InGaAs high-electron-mobility transistors with 60-nm channels.
Recently, Fathololoumi et al.3 were able to generate terahertz radiation at
temperatures as high as 199.5 K using the resonant phonon method, and
Wade et al.4 achieved the same at a temperature of 225 K in a high magnetic
field. Resonant tunneling diodes (RTDs) and quantum cascade lasers (QCLs)
operating at terahertz frequencies offer another technology platform for the
generation of terahertz radiation. While RTDs have limited output power,
QCLs are suitable candidates for high-power, continuous-wave (CW)
terahertz sources operating at RT.

13.1.2 Terahertz QCLs: background and recent developments


The quantum cascade laser (QCL) was initially proposed by Kazarinov and
Suris5 and first demonstrated in the mid-infrared region (70 THz) by Faist
et al.6 in 1994 and in the terahertz region (4.4 THz) by Köhler et al.7 in 2002.
At present, lasing frequencies as low as 1.59 THz (Kumar et al.8) have been
achieved in a resonant phonon (RP)-assisted terahertz QCL. The lowest
frequency achieved in an external magnetic field was 1.2 THz (250 mm), which
represents the lower limit of output presently achieved by terahertz sources.9
The delay in the introduction of the first terahertz QCL was primarily due to
the difficulty in designing structure layers that can provide RT operation. The
design principle is the same as for mid-infrared QCLs: increase the lifetime of
the upper laser level and achieve fast depopulation of the lower laser level,
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 291

while maintaining the value of the optical dipole matrix element. Since the
eigenstates are separated only by a small amount of energy, it becomes more
arduous to create population inversion by using resonant longitudinal optical
phonon scattering to adjust the lifetimes of each level. As was pointed out by
Tonouchi,10 the present research goals are to reduce the lasing frequency,
operate the terahertz QCL system at RT, and increase the range of tunable
frequencies. Of the different approaches to realize QCLs, RP has been the
choice due to its ability to enable high-power operation at elevated
temperatures. Using III-V semiconductor heterostructures with modified
barrier design, Bai et al.11 reported a shallow-well design demonstrating InP-
based QCLs emitting around 4.9-mm wavelength with 27% and 21% wall-plug
efficiencies (WPEs) at RT (298 K) pulsed and CW operations, respectively,
providing maximum single-facet CW power at RT of 5.1 W. Maulini et al.12
achieved a maximum WPE of 19% in pulsed mode at 293 K, with CW output
power of 1.4 W and WPE of 10%, and 1.2 W of average power in uncooled
operation.
Table 13.1 lists the recently demonstrated performance of terahertz QCLs.
The low longitudinal optical (LO) phonon energy restricts operation at
elevated temperatures and can be avoided by using SiGe/Si heterostructures
that have a dominant phonon mode at 64 meV. III-nitrides also offer an
interesting alternative with an LO phonon energy of 90 meV and favoring RT

Table 13.1 Recently demonstrated QCL performance.

Manufacturer/ Model Material Wavelength Frequency Output Operating WPE


Research Authors Power Temperature

Alpes Lasers LN2 P FP InP 73 mm 4 THz 2 mW 77 K N/A


QCL 136
Alpes Lasers LN2 P FP InP 86 mm 3.48 THz 1 mW 77 K N/A
QCL 117
Y. Bai et al. N/A InP 4.9 mm 61.2 THz 5.1 W RT 27%
(M. Razeghi) (CW) (pulsed);
(2011) 21% (CW)
Y. Bai et al. N/A InP 4.45 mm 67.4 THz 120 W RT 14%
(M. Razeghi) (peak
(2009) pulsed)
Daylight ECqcL N/A 3.25 10.4 mm N/A 100 mW RT N/A
Solutions TLS 41xxx (tunable) (Pulsed/
(17 models) CW)
Daylight Unicorn II N/A 3.5 10.4 mm N/A 100 mW RT N/A
Solutions (fixed l) (Pulsed/
CW)
Semtsiv et al. N/A InGaAs/ 3.05 mm 98.3 THz 120 mW 80 K QE 14%
(2007) AlAs on (peak
InP pulsed)
292 Chapter 13

operation; however, material-related issues still remain a challenge. The


operational range of terahertz QCLs based on III-V compound materials such
as InP, GaAs, and III-nitrides, which are typical materials used for QCLs, has
been limited to between 1.2 and 4.8 THz.7,9,13 In spite of the material issues,
Terashima et al.14 reported 1.37-THz radiation from a GaN/AlGaN QCL
operating at 20 K with output power in the range of picowatts.

13.1.3 Terahertz QCLs: challenges


Ionic and nitride-based compound semiconductors such as ZnO/ZnMgO and
GaN/AlGaN, respectively, offer material platforms for high-power RT-capable
terahertz QCLs. Zn- and GaN-based material have large electron effective
masses and LO phonon energies ELO(GaN) ¼ 90 meV and ELO(ZnO) ¼ 74 meV
compared to that of GaAs (ELO(GaAs) ¼ 36 meV), enabling higher-temperature
operation.15 Moreover, very short intersubband scattering times of 150 fs
at 4.5-mm wavelength and 370 fs at 1.55-mm wavelength have been
obtained by AlGaN/GaN quantum well (QW) heterostructures at RT. These
heterostructures are at least one order of magnitude lower than results obtained
from InGaAs/GaAs QWs.16,17
Emission of longer terahertz wavelengths is made possible by
tailoring QW widths, an adjustment that affects the energy separation
between states 2 and 1 (E21). The longer lifetime of the upper lasing state
is due to the higher required in-plane kinetic energy for LO-phonon-
assisted transitions from state 2 to state 1. Appropriate design of E12 
ELO assures rapid depopulation of the lower state, as a large E 21 could
lower the probability of transition without terahertz photon emission.
Hao et al.18 reported characteristics of GaN material, which are likewise
applicable to Zn-based material due to similar material parameters and the
presence of piezoelectric fields, that offer a number of advantages over GaAs-
based terahertz QCLs: (1) a large E32 can be designed since ELO is large, so
that longer wavelengths can be realized for terahertz emission; (2) the design
of E21  ELO reduces the impact of thermal electron emission on the
depopulation of electrons in the lower laser state since E21 is much greater
than the thermal electron energy even at high temperature; (3) a large energy
separation of E31 suppresses the probability that electrons will directly transit
from an upper laser state to ground state without photon emission; (4) the
higher in-plane kinetic energy required for phonon emission slows the
relaxation time of the electrons between 3 and 2 states, thus increasing
the lifetime of electrons at the upper laser state; (5) ultrafast LO-phonon
scattering can realize rapid depopulation of the lower laser state; (6) the larger
energy band discontinuity in AlGaN/GaN heterostructures increases the
peak–valley ratio of the negative differential resistance region, enhancing
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 293

resonant tunneling and therefore accelerating the electrons’ relaxation to


ground state.
While GaN/AlGaN QCLs have been developed and reported in literature,
thus far ZnO/ZnMgO QCLs have received considerably less attention. In this
chapter we examine ZnO/ZnMgO material in comparison with GaN/AlGaN
as a possible candidate for the realization of high-power and efficient terahertz
generation by QCLs operating at RT. To develop ZnO/ZnMgO QCLs, three
major challenges that have been associated with GaN/AlGaN THz QCLs
must likewise be overcome for ZnO/ZnMgO. Firstly, the stability of ZnO is
greater than that of MgO, a fact that could increase the possibility of
unwanted effects during the growth process. Based on the calculation by
Andrei et al.,19 at zero temperature the Zn1 xMgxO alloy is never stable with
respect to phase-separated wurtzite ZnO and rocksalt MgO. Also, Sarver
et al.20 pointed out that at above-zero temperatures a small solid solubility of
Mg in wurtzite ZnO is expected. Secondly, the energy of a terahertz-ranged
photon is so low that thermal excitations can easily disturb the electron
configuration, most importantly the population inversion that is necessary for
lasing in a QCL. Thirdly, it is difficult to design a suitable waveguide for
frequencies in the terahertz range. While waveguides are a necessary
component of terahertz QCL designs, the dielectric waveguides of conven-
tional solid state lasers cannot be used in terahertz QCLs since terahertz
wavelengths are far longer than the size of the waveguide active regions.

13.2 Terahertz QCLs: Structure and Design


Intersubband transitions in the conduction band of planar heterostructures
are the basis for QCL device operation. The concepts described in this section
were routinely employed for design of the QCL active regions described in this
chapter. The analyses presented here apply well to electronic intersubband
transitions in the conduction band of both Zn- and nitride-based multiple
quantum wells (MQWs) (since interband transitions do not occur in QCLs,
they are not taken into account), where unipolar transitions are assumed.
Device operation is considered only in steady state. The electronic
wavefunctions that are used in the analyses could be obtained by using the
approximation envelope from Ref. 21. The main purpose of this section is to
provide information for evaluation and comparison of QCL designs based on
specific materials; this information can prove to be valuable when used in
conjunction with experimental data.

13.2.1 Lasing in terahertz QCLs


A standard laser device is a heterostructure made from a continuum of
periodic structures, each containing an injector, collector, and an active
region, as shown in Fig. 13.1. In the active region, an electron stimulates the
294 Chapter 13

Figure 13.1 Schematic of a Zn0.95Mg0.05O terahertz QCL device along with conduction-
band profile and associated wavefunctions for the ZnO/Zn0.95Mg0.05O MQW structure,
biased at 100 kV/cm. The layer sequence for one period of the structure beginning from the
left in the injector region (in nanometers) is 4/1.5/3.2/2.5/2.3/3.5/2.2/3. Lasing is expected to
occur between the upper state (n ¼ 3) and lower state (n ¼ 2), and rapidly depopulate with
LO phonon scattering out of the lower state (n ¼ 2) into the ground state (n ¼ 1). The black
script denotes the walls and the barriers, respectively (reprinted from Ref. 22).

generation of a photon by initiating a transition from upper to lower energy


eigenstates, while in the injection region they are injected from the lower level
to the excited region of the next period. Electrons contributing to injection
current I are converted into lasing photons that have a single mode and
wavelength. The terahertz emission wavelength depends on the energy
separation between the energy eigenstates requiring the solution of the
Schrödinger equation. The one-particle time-independent Schrödinger equa-
tion under the effective mass approximation can be written as21
ħ2 @ 2
cðxÞ þ ½qV ðxÞ þ DEC ðxÞcðxÞ ¼ jEjcðxÞ ¼ HcðxÞ, ð13:1Þ
2mðxÞ @x2
where m(x) is the position-dependent effective mass, V(x) is the electrostatic
potential, DEC(X) is the conduction-band discontinuity, c(x) is the electron
wavefunction, and |E| is energy eigenvalue. Using a three-point finite-
difference approximation, Eq. (13.1) can be rewritten as
HcðxÞ ¼ uj 1 cðxÞ þ dj cðxÞ ujþ1 cðxÞ ¼ jEjcðxÞ: ð13:2Þ
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 295

Here, the Hamiltonian is a symmetric tri-diagonal matrix, allowing Eq. (13.2)


to be rewritten as21
2 32 3
d1  E u2 0 0 ... c1
6 76 . . . 7
6 u2 d2  E u3 0 ... 76 7
6 76 7
ðH  EI Þc ¼ 6
6 0 u3 d3  E u4 ... 76 . . . : 7 ¼ 0, ð13:3Þ
76 7
6 76 . . . 7
4 0 0 u4 ...... ... 54 5
...: ... ... ... dN 1  E cðN 1Þ

where the diagonal matrix elements are dj ¼ ðħ2 =mħ20 Þ þ Vj , Vj is the potential
at point j, ħ0 is the interval between adjacent sampling points, and the
adjacent off-diagonal matrix elements are uj ¼ ðħ2 =2mħ20 Þ . The eigenenergies
and eigenfunctions may be calculated by using Eq. (13.3).
Figure 13.1 shows two periods of the conduction-band energy profile with
each period consisting of injector, active, and collector layers. The energy
profile and potential distribution were calculated by solving the Schrödinger
equation. The overall QC structure consists of eight sets of the periodic
structures sandwiched between metal electrodes and biased externally with an
electric field F ¼ 100 kV/cm. This figure also shows the calculated eigenstates
obtained by solving the one-electron Schrödinger equation under the effective
mass approximation. The material layer sequence of the structure shown in
Fig 13.1 is 4/1.5/3.2/2.5/2.3/3.5/2.2/3 (in nanometers), beginning from the left
at the injector region. Parameters dependent on the Mg mole fraction of the
barrier material (xMg ¼ 0.05) of the ternary compound such as conduction-
band offset and barrier electron effective mass are estimated by using linear
interpolation of values for ZnO and MgO: [Parameter (Zn1 xMgxO) ¼ x 
Parameter (MgxO) þ (1 x)  Parameter (ZnO)].
The values of the important binary material parameters are listed in
Table 13.2. The indices in Fig. 13.1 indicate individual eigenstate levels that
contribute to electron scattering. In this process, electrons are injected
from the upper state (n ¼ 3) into the lower state (n ¼ 2) and make vertical
transitions into the ground state (n ¼ 1). The expected emission frequency is

Table 13.2 Comparison of the material parameters of AlN, GaN, ZnO, and MgO.

Property AlN GaN ZnO MgO

Bandgap (eV) 6.13 3.42 3.37 7.70


Lattice constant a0 (nm) 0.3112 0.3189 3.24 4.24
Spontaneous polarization PSP (C=m2) 0.081 0.029 0.057 0.144
Piezoelectric constant e31 ðxÞ (C=m2) 0.58 0.36 0.51 0.38
Piezoelectric constant e33 ðxÞ (C=m2) 1.55 1 1.22 2.26
Elastic constant C13 ðxÞ (GPa) 158 99 83 58
Elastic constant C33 ðxÞ (GPa) 267 389 208 109
Electron effective mass (me =m0 ) 0.48 0.22 0.24 0.4
296 Chapter 13

3.63 THz (l ¼ 82.6 mm), corresponding to an energy separation DE32 between


state 3 and 2 of 15.02 meV. Meanwhile, electrons injected into the upper laser
state are nonradiatively scattered into the lower state (n ¼ 2) and then
depopulated resonantly by LO phonons into the ground state (n ¼ 1) because
this intersubband energy (76 meV) is only slightly above the LO phonon
energy of ZnO (72 meV). The required condition for population inversion in
this case is n3 > n2, where n is the photon population in the specific state
calculated using the rate equations. The calculated lifetimes, t 32 and t 2, were
found to be 0.93 ps and 85 fs, respectively. The relatively long duration of t 32
occurs because the energy spacing between state 3 and state 2 is sufficiently
below the LO-phonon energy but still large enough to allow for photon
scattering, while the shortness of t2 implies that population inversion is
present in ZnO/ZnMgO terahertz QCL devices.
The presence of spontaneous PSP and piezoelectric PPE polarizations in
polar semiconductors modifies the shape of QWs and the band-offset
magnitudes, and thus plays a major role in the determination of the
eigenenergies and carrier dynamics. It is to be noted that the direction of
spontaneous polarization is dependent on the type of substrate (ZnO or MgO)
and the composition of the epilayers.23 In modeling structures with nonpolar
crystalline orientation (oriented along a-plane or m-plane), the built-in electric
field due to polarization is considered to be zero. Piezoelectric polarization
PPE depends on strain and is expressed as follows: PPE e33 âz þ e31(âx þ ây),
where the in-plane strain âx ¼ ây ¼ (a – a0)/a0, and the out-of-plane strain âz ¼
(c c0)/c0. Here a and a0 are the in-plane lattice constants of the epilayer and
the substrate, respectively, with c and c0 being the corresponding out-of-plane
quantities, and e33 and e31 the piezoelectric constants. Total polarization can be
expressed as the summation of the spontaneous and piezoelectric polarizations.
ZnMgO epilayers grown on ZnO substrates under tensile stress result in a
net polarization, which for the Zn1 xMgxO/ZnO heterointerface can be
expressed as
  
a a0 e31 ðxÞ e33 ðxÞC13 ðxÞ
DP ¼ PðZn1 x Mgx OÞ PðZnOÞ ¼ 2
a0 C33 ðxÞ
þ PSPðZn1 x Mgx OÞ
PSPðZnOÞ : ð13:4Þ

To achieve lasing in a QCL, the light must be internally confined in order


for stimulated emission and consequently intensity enhancement to occur.
This requires confining a heterostructure within a waveguide. Here we utilize
a metal-to-metal waveguide, which has a higher operating temperature than a
semi-insulating surface-plasmon waveguide.4 Consider a quantum cascade
structure with eight periods, sandwiched between two optical confinement
layers (assumed to be both metal). Confining photons to the active region is
important because only photons that overlap with the active region can
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 297

experience optical gain and be amplified. Hence, by setting the refractive


index nactive of the active region larger than that of the collector ncollector, the
optical confinement factor can be determined by assuming that the electric
field â(x, y) varies slowly in the x direction compared to the y direction. The
approximate expression for the confinement factor G for TE-polarized
photons with active region thickness ta can be given as
 
tactive 2
GTE ¼ 2ðnactive ncollector Þ
2 2
, ð13:5Þ
l0
while in the case of TM-polarized photons, G can be given as
 
ncollector tactive 2
GTM ¼ 2ðnactive ncollector Þ
2 2
: ð13:6Þ
nactive l0
The optical confinement factor for TE and TM modes is calculated within the
waveguide as a function of active layer thickness (tactive ¼ 109.6 nm), while the
refractive index of the ZnMgO active layer (nactive(ZnMgO)) is 1.65.

13.2.2 Rate equations of a three-level QCL


The determination of photon population proceeds by assuming the system to
be in a state of equilibrium, where the total rate at which electrons transition
into a given energy level equals the total rate at which electrons transition out.
The rate equations therefore form a series of coupled equations. The photon
populations are solved self-consistently with the electron populations.
A photon population is associated with each possible transition, and a rate
equation is associated with each photon population. A determination of
the generated power and operation of the terahertz QCL requires finding
the photon populations using the coupled rate equations as defined by
Slingerland et al.:24
dni
¼ 0 ¼ ðVWif ni VWfi nf Þstimulated þ ðVWfi ni Þspontaneous Vp mif WifPh ,
dt
ð13:7Þ
where V is the active region volume, ni and nf are the electron populations in
electron states i and f, respectively, WifPh is the total photon cavity loss rate
between states i and f and is a function of the waveguide loss aw, mirror loss
aM2 , and group velocity Vg, where Wif ¼ Vg ðaM2 þ aw Þ, while mif is the
photon population.24Wif represents the emission and absorption rate and can
be expressed as Wif ¼ 1=t if , where t if is the lifetime due to transitions from
initial electron state i to final electron state f (due to the fast intersubband
electron–electron scattering between the closely spaced injection levels). In
terahertz QCLs, the difference between eigenstate energies needs to be smaller
than that in conventional QCLs, requiring the incorporation of various
298 Chapter 13

intersubband scattering mechanisms such as electron–electron, electron-


impurity, and electron-interface roughness scattering for lifetime calcula-
tion.11 In Eq. (13.7), the first term represents the total rate at which photons
are added to the cavity in mode due to stimulated emission. The second term
represents the total rate at which photons are removed from the cavity in
mode due to stimulated absorption. The third term represents the total rate at
which photons are added to the cavity in mode due to spontaneous emission.
Likewise, the fourth term represents the rate at which photons are removed
due to waveguide and mirror losses. In a three-level system, the determination
of photon population follows:
dn1
¼ m13 W31SP
n3 Vp ðn1 n3 Þ þ Gn1 W13SP SP
m13 W21 , ð13:8Þ
dt
where i ¼ 1 is the lower state, and f ¼ 2,3 are the final states. Here, the optical
confinement factor can be treated as the fraction of the optical mode that
overlaps the entire active region and can be obtained using Eq. (13.7) from the
cavity volume: G ¼ V =Vp .

13.2.3 Electron transmission in QCLs


The electron transmission between potential barriers can be determined by
solving the one-particle time-independent Schrödinger equation [Eq. (13.1)]
and initial conditions.21 The wavefunction can be expressed as follows:
1
c1 ¼ p : ð13:9Þ
ðP1 ÞðAeiP1 x þ Be iP1 x Þ

1
c2 ¼ p : ð13:10Þ
ðP2 ÞðCeiP2 x þ De iP2 x Þ

Applying the boundary condition cð1jstepÞ ¼ cð2jstepÞ , the wavefunction as well


as its derivative are continuous at the potential step, and the expression then
becomes
A B C D 1 P2 1
p þp ¼p þp and p ðA BÞ ¼ p : ð13:11Þ
P1 P1 P2 P2 P1 P1 P2 ðC DÞ

In order to derive simple expressions for the probability amplitude in both


regions, initial conditions are applied. In the case that the particle is incident
from the left, jAj2 ¼ 1, since there is no left-traveling wave in the barrier
region. Substituting A ¼ 1 and D ¼ 0 into Eqs. (13.9) and (13.10) gives
1 þ B ¼ C: ð13:12Þ
P2
1 B¼ C: ð13:13Þ
P1
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 299

From Eqs. (13.12) and (13.13), we find that


2
C¼ , ð13:14aÞ
P2

P1
and
P2
1
P1
B¼ : ð13:14bÞ
P2

P1
Assuming that the electrons’ velocity at position xj is Vj ¼ ħkj =m, the
transmission probability jCj2 ¼ 0 may be written as
4 4
jCj2 ¼  2 ¼   : ð13:15Þ
P2 V2 2
1þ 1þ
P1 V1
By applying the matrix methods, Eq. (13.11) can be rewritten in the following
matrix form:
2 3
    
1 1 1 A 1 4 1 1
5
C
p ¼p P2 P2 : ð13:16Þ
P1 1 1 B P2 D
P1 P1
 
1 1
P
Multiplying Eq. (13.16) by 2pP 1
gives the 2  2 matrix describing
1 1 1
propagation at the step up in potential:
  
1 P1 þ P2 P1 P2 C
p : ð13:17Þ
2 P1 P2 P1 P2 P1 þ P2 D
Assuming continuity of the wavefunction and its derivation across the
boundary (which is reasonably accurate in most cases),
 þ  þ " #  þ
u1 u2 uþ u
¼ S1 ¼ S1 S2 3 ¼ ¼ S1 . . .S2 . . .S3 . . .SN 1 N : ð13:18Þ
u1 u2 u3 uN

Hence the propagation matrix becomes


  
1 ðP1 þ P2 Þe iP2 L ðP1 P2 ÞeiP2 L P1 þ P2 P1 P2
P¼ : ð13:19Þ
4P1 P2 ðP1 P2 Þe iP2 L ðP1 þ P2 ÞeiP2 L P2 P1 P1 þ P2
As a general expression,
   
A C
¼P , ð13:20Þ
B D
where P ¼ P1 P2 . . .Pi . . .PN : In order to calculate the transmission
probability for a particle incident on the barrier, we can express the
300 Chapter 13

transmission of a particle incident from the left as j1=P11 j2 , where P11 is


shown as follows:
ðP21 þ P22 Þðe iP2 L e iP2 L
Þ ðe iP2 L
þ eiP2 L Þ
P11 ¼ þ , ð13:21Þ
4P1 P2 2
when E  eV0, satisfying the excited condition,
  2 " 2  # 1
 1  ðP þ P2 2
Þ
  ¼ 2 1
sin P2 L þ cos2 ðP2 LÞ
2
P11  2P1 P2
  2   1
ðP2 þ P21 Þ
¼ 1þ sin ðP2 LÞ :
2
2P1 P2 ð13:22Þ

13.3 Simulation and Analysis


13.3.1 Absorption and optical gain
Study of Zn- and nitride-based material has been motivated in part by the
large variance in reference values of the absorption coefficient, refractive
index, energy bandgap, and thickness. Measurements of the absorption
coefficient for various energies have provided useful information about the
bandgaps of the materials. A number of first-principle calculations of
the absorption coefficient have been performed.25 28 The techniques used
are computationally intensive and, in the case of first-principle methods, are
known to underestimate the effective bandgap and possibly overestimate the
spin–orbit interaction. This could lead to an overestimation of the energy band
curvatures.
Yang and Xu29 published a detailed calculation of the absorption
coefficient within the framework of the empirical tight bonding method, but it
excludes spin–orbit interaction. It should be noted that wurtzite Zn- and
nitride-based materials are known to yield different refractive indices for light
polarized parallel and perpendicular to the c axis. This originates from a
combination of the crystal field and spin–orbit interactions, which splits the
valence-band edge into three two-fold degenerate bands. Therefore, the
spin–orbit interaction must be included in the model calculations to reproduce
the birefringence observed very close to the absorption edge.
Hiroyasu and Takachi30 observed a bandgap-narrowing and band-tailing
effect in the absorption spectrum of GaN with a high concentration of Si
doping. Light-emitting diodes (LEDs) based on InGaN/AlGaN heterostruc-
tures have already been commercialized,31,32 and RT continuous operation of
InGaN-based QW laser diodes has been reported. Due to the large exciton
binding energy of GaN (27.5 meV),33 which is slightly larger than the thermal
energy of electrons at RT (26 meV), the optical properties of GaN are
significantly affected by excitons even at RT.34 The study of the optical
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 301

properties of GaN with various impurity concentrations is also important in


understanding basic material properties for practical applications. The
photoluminescence of Si-doped GaN has been studied,35,36 and the basic
recombination processes are well understood. However, study of optical
absorption has been limited to measurements of undoped samples. High
doping usually induces exciton suppression, band filling, band-trailing effects,
and band narrowing, all of which seriously affect the properties of most
semiconductor optoelectronic devices. Measurement of the absorption
coefficient over varying impurity doping concentrations can provide direct
insight into these effects.
Yao and Liu37 investigated interband absorption and the effects of
polarization on optical absorption. Here we investigate the band structures
and absorption coefficients for intersubband transitions in ZnO- and nitride-
based QCLs. The effect of polarization on absorption coefficient is likewise
considered. The calculation of energy eigenvalues and eigenvectors is very
important in understanding the band structure of 1D electron (or hole)
systems in QCLs.
From Ref. 38, the measurement of the modal absorption coefficient for
InGaN QWs in the absorbing region of (Al,In)GaN multisection laser diodes
as a function of bias voltage and photon energy using optical gain
spectroscopy is presented. Short-pulse (Al,In)GaN laser diodes with high
output power could be used as inexpensive laser light sources for fluorescence
lifetime imaging. One approach to realizing such short-pulse laser diodes is to
create multiple sections on a ridge laser diode by fabricating separate electrical
p contacts on top of the laser ridge, where one section is used as an absorber
that can be controlled by applying a negative bias voltage.39 At constant bias,
this section acts as a saturable absorber for self-pulsation. Active mode-
locking can be achieved by imposing a gigahertz-frequency signal.40 10-W
peak power and 15-ps pulse width have already been demonstrated with self-
pulsating multisection laser diodes.41,42 An even shorter pulse width of 3 ps
has been reported for multisection laser diodes in an external resonator.43
The absorption coefficient for intersubband transition from an initial
eigenstate i to a final eigenstate f using the dipole approximation is given as
follows:44
pe2 ħcm0
a¼ fif Jif DN, ð13:23Þ
2mr nr
where m0 is the permeability, c is the speed of light, nr is the index of refraction,
and m*r is the reduced effective mass. The joint density of states Jif describing
intersubband transitions is usually a delta function that can be expressed, once
the broadening effect has been incorporated, as44
2 ħ=G2
Jif ¼ 2dðħv Eg Þ ¼ : ð13:24Þ
p ðEg ħvÞ þ ðħ=G2 Þ
302 Chapter 13

The carrier population difference DN between the initial and final states at
finite temperature is expressed as44
2  3
ðEi EF Þ
1 þ exp
4pnr 6 kB T 7
DN ¼ Ni Nf ¼ 2 kB T ln4 6 7, ð13:25Þ
h L ðEf EF Þ 5
1 þ exp
kB T
where L is the width of the QW, Ei is the intial energy, and Ef is the final
energy:44
 
Ec þ Ev 3 m
EF ¼ þ kB T ln h ; ð13:26aÞ
2 4 me
2 2mr
fif ¼ P2
¼ Eg h f jZjii2 ð13:26bÞ
m ħv if ħ
is the oscillator strength, Pif is the momentum matrix element, and Eg ¼ Ef
Ei is the transition energy. Once the wavefunction has been decided, the
operator is solely the excited distance.
A comparison of the absorption coefficients also favors ZnO/Zn0.05Mg0.95O
heterostructures, as can be seen from Fig. 13.2. The absorption coefficient
of ZnO/Zn0.15Mg0.85O is 2.8  105 cm 1, compared to 1.5  105 cm 1 for the
AlGaN/GaN-material system. The larger absorption coefficient in ZnO/
Zn0.85Mg0.15O is attributed to the comparatively smaller average effective
mass m* along with larger oscillator strength fif.

Figure 13.2 Optical absorption coefficient spectra for the Zn1 xMgxO heterostructure. The
line shape of the absorption coefficient can be changed by using different Mg concentrations.
Shift of the absorption coefficient to higher energy values with increasing Mg mole fraction is
observed. (See color plate section.)
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 303

Figure 13.3 RT optical gain for polar and nonpolar orientations for Zn0.95Mg0.05O and
Al0.05Ga0.95N MQW structures consisting of eight periods with total lengths of 133.2 nm.

The optical gain for phonon emission is defined by Sushil et al.8 as follows:
 
v
gopt ðħvÞ ¼ e p
2
jZfi j2  dðEf Ei þ ħvÞ, ð13:27Þ
câ0 nr
where nr is refractive index, Zfi is the matrix element, and Ei and Ef represent
the energy levels of the initial and final states, respectively.
Figure 13.3 shows the RT optical gain for polar and nonpolar
orientations of Zn0.95Mg0.05O and Al0.05Ga0.95N MQW structures consist-
ing of eight periods with total lengths of 133.2 nm. For a carrier density of
2  1018 cm 3 the optical gain increases near the bandgap energies
[Eg(Zn0.95Mg0.05O) ¼ 3.57 eV, and Eg(Al0.95Ga0.05N) ¼ 3.41 eV]. As shown in
Fig. 13.3, the peak optical gain of the ZnO/Zn0.95Mg0.05O MQW
with nonpolar orientation (308 cm 1) is larger than that of the ZnO/
Zn0.95Mg0.05O MQW with polar orientation (273 cm 1). Likewise, the peak
optical gain for the GaN/Al0.05Ga0.95N MQW with nonpolar orientation is
105.95 cm 1, compared to 94.2 cm 1 for that with polar orientation. This
may be attributed to the larger matrix element Z21 and calculated radiation
frequency, the latter of which is directly proportional to the optical gain, as
can be seen from Eq. (13.27). For an electric field of 100 kV/cm, the
calculated lasing frequencies are 5.756 THz and 5.57 THz in ZnO/
Zn0.95Mg0.05O, and 5.22 THz and 5.18 THz in GaN/Al0.05Ga0.95N, for
nonpolar and polar orientations, respectively. Comparing the results for the
Zn0.95Mg0.05O and Al0.05Ga0.95N MQW structures, the larger optical gain
determined for Zn0.95Mg0.05O with nonpolar orientation is attributed to the
larger optical matrix element of the zinc material compared to that of the
nitride-based structure.
304 Chapter 13

13.3.2 Terahertz output power and wall-plug efficiency


The optical output power is a useful measure for characterizing the
performance of a laser. Due to the cascading scheme, optical output power is
intrinsically high in QCLs because the electrons that have contributed to the
generation of photons in one module of the active region are still present in the
conduction band and can be reused in subsequent modules of the active region.
The power performance of the lasers shows the validity of the cascading
scheme. We use the following relationships to calculate the output powers:24
Pout ðvÞ ¼ ME ¼ Mħv: ð13:28Þ
The number of photons of a certain frequency being emitted per unit time
from the front surface of a laser must equal the total number of photons mtot
present at certain frequency inside the laser cavity times the rate Wm at which
a single photon is emitted out of the front surface mirror, M ¼ mtotWm.
Incorporating the mirror loss aM2, this equation is written as follows:
Vmtot aM2 cħv
Pout ðvÞ ¼ : ð13:29Þ
nr G
This defines a power spectrum as a function of frequency. Typically, only one
frequency is associated with a specific output power. However, the power is
calculated using this equation for all possible transitions to ensure completeness.
Note that the effective refractive index nZnO
r and the confinement factor G are all
frequency dependent and must be calculated separately for each possible
transition.
The WPE is defined as the ratio of the emitted peak optical power and the
total input electrical power. It follows the treatment outlined by Razeghi
et al.45 The WPE can be expressed as
hw ¼ hi ho hv he , ð13:30Þ
where hi is the internal quantum efficiency, ho is the optical efficiency, hv is
the voltage efficiency, and he is the electrical efficiency. The optical
efficiency of the QCL is formulated as ho ¼ am =ðam þ aw Þ , where am and aw
are the mirror and waveguide losses, respectively. The voltage efficiency is
formulated as
Nħv 1
hv ¼ , ð13:31Þ
q Vth
where N ¼ 40 is the number of the QCL stages, q is the elementary electron
charge, and Vth is the voltage at threshold current Ith. Electrical efficiency is
given as follows:
I Ith
he ¼  , ð13:32Þ
I Ith
I 1þR
Vth
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 305

Figure 13.4 (a) Optical output power as a function of number of periods for the
Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW and the Al0.05Ga0.95N /GaN/Al0.05Ga0.95N MQW,
each biased at 100 kV/cm. (b) WPE as a function of number of periods for the
Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW and the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW.

where I is the injection current and R ¼ 2.4 V is the differential resistance of


the structure.
Figures 13.4(a) and (b) show the optical output power and the WPE as a
function of number of periods for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O
MQW and the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW, each biased at
100 kV/cm. The simulations incorporated fixed total number values for
photon count, charge, external voltage, threshold current, threshold voltage,
and injection current set at 20 mA. It is to be noted that the III-V structure is
similar to the QCL reported by Bai et al.11 but lacks the additional quantum
structure in the barriers. Assuming a 3-mm high-reflection coating with a low
waveguide loss of 0.7 cm 1 results in an optical efficiency ho of 98%.11 The
voltage efficiency hv for Zn0.95Mg0.05O is mainly determined based on number
of stages, radiation frequency, and threshold voltage. The peak value of
electrical efficiency he, which is a function of the injection current, is
determined to be 65%, and the internal quantum efficiency hi is assumed to be
70%. Using these ho and hi values, the frequency dependence, mole fraction
dependence, and period-number dependence of the other materials is
determined. The relatively high photon energy of Zn1 xMgxO, which is
evident from comparison of its emission frequency with that of other
materials, results in higher voltage efficiency. As a consequence, the overall
WPE for Zn1 xMgxO is higher than that for the AlxGa1 xN system.
In Fig. 13.4(a), the observed higher peak power in ZnO-based systems can
be explained by the smaller refractive index (1.65) of the ZnMgO-based
material, as can be seen from Eq. (13.29). In addition, the larger matrix
element of Zn1 xMgxO results in increased photon density, giving rise to
306 Chapter 13

higher output optical powers. It is to be noted that the peak output power is
weakly dependent on the Al or Mg mole fraction. The higher terahertz optical
emission frequency of ZnMgO compared to AlGaN can be attributed the
lower polarization field of the former, which is dependent on its mechanical
properties; decreasing the polarization field will cause a shift in the
eigenstates, thereby increasing the radiation frequency and affecting output
power. The total polarization, calculated as the sum of the spontaneous and
piezoelectric polarizations, is 0.0785 C/m2 for the ZnMgO-based material and
0.0336 C/m2 for the AlGaN-based system, assuming the same mole fraction,
x ¼ 0.05, of Mg and Al. In addition, it can be seen that the output power
increases only slightly, while the WPE shows a significant drop, with
increasing number of periods.
The corresponding radiation frequency as a function of number of periods
is shown in Fig. 13.4(c). The change in radiation frequency with increasing
total device length due to the increasing number of periods is the result of
variation in the eigenstates. From the simulation results, a decrease in
eigenstate 3 and eigenstate 2 was observed as a function of number of periods.
These changes will affect the differential between these two states, DE32, which
is proportional to the radiation frequency, as shown in the inset of Fig. 13.4(c).
Figure 13.4(d) shows the WPE curve, which is proportional to DE32, and can
be found by dividing the radiation frequency by the confinement factor. The
inset of Fig. 13.4(d) shows the corresponding optical confinement factor of
ionic and nitride-based materials, which is observed to decrease with
increasing number of periods due to its frequency dependence according to
Eq. (13.31). Changing the number of periods alters the total length of the

Figure 13.4 (cont’d.) (c) Corresponding radiation frequency as a function of number of


periods. (d) Curve of WPE, which is proportional to DE32, and can be found by dividing the
radiation frequency by the confinement factor. The inset figure shows the corresponding
optical confinement factor of ionic and nitride-based materials.
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 307

Figure 13.4 (cont’d.) (e) Voltage, internal, optical, and electrical efficiencies versus the
number of periods for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW. (f) Voltage, internal,
optical, and electrical efficiencies versus the number of periods for the Al0.05Ga0.95N/GaN/
Al0.05Ga0.95N MQW.

structure, causing the eigenstates to be shifted to different levels, thus


resulting in a shift in the radiation frequency and wavelength. Figure 13.4(e)
shows voltage, internal, optical, and electrical efficiencies versus number of
periods for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW. The significant
change in voltage efficiency from previous results is due to the variation in
radiation frequency with total structure length, which increases with the
number of periods. In addition, the radiation frequency decreases, and the
stage number increases with increasing number of periods.
Figure 13.4(f) shows voltage, internal, optical, and electrical efficiencies
versus number of periods for the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW.
The behavior of the electrical efficiency was similar for both the
Al0.05Ga0.95N/GaN/Al0.05Ga0.95N and the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O
MQWs. The drop in voltage efficiency can be attributed to the variation in the
emitted radiation frequency with number of periods. The voltage efficiency
curves in Figs. 13.4(e) and (f) are proportional to the radiation frequency
plotted versus number of periods. The radiation frequency is, in turn,
proportional to the difference DE32 between the eigenenergies E2 and E3.
Figures 13.5(a) and (b) show the terahertz output power and WPE versus
radiation frequency, respectively, for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O
MQW and the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW. The following
simulation input parameters were fixed: charge, threshold current, threshold
voltage, and the injection current set at 20 mA. The peak WPE values for the
Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O and Al0.05Ga0.95N/GaN/Al0.05Ga0.95N
MQWs both occur at a frequency of 5.5 THz. According to Eqs. (13.29)
and (13.31), the output power is proportional to the quotient of radiation
frequency and confinement factor, while the WPE curve follows that of the
308 Chapter 13

Figure 13.5 (a) Terahertz output power versus radiation frequency for the Zn0.95Mg0.05O/
ZnO/Zn0.95Mg0.05O MQW and the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW. (b) WPE versus
radiation frequency for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW and the Al0.05Ga0.95N/
GaN/Al0.05Ga0.95N MQW. (c) Output power, which is proportional to the quotient of the
radiation frequency and confinement factor. The inset shows the details of optical
confinement factor as a function of radiation frequency. (d) The WPE curve follows that of
the stage number multiplied by the radiation frequency. The inset shows the details of stage
number as a function of radiation frequency.

stage number multiplied by the radiation frequency; these results are provided
in Figs. 13.5(c) and (d), respectively. The confinement factor is shown in the
inset of Fig. 13.5(c). The corresponding number of stages is shown in the inset
of Fig. 13.5(d); different numbers of stages are necessary to achieve
frequencies of 5, 5.5 and 6 THz.
Figure 13.5(e) shows voltage, internal, optical, and electrical efficiencies
versus radiation frequency for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 309

Figure 13.5 (cont’d.) (e) Voltage, internal, optical, and electrical efficiencies versus radiation
frequency for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW with a fixed injection current of
20 mA. (f) Voltage, internal, optical, and electrical efficiencies versus radiation frequency for the
Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW. Compared to the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O
MQW, voltage efficiency has a similar shape and a peak value of 36% at 5.5 THz.

with a fixed injection current of 20 mA. Slight and moderate changes are
observed in electrical and voltage efficiencies, respectively, the latter of which
has the greatest impact on the shape of the WPE curve. The radiation
frequencies (approximately 5, 5.5, and 6 THz) were chosen to represent values
practical for ionic and nitride-based materials. Voltage efficiency was affected
only by the number of stages N and the radiation frequency v. Radiation
frequencies of 5.5 and 6 THz may be realized by using 52 stages and 44
stages, respectively.
Figure 13.5(f) shows voltage, internal, optical, and electrical efficiencies
versus radiation frequency for the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW.
The voltage efficiency has a similar shape compared to that of the
Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW, with a peak value of 36% at
5.5 THz. As can be seen in this figure, the shape of the WPE curve is most
affected by the voltage efficiency. Here, 42 and 38 stages were used to achieve
radiation frequencies of 5.5 and 6 THz, respectively.
Figures 13.6(a) and (b) show the optical output power and the WPE
versus the Mg and Al mole fraction for the ZnMgO/ZnO/ZnMgO MQW and
the AlGaN/GaN/AlGaN MQW. The simulations incorporated fixed total
number of photons, charge, threshold current, threshold voltage, and the
injection current set at 20 mA.
The radiation frequency as shown in Fig. 13.6(c) increases as the Al and
Mg mole fractions are increased. This phenomenon could be attributed to the
variation of eigenstates, as discussed in Ref. 46. Increasing the mole fraction
310 Chapter 13

Figure 13.6 (a) Optical output power versus Mg and Al mole fraction for the ZnMgO/ZnO/
ZnMgO MQW and the AlGaN/GaN/AlGaN MQW. (b) WPE versus Mg and Al mole fraction
for the ZnMgO/ZnO/ZnMgO MQW and the AlGaN/GaN/AlGaN MQW. (c) shows the
radiation frequency as the Ag and Mg mole fraction. (d) Frequency divided by the optical
confinement factor, which is proportional to the terahertz power.

will also increase the total polarization of the material; hence, the structure
properties will be affected. In the simulation results, eigenstate 3 and
eigenstate 2 both decrease with increasing Al and Mg mole fraction. DE32,
which is plotted in the inset of Fig. 13.6(c), is seen to be proportional to the
radiation frequency.
The terahertz power is proportional to the radiation frequency divided by
the optical confinement factor, as shown in Fig. 13.6(d). The inset of Fig. 13.6(d)
shows the optical confinement factor as a function of the Mg and Al mole
fraction for ionic and nitride-based materials, where an increase in the
confinement factor with mole fraction is observed. Here the optical confinement
factor is frequency dependent, as shown in Eq. (13.29). The radiation frequency
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 311

Figure 13.6 (cont’d.) (e) Voltage, internal, optical, and electrical efficiencies versus Mg
mole fraction for the ZnMgO/ZnO/ZnMgO MQW. (f) Voltage, internal, optical, and electrical
efficiencies versus Al mole fraction for the AlGaN/GaN/AlGaN MQW. As the Al mole fraction
increases, the radiation frequency likewise increases.

increases with the mole fraction, as seen from Eq. (13.29), resulting in an
increasing optical confinement factor as the mole fraction increases.
Figure 13.6(e) shows voltage, internal, optical, and electrical efficiencies
versus Mg mole fraction for the ZnMgO/ZnO/ZnMgO MQW. Here, we
observe that the WPE varies with the voltage efficiency due to the dependence
of radiation frequency on increasing Mg mole fraction. Figure 13.6(f) shows
voltage, internal, optical, and electrical efficiencies versus Al mole fraction for
the AlGaN/GaN/AlGaN MQW. As the Al mole fraction increases, the
radiation frequency likewise increases. Similar behavior for WPE with
changing radiation frequency is observed, where the peak value is found at a
mole fraction equivalent to 0.15.
In this section the theoretical calculated output power and WPE of polar
ZnO/ZnMgO heterostructures were thus compared, taking into account the
presence of spontaneous and piezoelectric polarizations as well as nonpolar
heterostructures based on fundamental device physics.

13.3.3 Polar versus nonpolar cases


Figure 13.7(a) shows RT terahertz QCL output powers versus injection
current for Al0.05Ga0.95N/GaN/Al0.05Ga0.95N and Zn0.95Mg0.05O/ZnO/
Zn0.95Mg0.05O MQWs with eight periods for polar and nonpolar cases.
When the number of periods in the structures is increased to 10, the terahertz
output powers are found to increase. Higher peak terahertz QCL output
power is demonstrated in the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW
[PZnMgO ¼ 2.983 mW (nonpolar), 2.81 mW (polar)], compared to the
Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW [PAlGaN ¼ 2.469 mW (nonpolar)
312 Chapter 13

Figure 13.7 (a) RT terahertz QCL output power versus injection current for Al0.05Ga0.95N/
GaN/Al0.05Ga0.95N and Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW structures having eight
periods (reprinted from Ref. 22). (b) Optical output power as a function of number of periods
for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW and the Al0.05Ga0.95N/GaN/Al0.05Ga0.95N
MQW for polar and nonpolar planes, each biased at 100 kV/cm (reprinted from Ref. 22).
(c) Corresponding radiation frequency as a function of number of periods of the ionic and
nitride-based materials with polar and nonpolar orientations (reprinted from Ref. 22).
(d) Corresponding optical confinement factor of the ionic and nitride-based materials with
polar and nonpolar orientations (reprinted from Ref. 22). (See color plate section.)

and 2.41 mW (polar)]. The lower calculated terahertz frequency for the
Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW structure [vZnMgO ¼ 5.756 THz (non-
polar), 5.57 THz (polar), vAlGaN ¼ 5.22 THz (nonpolar) and 5.18 THz (polar)]
is due to its larger calculated refractive index, while the higher terahertz output
power for Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O is attributed to its lower
refractive index nZnMgO
r ¼ 1.65 compared to nAlGaN
r ¼ 2.49, since, as is evident
from Eq. (13.29), a lower refractive index results in higher peak optical output
power.
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 313

Figure 13.7(b) shows the optical output power as a function of number of


periods for the Zn0.95Mg0.05O/ZnO/Zn0.95Mg0.05O MQW and the
Al0.05Ga0.95N/GaN/Al0.05Ga0.95N MQW for polar and nonpolar planes, each
biased at 100 kV/cm. The simulations incorporated fixed total number of
photons, charge, external voltage, threshold current, threshold voltage, and
the injection current of 20 mA. The higher terahertz optical emission
frequency of ZnMgO compared to AlGaN can be attributed to the higher
polarization field of the former, which is dependent on its structural properties
and will cause a shift in the energy difference between states, thereby
increasing the radiation frequency and affecting output power. The total
polarization, calculated as the sum of the spontaneous and piezoelectric
polarizations, is 0.0785 C/m2 for the ZnMgO-based material and 0.0336 C/m2
for the AlGaN-based system, assuming the same mole fraction, x ¼ 0.05, of
Mg and Al. The higher output power for the nonpolar structure compared to
the polar structure can be attributed to the higher radiation frequencies: 5.756
and 5.57 THz for Zn0.95Mg0.05O and 5.22 and 5.18 THz for Al0.05Ga0.95N, for
polar and nonpolar structures, respectively. In addition, it can be seen that
the output power, which is proportional to the radiation frequency divided by
the optical confinement factor, increases only slightly with increasing number
of periods.
The corresponding radiation frequency as a function of number of periods
is shown in Fig. 13.7(c). The change in radiation frequency with increasing
total device length due to the increasing number of periods is the result of
variation in the eigenstate energy differentials. From the simulation results, a
decrease in the energy difference between eigenstate 3 and eigenstate 2 was
observed as a function of number of periods. Figure 13.7(d) shows the
corresponding optical confinement factors of the ionic and nitride-based
materials with polar and nonpolar orientations. Due to their frequency
dependence, these optical confinement factors are observed to decrease with
increasing number of periods according to Eq. (13.29). Changing the number
of periods alters the total length of the structure, causing the difference in
energies between eigenstates to be shifted to different levels and thus resulting
in a change in the radiation frequency and wavelength.

13.4 Conclusion
In conclusion, we described the calculation of the subband structure,
absorption coefficient, optical gain, optical output power, and WPE of
GaN/AlxGa1 xN and ZnO/Zn1 xMgxO quantum structures using an envelope
function framework that takes into account the polarization fields in the
quantum wells. The parameters of the potential barriers of the two-barrier
structure were determined, and the transmission coefficients of this structure
314 Chapter 13

were calculated both with and without the presence of electric fields. The
number of levels in the quantum well decreases under high-electric-field action
due to the Stark effect. The transmission coefficient maxima shift to lower
energy, and their amplitudes change under exposure to external bias voltage,
which is due to an increase in the potential asymmetry under the action of the
external field. The modification of the band energies due to polarization and
its effect on the generated terahertz radiation has been explored. Decreasing
the polarization field, which is higher in ZnMgO compared to AlGaN
resulting in a potentially higher THz optical emission frequency for the
former, causes a shift in the eigenstates, thereby affecting the radiation
frequency and output power. In addition, the relatively high photon energy of
Zn1 xMgxO results in higher voltage efficiency and thus greater overall WPE
than that for AlxGa1 xN systems. The sensitivity of generated terahertz
radiation to varying structural dimensions and Al and Mg mole fraction
allows the design of QCLs operating at specific frequencies in the range of 2 to
15 THz. Tunability of the generated terahertz radiation can also be achieved
by controlling the applied bias.

References
1. M. S. Shur and M. Asif Khan, “GaN and AlGaN Ultraviolet Detectors,” in
Semiconductors and Semimetals, Vol. 57, J. I. Pankove and T. D. Moustakas,
Eds., Academic Press, San Diego, pp. 407–439 (1998).
2. W. Knapp, F. Teppe, Y. Meziani, N. Dyakonova, J. Lusakowski, F. Boeuf,
T. Skotnicki, D. Maude, S. Rumyantsev, and M. S. Shur, “Plasma wave
detection of sub-terahertz and terahertz radiation by silicon field-effect
transistors,” Appl. Phys. Lett. 85, 675–677 (2004).
3. S. Fathololoumi, E. Dupont, C. W. I. Chan, Z. R. Wasilewski, S. R. Laframboise,
D. Ban, A. Mátyás, C. Jirauschek, Q. Hu, and H. C. Liu, “Terahertz quantum
cascade lasers operating up to 200 K with optimized oscillator strength and
improved injection tunneling,” Opt. Express 20, 3866 (2012).
4. A. Wade, G. Fedorov, D. Smirnov, S. Kumar, B.S Williams, Q. Hu, and
J. L. Reno, “Magnetic field controlled THz quantum cascade laser
operating up to 225K,” Nature Photon. 3, 41–45 (2009).
5. R. Kazarinov and R. Suris, “Possibility of the amplification of
electromagnetic waves in a semiconductor with a superlattice,” Sov.
Phys. Semicond. 5, 707–709 (1971).
6. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and Y. Cho,
“Quantum cascade laser,” Science 264, 553–556 (1994).
7. R. Köhler, A. Tredicucci, F. Beltram, H. E. Beere, E. H. Linfield, A. G. Davies,
D. A. Ritchie, R. C. Iotti, and F. Rossi, “Terahertz semiconductor-
heterostructure laser,” Nature 417, 156–159 (2002).
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 315

8. S. Kumar, Q. Qin, B. S. Williams, Q. Hu, Z. R. Wasilewski, X. Wu, and


H. C. Liu, “Quantum-cascade lasers with one-well injector operating at
1.59 THz (l ¼ 188.5 mm),” Conf. on Lasers and Electro-Optics, CLEO
2007, Baltimore, Maryland, pp. 1–2 (2007).
9. B. S. Williams, “Terahertz quantum-cascade lasers,” Nature Photon. 1,
517–525 (2007).
10. M. Tonouchi, “Cutting-edge terahertz technology,”Nature Photon. 1,
97–105 (2007).
11. Y. Bai, N. Bandyopadhyay, S. Tsao, S. Slivken, and M. Razeghi, “Room
temperature quantum cascade lasers with 27% wall plug efficiency,” Appl.
Phys. Lett. 98, 181102 (2011).
12. R. Maulini, A. Lyakh, A. Tsekoun, C. Kumar, and N. Patel, “l
7.1 mm
quantum cascade lasers with 19% wall-plug efficiency at room
temperature,” Opt. Express 19, 17203–17211 (2011).
13. A. Tredicucci, L. Mahler, T. Losco, J. Xu, C. Mauro, R. Koehler, H. Beere,
D. Ritchie, and E. Linfield, “Advances in THz quantum cascade lasers:
fulfilling the application potential,” Proc. SPIE 5738, 146 (2005) [doi:
10.1117/12.597121].
14. W. Terashima, and H. Hirayama, “Spontaneous emission from GaN/
AlGaN terahertz quantum cascade laser grown on GaN substrate,”
Physica Status Solidi (c) 8(7–8), 2302–2304 (2011).
15. E. Bellotti, K. Driscoll, T. D. Moustakas, and R. Paiella, “Monte Carlo
simulation of terahertz quantum cascade laser structures based on wide-
bandgap semiconductors,” J. Appl. Phys 105, 113103 (2009).
16. N. Iizuka, K. Kaneko, and N. Suzuki, et al., “Ultrafast intersubband
relaxation (<150 fs) in AlGaN/GaN multiple quantum wells,” Appl. Phys.
Lett. 77(8), 648–650, (2000).
17. J. D. Heber, C. Gmachl, H. M. Ng, and A. Y. Cho, “Comparative study
of ultrafast intersubband electron scattering times at 1.55 m wavelength
in GaN/AlGaN heterostructures,” Appl. Phys. Lett. 81(7), 1237–1239,
(2002).
18. Y. Hao, L.-A. Yang, and J.-C. Zhang, “GaN-based semiconductor devices
for terahertz technology,” Terahertz Sci. Technol. 1(2) 51–64 (2008).
19. P. Gopal and N. A. Spaldin, “Polarization, piezoelectric constants, and
elastic constants of ZnO, MgO, and CdO,” J. Electron. Mater. 35,
538–543 (2006).
20. S. Strite and H. Morkoç, “GaZ, AlN, and InN: A review,” J. Vac. Sci.
Technol. B 10, 1237 (1992).
21. A. F. J. Levi, Applied Quantum Mechanics, Cambridge University Press,
Cambridge, UK (2003).
316 Chapter 13

22. H. C. Chou, A. Mazady, J. Zeller, T. Manzur, and M. Anwar, “Room-


temperature quantum cascade laser: ZnO/Zn1 xMgxO versus GaN/
AlxGa1 x,” J. Electronic Mater. 42(5), 882–888 (2013).
23. T. Makino, A. Ohtomo, C. H. Chia, Y. Segawa, H. Koinuma, and
M. Kawasaki, “Internal electric field effect on luminescence properties of
ZnO/(Mg,Zn)O quantum wells,” Physica E 21, 671–675, (2004).
24. P. Slingerland, “Temperature Effects and Transport Phenomena in
Terahertz Quantum Cascade Lasers,” Ph.D. thesis, University of
Massachusetts Lowell (2011).
25. Y.-N. Xu and W. Y. Ching, “Electronic, optical, and structural porperties
of some wurtzite crystals,” Phys. Rev. B 48, 4335–4351 (1993).
26. N. E. Christensen and I. Gorczyca, “Optical and structural properties of
III-V nitrides under pressure,” Phys. Rev. B 50, 4397–4415 (1994).
27. W. R. L. Lambrecht, B. Segall, J. Rife, W. R. Hunter, and D. K. Wickenden,
“UV reflectivity of GaN: Theory and experiment,” Phys. Rev. B 51,
13516–13532 (1995).
28. L. X. Benedict, T. Wethkamp, K. Wilmers, C. Cobet, N. Esser, E. L.
Shirley, W. Richter, and M. Cardona, “Dielectric function of wurtzite
GaN and AlN thin films,” Solid State Comm. 112(3), 129–133 (1999).
29. Z. Yang and Z. Xu, “A theoretical study of electronic and optical
properties in wurtzite GaZ,” J. Phys. Condens. Matter 8(43), 8303 (1996).
30. G. Y. Zhao, H. Ishikawa, H. Jiang, T. Egawa, T Jimbo, and M. Umeno,
“Optical absorption and photoluminescence studies of n-type GaN,” Jpn.
J. Appl. Phys. 38, L993–L995 (1999).
31. S. Nakamura, M. Senoh, and T. Mukai, “p-GaN/N-InGaN/N-GaN
double heterostructure blue-light-emitting diodes,” Jpn. J. Appl. Phys. 32,
L8–L11(1993).
32. S. Nakamura, M. Senoh, S. Nagahama, N. Iwasa, T. Yamada,
T. Matsushita, H. Kiyoku, and Y. Sugimoto, “InGaN-based multi-
quantum-well-structure laser diodes,” Jpn. J. Appl. Phys. 35, L74–L76
(1996); S. Nakamura, M. Senoh, S. Nagahama, N. Iwasa, T. Yamada,
T. Matsushita, H. Kiyoku, and Y. Sugimoto, “InGaN multi-quantum-
well-structure laser diodes with cleaved mirror cavity facets,” Jpn. J. Appl.
Phys. 35, L217–L220 (1996).
33. S. Fischer, C. Wetzel, E. E. Haller, and B. K. Meyer, “On p-type doping
in GaZ—acceptor binding energies,” Appl. Phys. Lett. 67, 1298 (1995).
34. J. F. Muth, J. H. Lee, I. K. Shmagin, M. Kolbas, H. C. Casey, B. P.
Keller, U. K. Mishra, and S. P. Denbaars, “Absorption coefficient, energy
gap, exciton binding energy, and recombination lifetime of GaN obtained
from transmission measurements,” Appl. Phys. Lett. 71, 2572 (1997).
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 317

35. B. A. Monemar, “Gallium Nitride (GaN),” in Semiconductors and


Semimetals, Vol. 57, J. I. Pankove and T. D. Mouustakas, Eds., Academic
Press, San Diego, pp. 111–334 (1998).
36. E. Iliopoulos, D. Doppalapudi, H. M. Ng, and T. D. Moustakas,
“Broadening of near-band-gap photoluminescence in n-GaN films,” Appl.
Phys. Lett. 73, 375 (1998).
37. W.-J. Yao, Z.-Y. Yu, and Y.-M. Liu, “Band structure and absorption
coefficient in GaN/AlGaN quantum wires,” Chin. Phys. 19, 077101
(2010).
38. W. G. Scheibenzuber, U. T. Schwarz, L. Sulmoni, J.-F. Carlin,
A. Castiglia, and N. Grandjean, “Bias-dependent absorption coeffficient
of the absorber section in GaN-based multisection laser diodes,” Appl.
Phys. Lett. 97, 181103 (2010).
39. M. Kneissl, T. L. Paoli, P. Kiesel, D. W. Treat, M. Teepe, N. Miyashita,
and N. M. Johnson, “Two-section InGaN multiple-quantum-well laser
diode with integrated electroabsorption modulator,” Appl. Phys. Lett. 80,
3283 (2002).
40. P. Acedo, H. Lamela, S. Garidel, C. Roda, J.-P. Vilcot, G. Carpintero,
I. H. White, K. A. Williams, M. G. Thompson, W. Li, M. V. Pessa,
M. M. Dumitrescu, and S. Hansmann, “Spectral characterisation of monolithic
modelocked lasers for mm-wave generation and signal processing,” Electron.
Lett. 42(16), 928–929 (2006).
41. T. Miyajima, H. H. Watanabe, M. Ikeda, and H. Yokoyama,
“Picosecond optical pulse generation from self-pulsating bisection GaN-
based blue-violet laser diodes,” Appl. Phys. Lett. 94, 161103 (2009).
42. H. Watanabe, T. Miyajima, M. Kuramoto, M. Ikeda, and H. Yokoyama,
“10-W peak-power picosecond optical pulse generation from a triple section
blue-violet self-pulsating laser diode,” Appl. Phys. Express 3, 052701 (2010).
43. K. Saito, H. Watanabe, T. Miyajima, M. Ikeda, and H. Yokoyama,
“Mode locking of an external-cavity bisection GaInN blue-violet laser
diode producing 3-ps duration optical pulses,” Appl. Phys. Lett. 96,
031112 (2010).
44. P. Keshagupta, “Theoretical calculations of wave function and absorption
coefficient for a InGaP/AlGaAs/GaAs multiquantum well infrared
photodetector structure,” Thammasat Int. J. Sci. Tech. 2, 75–78 (1997).
45. M. Razeghi, “High-performance InP-based mid-IR quantum cascade
lasers,” IEEE J. Sel. Topics Quantum Electron. 15(3), 941–951 (2009).
46. H. Chou, T. Manzur, and M. Anwar, “Active layer design of THz GaN
quantum cascade lasers,” Proc. SPIE 8023, 802309 (2011) [doi: 10.1117/
12.888007].
318 Chapter 13

Hung Chi Chou received his B.Sc. degree with a


Presidents’ Award in electrical engineering from Ming
Chuan University, Taiwan, in 2009. Since 2010, he has
been working as a graduate research assistant at the
University of Connecticut. His research areas include
characterization and measurement of ZnO nanowires
and simulation development for ionic-material-based
terahertz QCLs.

John Zeller received a B.S. degree with honors in


electrical engineering from Trinity College, Hartford,
CT, in 2002. He received M.S. and Ph.D. degrees in
electrical engineering from the University of Connecti-
cut in 2007. While at UConn he became involved with
the Office of Naval Research University/Laboratory
Initiative (ULI) program, leading to a postdoctoral
fellowship at the Naval Undersea Warfare Center
(NUWC), Newport, RI. Research areas at NUWC have included free-space
optical communication, broadband focal plane arrays, and periscope imaging.
Dr. Zeller joined Magnolia Optical Technologies in 2011, where research has
primarily involved growth and development of ZnO nanowire arrays for UV
sensor applications.

Anas Mazady received a B.Sc. degree with honors in


electrical engineering from Bangladesh University of
Engineering and Technology in 2006. He received an
M.Sc. degree in electrical engineering from the Univer-
sity of South Carolina in 2010. Since 2011 he has been
working as a graduate research assistant at the
University of Connecticut. His research areas include
growth and characterization of ZnO nanowires and
development of solid state nonvolatile memory.
Wide-Bandgap Semiconductor Quantum Cascade Lasers… 319

Mehdi Anwar currently serves as a full professor in the


Electrical and Computer Engineering department of the
University of Connecticut. He earned his Ph.D. in electrical
and computer engineering at Clarkson University,
Potsdam, NY in 1988. He is the Director of the National
Science Foundation-funded Industry University Co-
operative Research Center. He has also served as the
Associate Dean for Research & Graduate Education of
the School of Engineering, University of Connecticut from June 2006 to May 2009.
He served as the founding Director of the Department of Homeland Security
Center of Excellence from June 2007 to May 2009. Moreover, he was the interim
Director of the Connecticut Global Fuel Cell Center, serving from June 2007 to
January 2009. He served as the interim Department Head of the ECE Department
of the University of Connecticut from June 1999 to August 2001.
Dr. Anwar currently serves as an Editor of the IEEE Journal of Electron
Device Society (IEEE-JEDS) and has served as an Editor of the IEEE
Transactions on Electron Devices (2001–2010). He is conference chair of the
international conference on Terahertz Physics, Devices and Systems: Advanced
Applications in Industry and Defense of the SPIE Defense, Security and
Sensing Symposium. He also chaired the 2006 and 2007 Terahertz Physics,
Devices and Systems Conference as part of SPIE’s Optics East. He has served
as a program committee member and session chair of numerous professional
conferences including SPIE’s Nanosensing Materials and Devices and
Nanostructure Integration Techniques. During his career, Dr. Anwar has
presented more than 30 plenary and invited talks at national/international
conferences, offered tutorials on nanosensors at Optics East, published more
than 200 archival journal publications and conference proceedings, coauthored
3 book chapters, and served as principal investigator or coprincipal investigator
on projects receiving more than $5 million in research grants and contracts. He
served as a member of Clarkson University’s Engineering Advisory Council
and as a consultant for the Sensors Directorate at Hanscom Air Force Base.
Dr. Anwar is an SPIE Fellow.
Part IV: Detectors
Chapter 14
HgCdTe versus Other Material
Systems: A Historical Look
Antoni Rogalski
Institute of Applied Physics, Military University of Technology,
Warsaw, Poland

14.1 Introduction
14.2 The HgCdTe Era
14.3 Alternative Material Systems
14.3.1 PbSnTe
14.3.2 InSb and InGaAs
14.3.3 GaAs/AlGaAs QW SLs
14.3.4 InAs/GaInSb strained layer SLs
14.3.5 Hg based alternatives to HgCdTe
14.4 Readiness Level of LWIR Detector Technologies
14.5 Summary
References

14.1 Introduction
Observing the history of the development of the infrared (IR) detector technology
after World War II, many materials have been investigated. A simple theorem,
after Norton,1 can be stated: “All physical phenomena in the range of
about 0.1–1 eV will be proposed for IR detectors.” Among these effects are:
thermoelectric power (thermocouples), change in electrical conductivity
(bolometers), gas expansion (Golay cell), pyroelectricity (pyroelectric detectors),
photon drag, Josephson effect [Josephson junctions, superconducting quantum
interference devices (SQUIDs)], internal emission (PtSi Schottky barriers),
fundamental absorption (intrinsic photodetectors), impurity absorption (extrin-
sic photodetectors), low-dimensional solids [superlattice (SL), quantum well
(QW), and quantum dot (QD) detectors], different type of phase transitions, etc.

323
324 Chapter 14

Figure 14.1 History of the development of IR detectors and systems. Three generations of
systems can be considered for principal military and civilian applications: 1st Gen. (scanning
systems), 2nd Gen. (electronically scanned staring systems) and 3rd Gen. (multicolor
functionality and other on-chip functions). Material systems marked in bold indicate the main
HgCdTe competitors.

Figure 14.1 gives approximate dates of significant development efforts for


the materials mentioned. The years during World War II saw the origins of
modern IR detector technology. Photon IR technology combined with
semiconductor material science, photolithography technology developed for
integrated circuits, and the impetus of Cold War military preparedness have
propelled extraordinary advances in IR capabilities within a short time period
during the last century.2
Beginning in the 1950s, rapid advances were being made in narrow-
bandgap semiconductors that would later prove useful in extending wavelength
capabilities and improving sensitivity. The first such material was InSb, a
member of the newly discovered III-V compound semiconductor family. The
end of the 1950s and the beginning of the 1960s saw the introduction of narrow-
gap semiconductor alloys in III-V (InAs1 xSbx), IV-VI (Pb1 xSnxTe), and II-VI
(Hg1 xCdxTe) material systems. These alloys allowed the bandgap of the
semiconductor and hence the spectral response of the detector to be custom
tailored for specific applications. In 1959, research by Lawson and coworkers3
triggered development of variable-bandgap Hg1 xCdxTe (HgCdTe) alloys.
Figure 14.2 shows the three Royal Radar Establishment inventors of HgCdTe
(W. D. Lawson, S. Nielson, and A. S. Young) who disclosed the compound
HgCdTe versus Other Material Systems: A Historical Look 325

Figure 14.2 The discoverers of HgCdTe ternary alloy (reprinted from Ref. 4).

ternary alloy in a 1957 patent.4 They were joined by E. H. Putley in the first
publication.3
Discovery of the variable-bandgap HgCdTe alloy has provided an
unprecedented degree of freedom in IR detector design. The fundamental
properties of HgCdTe (high optical-absorption coefficient, high electron
mobility, and low thermal-generation rate), together with the capability for
bandgap engineering, make this alloy system almost ideal for a wide range of
IR detectors. The bandgap energy tunability results in IR detector
applications that span the short wavelength (SWIR: 1–3 mm), middle
wavelength (MWIR: 3–5 mm), long wavelength (LWIR: 8–14 mm), and very
long wavelength (VLWIR: 14–30 mm) ranges.
The first paper by Lawson et al.3 reported both photoconductive and
photovoltaic HgCdTe response at wavelengths extending out to 12 mm. Soon
thereafter, working under a U.S. Air Force contract with the objective of
devising an 8- to 12-mm background-limited semiconductor IR detector that
would operate at temperatures as high as 77 K, the group lead by Kruse at the
Honeywell Corporate Research Center in Hopkins, Minnesota developed a
modified Bridgman crystal growth technique for HgCdTe. They soon
reported both photoconductive and photovoltaic detection in rudimentary
HgCdTe devices.5 The parallel programs were carried out at Texas
Instruments and Santa Barbara Research Center.

14.2 The HgCdTe Era


Figure 14.3 gives approximate dates of significant steps in fabrication of
different HgCdTe IR detectors. Figure 14.4 gives additional insight in the
time line of the evolution of detectors and key developments in process
technology.6
Photoconductive devices had been built in the U.S. as early as 1964 at
Texas Instruments after development of a modified Bridgman crystal growth
technique. The first report of a junction intentionally formed to make an
326 Chapter 14

Figure 14.3 History of the development of HgCdTe detectors.

Figure 14.4. A time line of the evolution of HgCdTe IR detectors and key developments in
the process technologies that made them possible [reprinted from Ref. 6 with permission
from Association of Polish Electrical Engineers (SEP); © 2002 COSiW SEP].

HgCdTe photodiode was by Verie and Granger,7 who used Hg in-diffusion


into p-type material doped with Hg vacancies. The first important application
of HgCdTe photodiodes was as high-speed detectors for CO2 laser radiation.8
The French pavilion at the 1967 Montreal Expo illustrated a CO2 laser system
with a HgCdTe photodiode. However, the high-performance MWIR and
LWIR linear arrays developed and manufactured in the 1970s were n-type
photoconductors used in the first-generation scanning systems. In 1969
Bartlett et al.9 reported background-limited performance of photoconductors
operated at 77 K in the LWIR spectral region. The advantage in material
preparation and detector technology have led to devices approaching
HgCdTe versus Other Material Systems: A Historical Look 327

theoretical limits of responsivity and detectivity over wide ranges of


temperature and background.10
HgCdTe has inspired the development of the three “generations” of
detector devices (see Fig. 14.1). In the late 1960s and early 1970s, first-
generation linear arrays (in which an electrical contact for each element of a
multi-element array is brought off the cryogenically cooled focal plane to the
outside), where there is one electronic channel at ambient temperature for
each detector element were developed.11 The first-generation scanning
system does not include multiplexing functions in the focal plane. The
simplest scanning linear focal plane array (FPA) consists of a row of
detectors. An image is generated by scanning the scene across the strip using,
as a rule, a mechanical scanner. At standard video frame rates, at each pixel
(detector), a short integration time is applied, and the total charges are
accommodated. The U.S. common-module HgCdTe arrays employ 60, 120,
or 180 photoconductive elements, depending on the application. An example
of a 180-element common module FPA mounted on a dewar stem is shown
in Fig. 14.5.
A novel variation of the standard photoconductive device, the SPRITE
detector (Signal PRocessing In The Element), was invented in England.13,14
A family of thermal imaging systems has utilized this device; however,
now its usage is declining. The SPRITE detector provides signal averaging
of a scanned image spot that is accomplished by synchronization
between the drift velocity of minority carriers along the length of a
photoconductive bar of material and the scan velocity of the imaging
system. Then, the image signal builds up a bundle of minority charge,
which is collected at the end of the photoconductive bar, effectively
integrating the signal for a significant length of time and thereby improving
the signal-to-noise ratio.

Figure 14.5 A 180-element common module FPA mounted on a dewar stem (reprinted
from Ref. 12).
328 Chapter 14

In the mid-1970s, attention turned to photodiodes for passive IR


imaging applications. The main limitation of photoconductive detectors is
that they cannot easily be multiplexed on the focal plane. In contrast to
photoconductors, photodiodes, with their very low power dissipation,
inherently high impedance, negligible 1/f noise, and easy multiplexing on
focal plane silicon chip, can be assembled in 2D arrays containing more than
megapixel elements, limited only by existing technologies. These readout
integrated circuits (ROICs) include, e.g., pixel deselecting, antiblooming on
each pixel, subframe imaging, output pre-amplifiers, and some other
functions. Systems based on such FPAs can be smaller and lighter, can
have lower power consumption, and can result in much higher performance
than systems based on first-generation detectors. Photodiodes can also have
less low-frequency noise, faster response time, and the potential for a more
uniform spatial response across each element. However, the more complex
processes needed for photovoltaic detectors have influenced the slower
development and industrialization of the second-generation systems.
Another point is that, unlike photoconductors, there is a large variety of
device structures with different passivations, junction-forming techniques,
and contact systems.
Intermediary systems were also fabricated with multiplexed scanned
photodetector linear arrays in use and with, as a rule, time delay and
integration (TDI) functions. The array illustrated in Fig. 14.6 is an 8  6
element photoconductive array elaborated in the mid-1970s that was intended
for use in a serial-parallel scan image. Staggering the elements to solve the
connection problems introduces delays between image lines. Typical examples
of modern systems are HgCdTe multilinear 288  4 arrays fabricated by
Sofradir both for 3- to 5-mm and 8- to 10.5-mm bands with signal processing

Figure 14.6. Photomicrograph of an 8  6 element photoconductive array of 50-mm


square elements using labyrinthed structure for enhanced responsivity. Staggering the
elements to solve the connection problems introduces delays between image lines
(reprinted from Ref. 4).
HgCdTe versus Other Material Systems: A Historical Look 329

in the focal plane (photocurrent integration, skimming, partitioning, TDI


function, output pre-amplification, and some others).
After the invention of charge-coupled devices (CCDs) by Boyle and
Smith,15 the idea of an all-solid-state electronically scanned 2D IR detector
array caused attention to be turned to HgCdTe photodiodes. These include
p–n junctions, heterojunctions, and metal–insulator–semiconductor photo-
capacitors. More interest has been focused on the first two structures, which
can be reverse-biased for even higher impedance and can therefore match
electrically with compact low-noise silicon readout pre-amplifier circuits. In
the end of 1970s, the emphasis was directed toward large photovoltaic
HgCdTe arrays in the MW and LW spectral bands for thermal imaging.
Recent efforts have been extended to short wavelengths, e.g., for starlight
imaging in the short-wavelength range, as well as to very LWIR (VLWIR)
spaceborne remote sensing beyond 15 mm.
At present, the most commonly used HgCdTe photodiode configurations
are unbiased homo- (nþ-on-p) and heterojunction (P-on-n, P denoting the
wider energy gap material) photodiodes. n-on-p junctions are fabricated in
two different manners using Hg vacancy doping and extrinsic doping. The use
of Hg vacancy as p-type doping is known to kill the electron lifetime, and the
resulting detector exhibits a higher current than in the case of extrinsic doping
using As. Generally, n-on-p vacancy doped diodes give rather high diffusion
currents but lead to a robust technology, as their performance weakly depends
on doping level and absorbing layer thickness. Due to a higher minority-
carrier lifetime, extrinsic doping is used for low-dark-current (low-flux)
applications. p-on-n structures are characterized by the lowest dark current.
Development in detector FPA technology has revolutionized many kinds
of IR imaging. Imaging FPAs have developed in proportion to the ability of
silicon integrated-circuit (IC) technology to read and process the array signals,
and with the ability to display the resulting image. Although efforts have been
made to develop monolithic structures using a variety of IR detector materials
over the past 40 years, only a few have matured to a level of practical use.
These include mainly PtSi and uncooled silicon microbolometers. Residual IR
material systems [InGaAs, InSb, HgCdTe, GaAs/AlGaAs quantum-well
infrared photodetectors (QWIPs), and extrinsic silicon] are used in hybrid
configurations.
Figure 14.7 shows, for example, the time line for HgCdTe FPA
development at Raytheon Vision Systems (formerly Santa Barbara Research
Center). Advancements in IR sensor technology have enabled increased array
sizes and decreased pixel sizes to facilitate the routine production of large
megapixel arrays. The substrate size and corresponding detector array sizes
started from the initial bulk HgCdTe crystal wafers of 3 cm2 and progressed
through liquid phase epitaxy on CdZnTe substrates of 30 cm2 up to today’s
molecular beam epitaxy (MBE) on alternate substrates of 180 cm2.
330 Chapter 14

Figure 14.7 Progression of ROIC format at Raytheon Vision Systems over time (reprinted
from Ref. 16).

A general trend has been to reduce pixel sizes, and this trend is expected to
continue. Pixel sizes as small as 15 mm have been demonstrated in hybrid
systems. Systems operating at shorter wavelengths are more likely to benefit from
small pixel sizes because of the smaller diffraction-limited spot size. Diffraction-
limited optics with low f-numbers (e.g., f/1) could benefit from pixels on the order
of one wavelength across, about 10 mm in the LWIR. Oversampling the
diffractive spot may provide some additional resolution for smaller pixels, but
this saturates quickly as the pixel size is decreased. Pixel reduction is also
mandatory for cost reduction of a system (reduction of the optics diameter,
dewar size and weight, and power, together an increase in reliability).
SWIR, MWIR, and LWIR electronically scanned HgCdTe arrays with a
CMOS multiplexer are commercially available from several manufactures.
Most manufactures produce their own multiplexer designs because these often
need to be tailored to the applications. Figure 14.8 shows an example of large
HgCdTe FPAs.17,18 While the size of individual arrays continues to grow, the
very large FPAs required for many space missions are fabricated by mosaic
assembly of a large number of individual arrays. An example of a large
mosaic developed by Teledyne Imaging Sensors is a 147-megapixel FPA that
comprises 35 arrays, each with 2048  2048 pixels.18
Third-generation HgCdTe systems are now being developed. These
systems provide enhanced capabilities such as larger number of pixels, higher
frame rates, better thermal resolution, as well as multicolor functionality and
HgCdTe versus Other Material Systems: A Historical Look 331

Figure 14.8 Large HgCdTe FPAs: (a) A mosaic of four Hawaii-2RG-18s (4096  4096
pixels, 18-mm pitch). (b) Hawaii-4RG-10 (4096  4096 pixels, 10-mm pitch) as is being used
for astronomy observations (reprinted from Ref. 18). (c) 16 2048  2048 HgCdTe arrays
assembled for the VISTA telescope (reprinted from Ref. 17 with permission).

other on-chip functions. Multicolor capabilities are highly desirable for


advanced IR systems. Systems that gather data in separate IR spectral bands
can discriminate both absolute temperature and unique signatures of objects in
the scene. By providing this new dimension of contrast, multiband detection
also offers advanced color-processing algorithms to further improve sensitivity
compared to that of single-color devices.
The unit cell of integrated multicolor FPAs consists of several colocated
detectors (see Fig. 14.1), each sensitive to a different spectral band. In the case
of HgCdTe, this device architecture is realized by placing a longer-wavelength
HgCdTe photodiode optically behind a shorter-wavelength photodiode. Each
layer absorbs radiation up to its cutoff, and, hence, transparent to the longer
wavelengths, which are then collected in subsequent layers.

14.3 Alternative-Material Systems


The difficulties in growing HgCdTe material, significantly due to soli-
dus–liquidus separation and high vapor pressure of Hg, encouraged the
development of alternative detector technologies over the past forty years. One
of these was PbSnTe, mentioned previously. InAs/Ga1 xInxSb strained-layer
superlattices (SLSs) have been also proposed for IR detector applications in the 8-
to 14-mm region. Among the different types of QWIP technology, the GaAs/
AlGaAs multiple QW detector is the most mature. QWIP technology is relatively
new and has developed very quickly in the last two decades, with LWIR imaging
performance comparable to state-of-the-art HgCdTe performance. Below, the
mentioned technologies are compared to the HgCdTe ternary alloy system.

14.3.1 PbSnTe
One of these was PbSnTe, which was vigorously pursued in parallel with HgCdTe
in the late 60s and early 70s.19 PbSnTe was comparatively easy to grow, and good-
quality LWIR photodiodes and lasers were readily demonstrated.
332 Chapter 14

Figure 14.9 Liquidus and solidus lines in HgTe-CdTe, HgTe-ZnTe, and PbTe-SnTe
pseudo-binary systems. (See color plate section.)

Figure 14.9 shows the liquidus and solidus lines in three pseudo-binary
systems. In comparison with PbTe-SnTe, the wide separation between the
HgCdTe liquidus and solidus leads to marked segregation between CdTe and
HgTe that is instrumental in the development of the bulk growth techniques of
this system. In addition to solidus–liquidus separation, high-Hg partial pressure
is also influential both during growth and postgrowth heat treatments.
In their review paper published in 1974,20 Harman and Melngailis, both
involved in studies of HgCdTe and PbSnTe ternary alloys in Massachusetts
Institute of Technology, wrote:
“In comparing the two materials we anticipate that Pb1 xSnxTe will
be more widely used in the future for detection of blackbody radiation
in the 8- to 14-mm region because crystal growth techniques for this
alloy are potentially cheaper and adaptable to mass production. In
addition, Pb1 xSnxTe appears to be more stable and less likely to
degrade at elevated temperatures than Hg1 xCdxTe. However, for
heterodyne detection and other high-speed applications, Hg1 xCdxTe
can be expected to be more useful at frequencies in the gigahertz range
because of the inherent advantage of a lower dielectric constant.”
Several years later, this opinion was completely changed. In the late
1970s, development of IV-VI alloy photodiodes was discontinued because
the chalcogenides suffered two significant drawbacks. The first was a high
dielectric constant that resulted in high diode capacitance and therefore
HgCdTe versus Other Material Systems: A Historical Look 333

Figure 14.10 Linear CTE of PbTe, InSb, HgTe, and Si versus temperature (after Ref. 22).

limited frequency response (for PbSnTe the observed values of the static
dielectric constant have been widely distributed from 400 to 5800, and at the
same temperature these values have been scattered in the range up to one order
of magnitude21). For scanning systems under development at that time, this was
a serious limitation. However, for staring imaging systems under development
today using 2D arrays, this would not be as significant of an issue.
The second drawback to IV-VI compounds is their very high coefficients
of thermal expansion (CTEs).22 This limited their applicability in hybrid
configurations with silicon multiplexers. Differences in CTE between the
readout and detector structure can lead to failure of the indium bonds after
repeated thermal cycling from room temperature to the cryogenic temperature
of operation.23 Figure 14.10 shows the dependence of the CTE of PbTe, InSb,
HgTe, and Si on temperature. At room temperature, the CTE of HgTe
and CdTe is about 5  10 6 K 1, while that of PbSnTe is in the range of 20 
10 6 K 1. This results in much greater CTE mismatch with silicon (CTE of
about 3  10 6 K 1).

14.3.2 InSb and InGaAs


In the middle and late 1950s it was discovered that InSb had the smallest energy
gap of any semiconductor known at that time, and its applications as a
midwavelength IR detector became obvious. The energy gap of InSb is less well
matched to the 3- to 5-mm band at higher operating temperatures, and better
performance can be obtained from HgCdTe. InAs is a similar compound to
InSb but has a larger energy gap, such that the threshold wavelength is 3–4 mm.
334 Chapter 14

Figure 14.11 Comparison of the dependence of dark current on temperature between


MBE-grown MWIR FPAs and the highest reported value for InSb arrays. For the HgCdTe
1024  1024 arrays with 18  18 mm pixels, the cutoff is 5.3 mm; with no AR coating,
quantum efficiency is 73% at 78 K (reprinted from Ref. 24 with kind permission from
Springer; © 2003, Springer).

In InSb photodiode fabrication the standard manufacturing technique


begins with bulk n-type single crystal wafers with donor concentration about
1015 cm 3 (the epitaxial techniques are used rarely). Relatively large bulk grown
crystals with 3-in. and 4-in. diameters are available on the market. Figure 14.11
compares the dependence of dark current on temperature between HgCdTe and
InSb photodiodes. This comparison suggests that MWIR HgCdTe photodiodes
have significantly higher performance in the 30–120 K temperature range.
The InSb devices are dominated by generation–recombination currents in the
60–120 K temperature range because of a defect center in the energy gap,
whereas MWIR HgCdTe detectors do not exhibit generation–recombination
currents in this temperature range and are limited by diffusion currents. In
addition, wavelength tunability has made HgCdTe the preferred material.
In0.53Ga0.47As alloy (Eg ¼ 0.73 eV, lc ¼ 1.7 mm) that is lattice matched to
the InP substrate is a suitable detector material for the near-IR (1.0- to 1.7-mm)
HgCdTe versus Other Material Systems: A Historical Look 335

spectral range. Having lower dark current and noise than indirect-bandgap
germanium—the competing near-IR material—the material is addressing both
entrenched applications including lightwave communication systems, low-light-
level night vision, and new applications such as remote sensing, eye-safe range
finding, and process control. Due to the similar band structures of InGaAs and
HgCdTe ternary alloys, the ultimate fundamental performance of both types of
photodiodes is similar in the wavelength range 1.5 < l < 3.7 mm.25 InGaAs
photodiodes have shown high device performance close to theoretical limits for
material whose composition is nearly matched to that of InP (ffi1.7-mm cutoff
wavelength) and InAs (ffi3.6-mm cutoff wavelength). However, the performance
of InGaAs photodiodes decreases rapidly at intermediate wavelengths due to
substrate-lattice-mismatch-induced defects.

14.3.3 GaAs/AlGaAs QW SLs


An alternative hybrid detector for the LWIR region (8- to 14-mm) is the
QWIP. These high-impedance detectors are built from alternating thin layers
(superlattices) of GaAs and AlGaAs. Despite extensive research-and-
development efforts, large photovoltaic LWIR HgCdTe FPAs remain
expensive, primarily because of the low yield of operable arrays. The low
yield is due to sensitivity of LWIR HgCdTe devices to defects and surface
leakage, which is a consequence of basic material properties. With respect to
HgCdTe detectors, GaAs/AlGaAs QW devices have a number of advantages,
including the use of standard manufacturing techniques based on mature
GaAs growth and processing technologies, highly uniform and well-controlled
MBE growth on up to 8-in GaAs wafers, high yield (and thus low cost), more
thermal stability, and intrinsic radiation hardness.
LWIR QWIPs cannot compete with HgCdTe photodiodes as single
devices, especially at higher temperature operation (>70 K) due to
fundamental limitations associated with intersubband transitions. Kinch
and Yariv26 investigated the fundamental physical limitations of individual
multiple-QW IR detectors as compared to ideal HgCdTe detectors. Figure 14.12
compares the thermal generation current versus temperature for AlGaAs/
GaAs multiple-QW SLs and HgCdTe alloys at lc ¼ 8.3 mm and 10 mm. It is
apparent that for HgCdTe the thermal generation rate at any specific
temperature and cutoff wavelength is approximately five orders of magnitude
smaller than for the corresponding AlGaAs/GaAs SL. The dominant factor
favoring HgCdTe in this comparison is the excess carrier lifetime, which for
n-type HgCdTe is above 10 6 s at 80 K, compared to 8.5  10 12 s for the
AlGaAs/GaAs SL. Plotted on the right-hand axis of Fig. 14.12 is the
equivalent minimum temperature of operation in BLIP condition. For
example, at a typical system background flux of 1016 photons/cm2s, the
required temperature of operation for the 8.3-mm (10-mm) AlGaAs/GaAs SL
is below 69 K (58 K) to achieve the BLIP condition.
336 Chapter 14

Figure 14.12 Thermal generation current versus temperature for GaAs/AlGaAs MQWs
and HgCdTe alloy detectors at lc ¼ 8.3 mm and 10 mm. The assumed effective quantum
efficiencies are h ¼ 12.5% and 70% for GaAs/AlGaAs and HgCdTe detectors, respectively
(after Ref. 26).

QWIP detectors have relatively low quantum efficiencies, typically less


than 10%. The spectral response band is also narrow for this detector, with a
full-width at half-maximum (FWHM) of about 15%. All of the QWIP
detectivity data with cutoff wavelength of about 9 mm is clustered between
1010 and 1011 cmHz1/2/W at about 77 K operating temperature. However, the
advantage of HgCdTe is less distinct at temperatures below 50 K due to the
problems involved in an HgCdTe material (p-type doping, Shockley–Read
recombination, trap-assisted tunneling, and surface and interface instabilities).
A more detailed comparison of both technologies has been given by
Rogalski27 and Tidrow et al.28 Table 14.1 compares the essential properties
of three types of devices at 77 K.

Table 14.1 Essential properties of LWIR HgCdTe type-II SL photodiodes and QWIPs at 77
K (R0A product is the resistance-area product).

Parameter HgCdTe QWIP (n-type) InAs/GaInSb SL

IR absorption Normal incidence Eoptical ? plane of well Normal incidence


required Normal incidence:
no absorption
Quantum efficiency 70% 10% 30 40%
Spectral sensitivity Wide band Narrow band Wide band
(FWHM 1 mm)
Optical gain 1 0.2 0.4 (30 50 wells) 1
Thermal generation lifetime 1 ms 10 ps 0.1 ms
R0A product (lc 10 mm) 103 Vcm2 104 Vcm2 103 Vcm2
Detectivity (lc 10 mm, 2  1012 cmHz1/2W 1
2  1010 cmHz1/2W 1 1  1012 cmHz1/2W 1

FOV 0)
HgCdTe versus Other Material Systems: A Historical Look 337

Although the QWIP is a photoconductor, several of its properties such as


high impedance, fast response time, long integration time, and low power
consumption comply well with the requirements of large-FPA fabrication.
The main drawbacks of LWIR QWIP FPA technology are the performance
limitation for short integration time applications and low operating
temperature. The main advantages are linked to performance uniformity
and availability of large-size arrays. The large industrial infrastructure in
III-V materials/device growth, processing, and packaging brought about by
the utility of GaAs-based devices in the telecommunications industry gives
QWIPs a potential advantage in producibility and cost.

14.3.4 InAs/GaInSb strained-layer SLs


In the late 1980s InAs/Ga1 xInxSb (InAs/GaInSb) strained-layer SLs (SLSs)
emerged as a new alternative to HgCdTe. The InAs/GaInSb material system
is still in an early stage of development. Problems exist in material growth,
processing, substrate preparation, and device passivation. Optimization of SL
growth is a trade-off between interface roughness, with smoother interfaces at
higher temperature, and residual background carrier concentrations, which
are minimized on the low end of this range.
Theoretical analysis of band-to-band Auger and radiative recombination
lifetimes for InAs/GaInSb SLSs showed that in these objects the Auger-
recombination rates are suppressed by several orders of magnitude,
compared to those of bulk HgCdTe with similar bandgap.29 However, the
promise of Auger suppression has not yet been observed in practical device
material. At the present time, the measured carrier lifetime is below 100 ns
and is limited by the Shockley–Read (SR) mechanism in both MWIR and
LWIR compositions.30 It is interesting to note that InSb has had a similar
SR lifetime issue since its inspection in 1950s. In a typical LWIR SL, the
doping density is on the order of 1 to 2  1016 cm 3, which is considerably
higher than the doping level found in the LWIR HgCdTe (typically low
1015 cm 3). This is possible because of tunneling current suppression in SLs.
The higher doping compensates for the shorter lifetime, resulting in
relatively low diffusion dark current.
InAs/GaInSb SL photodiodes are typically based on p-i-n structures with
an unintentionally doped, intrinsic region between the heavily doped contact
portions of the device. The main technological challenge for the fabrication of
photodiodes is the growth of thick SL structures without degrading the
material quality. High-quality SL material thick enough to achieve acceptable
quantum efficiency is crucial to the success of the technology. Surface
passivation is also a serious problem. Considerable surface leakage is
attributed to the discontinuity in the periodic crystal structure caused by
mesa delineation. Some of the more prominent thin films studied have been
silicon nitride, silicon oxides, ammonium sulphide, and aluminium gallium
338 Chapter 14

antimonide alloys.31 The best results have been obtained using inductively
coupled plasma dry etching and polyimide passivation.32
Optimization of the SL photodiode architectures is still an open area for
research. Additional design modifications (e.g., in so-called W-structure and
M-structure photodiodes) dramatically improve the photodiode performance.
The modification of the structures confines the electron wavefunctions,
reducing the tunneling probability, increasing the electron effective mass, and
effectively decreasing the dark current. The introducion of unipolar barriers in
various designs based on type-II SLs further drastically changes the
architecture of IR detectors.30 Unipolar barriers are used to impede the flow
of majority-carrier dark current (electrons or holes). However, a key feature of
the devices is a pair of complementary barriers, namely, an electron barrier
and a hole barrier formed at different depths in the growth sequence. Such a
structure is known as a complementary-barrier IR device (CBIRD) and was
invented by Ting and others at Jet Propulsion Lab (JPL).33 Figure 14.13(a)
shows a bandgap diagram at equilibrium and a schematic device structure of
the CBIRD. An electron barrier (eB) appears in the conduction band, and a
hole barrier (hB) in the valence band toward the left. The two barriers
complement one another to impede the flow of dark current. The substrate is
toward the right. The absorber region, where the bandgap is smallest, is
p-type, and the top contact region at the left is n-type, making an n-on-p
polarity for the detector element. In sequence from the top (left), the first three
regions are composed of SL material: the n-type cap, the p-type absorber,
and the p-type eB. The highly doped InAsSb layer below the eB is an alloy.
Further to the right (not shown) are a GaSb buffer layer and the GaSb
substrate.
Figure 14.13(b) plots the RAeff values for a set of selected antimonide SL
devices made at JPL. For reference, the HgCdTe “Rule 07” trend line is also

Figure 14.13 The complementary-barrier IR detector (CBIRD). (a) Zero-bias band diagram
and a schematic device structure. (b) The 77 K effective resistance-area product plotted against
the detector cutoff wavelength for a number of LWIR InAs/GaSb SL detectors (reprinted from
Ref. 33). (See color plate section.)
HgCdTe versus Other Material Systems: A Historical Look 339

shown. Of note is the steady performance gains achieved in the past few
years. In particular, the introduction of device designs containing
unipolar barriers has taken the LWIR SL detector performance close to
the Rule 07 trend line (Rule 07 is a simple empirical relationship that
conveniently estimates state-of-the-art HgCdTe photodiode dark current
performance, introduced by Tennant et al. in 2007 34). The barriers prove
to be very effective in suppressing the dark current. In the case of a
detector having a cutoff wavelength of 9.24 mm, the value of R0A > 105
Vcm2 at 78 K was measured, as compared with about 100 Vcm2 for an
InAs/GaSb homojunction of the same cutoff. For good photoresponse,
the device must be biased to typically 200 mV; the estimated internal
quantum efficiency is greater than 50%, while the RA eff remains above
104 Vcm2.
The predicted thermally limited detectivities of type-II SLSs are larger
than those for HgCdTe. Figure 14.14 compares the calculated detectivity of
type-II SLSs and p-on-n HgCdTe photodiodes as a function of wavelength
and temperature of operation, with the experimental data of type-II
detectors operated at 78 K. The solid lines are theoretical thermal limited
detectivities for HgCdTe photodiodes, calculated using a 1D model that
assumes that the diffusion current from the narrower bandgap n-side is
dominant, and minority carrier recombination is determined via Auger and
radiative processes.
Type-II SLS is promising material for high-operation-temperature (HOT)
IR detectors.36 Recently, high-performance HOT detectors have been
fabricated using the interband cascade design of the MWIR detector.
It should be mentioned that stacked photovoltaic cell detectors connected in

Figure 14.14 The predicted detectivity of type-II and p-on-n HgCdTe photodiodes as
functions of wavelength and temperature. Experimental data at 78 K are marked for
SLSs (reprinted from Ref. 35). (See color plate section.)
340 Chapter 14

series were fabricated with HgCdTe by VIGO System S.A. (Poland), but with
low producibility due to interface instability (weak Hg chemical bonding in
the materials).
In the last two years the first megapixel MW and LW type-II superlattice
FPAs have been demonstrated with excellent imaging.37 39 At about 78 K, an
NEDT (noise-equivalent differential temperature) value below 20 mK was
presented. Type-II InAs/GaSb SLs have also emerged as a material for third-
generation IR detectors. Both dual-band MW/MW40,41 and MW/LW42 FPAs
have been demonstrated.
The above-described very promising results confirm that the antimo-
nide SL technology is now a direct competitor to HgCdTe IR detector
technology. In order to establish U.S. industry capability of producing
high-quality type-II SL FPAs, a new four-year program was established
in 2009.43

14.3.5 Hg-based alternatives to HgCdTe


Among the small-gap II-VI semiconductors for IR detectors, only Hg1 x ZnxTe
(HgZnTe) and Hg1 xMnxTe (HgMnTe)44 can be considered as alternatives to
HgCdTe. However, neither ternary alloy systems have been systematically
explored in the device context. There are several reasons for this: Preliminary
investigations of these alloy systems came on the scene when development of
HgCdTe detectors was well on its way. Moreover, the HgZnTe alloy is a more
serious technological problem material than HgCdTe. In the case of HgMnTe,
Mn is not a group-II element, so HgMnTe is not a true II-VI alloy. This ternary
compound was viewed with some suspicion by those not directly familiar with
its crystallographic, electrical, and optical behavior. In such a situation,
proponents of parallel development of HgZnTe and HgMnTe for IR detector
fabrication encountered considerable difficulty in selling the idea to industry
and to funding agencies.

14.4 Readiness Level of LWIR Detector Technologies


The information in this section is from Ref. 45. Table 14.2 provides a
snapshot of the current state of development of LWIR detectors fabricated
from different material systems. Note that TRL means technology readiness
level. The highest level of TRL (ideal maturity) achieves value of 10. The
highest level of maturity (TRL ¼ 9) is credited to HgCdTe photodiodes and
microbolometers, and slightly lower level (TRL ¼ 8) is credited to QWIPs.
The type-II InAs/GaInSb SL structure has great potential for LWIR spectral
range application, with performance comparable to HgCdTe for the same
cutoff wavelength, but requires a significant investment and fundamental
material breakthrough to mature.
HgCdTe versus Other Material Systems: A Historical Look 341

Table 14.2 Comparison of existing state-of-the-art device systems for LWIR detectors
(from Ref. 45).

Bolometer HgCdTe Type-II SLs QWIP QDIP

Maturity TRL 9 TRL 9 TRL 2 3 TRL 8 TRL 1 2


Status Material of Material of Research and Commercial Research and
choice for appli choice for appli development development
cation requiring cation requiring
medium to low high performance
performance
Military Weapon sight, Missile intercept, Being developed Being evaluated Very early stages
system night vision tactical ground in university for some military of development
examples goggles, missile and airborne and evaluated applications at universities
seekers, small imaging, hyper industry research
UAV sensors, spectral, missile environment
unattended seeker, missile
ground sensors tracking, space
based sensing
Limitations Low sensitivity Performance Requires a signifi Narrow band Narrow band
and long time susceptible to cant, >$100M, with and low with and low
constants manufacturing investment and sensitivity sensitivity
variations. Diffi fundamental mate
cult to extend to rial breakthrough
>14 mm cutoff to mature
Advantages Low cost and Near theoretical Theoretically better Low cost applica Not sufficient
requires no active performance, will than HgCdTe at tions. Leverages data to charac
cooling, leverages remain material >14 mm cut off, commercial terize material
standard Si of choice for leverages commer manufacturing advantages
manufacturing minimum of the cial III V fabrica processes. Very
equipment next 10 15 years tion techniques uniform material

14.5 Summary
Over the past fifty years, HgCdTe ternary alloy has successfully fought off
major challenges from extrinsic silicon and lead-tin telluride devices, but
despite this, it has more competitors today than ever before. These include
Schottky barriers on silicon, AlGaAs multiple QWs, InAs/GaSb strained-
layer SLs, high-temperature superconductors, and, especially, two types of
thermal detectors: pyroelectric detectors and silicon bolometers. However, it is
interesting that none of these competitors can compete in terms of
fundamental properties. They may promise to be more manufacturable but
can never provide higher performance or, with the exception of thermal
detectors, operate at higher or even comparable temperatures.
It is well known that the InAs/GaSb family of the type-II SLs is the only
one known IR detector material having a theoretically predicted higher
performance than HgCdTe. However, the theoretical promise of even higher
detectivity than that of HgCdTe has not been realized because of the presence
of the Shockley–Read–Hall recombination characterized by a relatively short
carrier lifetime.
342 Chapter 14

Despite serious competition from alternative technologies and slower


progress than expected, HgCdTe is unlikely to be seriously challenged in the
next decade for high-performance applications, and applications requiring
multispectral capability and fast response. The recent successes of competing
cryogenically cooled detectors are due to technological, not fundamental,
issues. The steady progress in epitaxial technology can make HgCdTe devices
much more affordable in the future. The much higher operation temperature
of HgCdTe, compared to low-dimensional solid devices, may become a
decisive argument in this case.

References
1. P. R. Norton, “Infrared detectors in the next millennium,” Proc. SPIE
3698, 652–665 (1999) [doi: 10.1117/12.354568].
2. A. Rogalski, Infrared Detectors, Second ed., CRC Press, Boca Raton
(2010).
3. W. D. Lawson, S. Nielson, E. H. Putley, and A. S. Young, “Preparation
and properties of HgTe and mixed crystals of HgTe-CdTe,” J. Phys.
Chem. Solids 9, 325–329 (1959).
4. T. Elliot, “Recollections of MCT work in the UK at Malvern and
Southampton,” Proc. SPIE 7298, 72982M (2009) [doi: 10.1117/
12.820214].
5. P. W. Kruse, M. D. Blue, J. H. Garfunkel, and W. D. Saur, “Long
wavelength photoeffects in mercury selenide, mercury telluride and
mercury telluride-cadmium telluride, Infrared Phys. 2, 53–60 (1962).
6. P. Norton, “HgCdTe infrared detectors, Opto-Electron. Rev. 10, 159–174
(2002).
7. C. Verie and R. Granger, “Propriétés de junctions p-n d’alliages
CdxHg1 xTe,” C. T. Acad. Sc. 261, 3349–3352 (1965).
8. G. C. Verie and M. Sirieix, “Gigahertz cutoff frequency capabilities of
CdHgTe photovoltaic detectors at 10.6 mm,” IEEE J. Quant. Electr. 8,
180–184 (1972).
9. B. E. Bartlett, D. E. Charlton, W. E. Dunn, P. C. Ellen, M. D. Jenner,
and M. H. Jervis, “Background limited photoconductive detectors for use
in the 8–14 micron atmospheric window,” Infrared Phys. 9, 35–36 (1969).
10. M. A. Kinch, S. R. Borrello, and A. Simmons, “0.1 eV HgCdTe
photoconductive detector performance,” Infrared Phys. 17, 127–135
(1977).
11. A. Rogalski, “History of infrared detectors,” Opto-Electron. Rev. 20, 279–308
(2012).
HgCdTe versus Other Material Systems: A Historical Look 343

12. M. A. Kinch, “Fifty years of HgCdTe at Texas Instruments and beyond,”


Proc. SPIE 7298, 72982T (2009) [doi: 10.1117/12.819304].
13. C. T. Elliott, D. Day, and B. J. Wilson, “An integrating detector for serial
scan thermal imaging,” Infrared Phys. 22, 31–42 (1982).
14. A. Blackburn, M. V. Blackman, D. E. Charlton, W. A. E. Dunn, M. D.
Jenner, K. J. Oliver, and J. T. M. Wotherspoon, “The practical realization
and performance of SPRITE detectors,” Infrared Phys. 22, 57–64 (1982).
15. W. S. Boyle and G. E. Smith, “Charge-coupled semiconductor devices,”
Bell Syst. Tech. J. 49, 587–593 (1970).
16. P. R. Bratt, S. M. Johnson, D. R. Rhiger, T. Tung, M. H. Kalisher, W. A. Radford,
G. A. Garwood, and C. A. Cockrum, “Historical perspectives on HgCdTe
material and device development at Raytheon Vision Systems,” Proc.
SPIE 7298, 72982U (2009) [doi: 10.1117/12.822899].
17. A. Hoffman, “Semiconductor processing technology improves resolution
of infrared arrays,” Laser Focus World, 81–84, February (2006).
18. J. W. Beletic, R. Blank, D. Gulbransen, D. Lee, M. Loose, E. C. Piquette,
T. Sprafke, W. E. Tennant, M. Zandian, and J. Zino, “Teledyne imaging
sensors: Infrared imaging technologies for astronomy & civil space,” Proc.
SPIE 7021, 70210H (2008) [doi: 10.1117/12.790382].
19. J. Melngailis and T. C. Harman, “Single-Crystal Lead-Tin Chalcogenides,”
in Semiconductors and Semimetals, Vol. 5, R. K. Willardson and A. C.
Beer, Eds., pp. 111–174, Academic Press, New York (1970).
20. T. C. Harman and J. Melngailis, “Narrow Gap Semiconductors,” in
Applied Solid State Science, Vol. 4, R. Wolfe, Ed., pp. 1–94, Academic
Press, New York (1974).
21. R. Dornhaus, G. Nimtz, and B. Schlicht, Narrow Gap Semiconductors,
Springer, Berlin, (1983).
22. J. Baars, “New Aspects of the Material and Device Technology of Intrinsic
Infrared Photodetectors,” in Physics and Narrow Gap Semiconductors,
E. Gornik, H. Heinrich, and L. Palmetshofer, Eds., pp. 280–282,
Springer, Berlin (1982).
23. J. T. Longo, D. T. Cheung, A. M. Andrews, C. C. Wang, and J. M. Tracy,
“Infrared focal planes in intrinsic semiconductors,” IEEE Trans. Electr.
Dev. ED–25, 213–232 (1978).
24. M. Zandian, J. D. Garnett, R. E. DeWames, M. Carmody, J. G. Pasko,
M. Farris, C. A. Cabelli, D. E. Cooper, G. Hildebrandt, J. Chow, J. M. Arias,
K. Vural, and D. N. B. Hall, “Mid-wavelength infrared p-on-n Hg1 xCdxTe
heterostructure detectors: 30–120 K state-of-the-art performance,” J. Electron.
Mater. 32, 803–809 (2003).
344 Chapter 14

25. A. Rogalski and R. Ciupa, “Performance limitation of short wavelength


infrared InGaAs and HgCdTe photodiodes,” J. Electron. Mater. 28,
630–636 (1999).
26. M. A. Kinch and A. Yariv, “Performance limitations of GaAs/AlGaAs
infrared superlattices,” Appl. Phys. Lett. 55, 2093–2095 (1989).
27. A. Rogalski, “Quantum well photoconductors in infrared detectors
technology,” J. Appl. Phys. 93, 4355–4391 (2003).
28. M. Z. Tidrow, W. A. Beck, W. W. Clark, H. K. Pollehn, J. W. Little,
N. K. Dhar, P. R. Leavitt, S. W. Kennerly, D. W. Beekman, A. C.
Goldberg, and W. R. Dyer, “Device physics and focal plane applications
of QWIP and MCT,” Opto-Electron. Rev. 7, 283–296 (1999).
29. C. H. Grein, P. M. Young, M. E. Flatté, and H. Ehrenreich, “Long
wavelength InAs/InGaSb infrared detectors: Optimization of carrier
lifetimes,” J. Appl. Phys. 78, 7143–7152 (1995).
30. D. Z.-Y. Ting, A. Soibel, L. Höglund, J. Nguyen, C. J. Hill,
A. Khoshakhlagh, and S. D. Gunapala, “Type-II Superlattice Infrared
Detectors,” in Semiconductors and Semimetals, Vol. 84, S. D. Gunapala,
D. R. Rhiger, and C. Jagadish, Eds., pp. 1–57, Elsevier, Amsterdam (2011).
31. G. J. Brown, “Type-II InAs/GaInSb superlattices for infrared detection:
an overview,” Proc. SPIE 5783, 65–77 (2005) [doi: 10.1117/12.606621].
32. M. Razeghi, D. Hoffman, B. M. Nguyen, P.-Y. Delaunay, E. K. Huang,
M. Z. Tidrow, and V. Nathan, “Recent advances in LWIR type-II InAs/
GaSb superlattice photodetectors and focal plane arrays at the Center for
Quantum Devices,” Proc. IEEE 97, 1056–1066 (2009).
33. D. Z.-Y. Ting, C. J. Hill, A. Soibel, J. Nguyen, S. A. Keo, M. C. Lee, J. M.
Mumolo, J. K. Liu, and S. D. Gunapala, “Antimonide-based barrier infrared
detectors, Proc. SPIE 7660, 76601R (2010) [doi: 10.1117/12.851383].
34. W. E. Tennant, D. Lee, M. Zandian, E. Piquette, and M. Carmody,
“MBE HgCdTe technology: A very general solution to IR detection,
described by ‘Rule 07,’ a very convenient heuristic,” J. Electron. Mater.
37, 1407–1410 (2008).
35. J. Bajaj, G. Sullivan, D. Lee, E. Aifer, and M. Razeghi, “Comparison of
type-II superlattice and HgCdTe infrared detector technologies,” Proc.
SPIE 6542, 65420B (2007) [doi: 10.1117/12.723849].
36. N. Gautam, S. Myers, A. V. Barve, B. Klein, E. P. Smith, D. R. Rhiger,
L. R. Dawson, and S. Krishna, “High operating temperature interband
cascade midwave infrared detector based on type-II InAs/GaSb strained
layer superlattice,” Appl. Phys. Lett. 101, 021106 (2012).
37. C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo, D. Z. Ting, S. D. Gunapala,
D. R. Rhiger, R. E. Kvaas, and S. F. Harris, “Demonstration of mid and
HgCdTe versus Other Material Systems: A Historical Look 345

long-wavelength infrared antimonide-based focal plane arrays,” Proc. SPIE


7298, 729804 (2009) [doi: 10.1117/12.818692].
38. S. D. Gunapala, D. Z. Ting, C. J. Hill, J. Nguyen, A. Soibel, S. B. Rafol,
S. A. Keo, J. M. Mumolo, M. C. Lee, J. K. Liu, and B. Yang,
“Demonstration of a 1024  1024 pixel InAs-GaSb superlattice focal
plane array,” Phot. Tech. Lett. 22, 1856–1858 (2010).
39. P. Manurkar, S. Ramezani-Darvish, B.-M. Nguyen, M. Razeghi, and
J. Hubbs, “High performance long wavelength infrared mega-pixel
focal plane array based on type-II superlattices,” Appl. Phys. Lett. 97,
193505-1–3 (2010).
40. F. Rutz, R. Rehm, J. Schmitz, J. Fleissner, and M. Walther, “InAs/GaSb
superlattice focal plane array infrared detectors: manufacturing aspects,”
Proc. SPIE 7298, 72981R (2009) [doi: 10.1117/12.819090].
41. R. Rehm, M. Walther, J. Schmitz, F. Rutz, A. Wörl, R. Scheibner, and
J. Ziegler, “Type-II superlattices: the Fraunhofer perspective,” Proc.
SPIE 7660, 76601G (2010) [doi: 10.1117/12.850172].
42. M. Razeghi, E. K. Huang, B.-M. Nguyen, S. Ramezani-Darvish,
S. Abdollahi Pour, G. Chen, A. Haddadi, and M.-A. Hoang, “Recent
advances in high performance antimonide-based superlattice,” Proc. SPIE
8012, 80120T (2011) [doi: 10.1117/12.887597].
43. L. Zheng, M. Tidrow, L. Aitcheson, J. O’Connor, and S. Brown,
“Developing high-performance III-V superlattice IRFPAs for defense –
challenges and solutions,” Proc. SPIE 7660 76601E (2010) [doi: 10.1117/
12.852239].
44. A. Rogalski, “Hg-based Alternatives to MCT,” in Infrared Detectors and
Emitters: Materials and Devices, P. Capper and C. T. Elliott, Eds.,
pp. 377–400, Kluwer Academic Publishers, Boston (2001).
45. Committee on Developments in Detector Technologies, National Research
Council, Seeing Photons: Progress and Limits of Visible and Infrared Sensor
Arrays, National Academies Press, Washington, DC (2010).

Antoni Rogalski is a professor at the Institute of


Applied Physics, Military University of Technology in
Warsaw, Poland. He is a leading researcher in the field
of infrared optoelectronics. During the course of his
scientific career, he has made pioneering contributions
in the areas of theory, design, and technology of
different types of IR detectors. In 1997, he received an
award from the Foundation for Polish Science (the
most prestigious scientific award in Poland) for achievements in the study of
ternary alloy systems for infrared detectors, mainly an alternative to HgCdTe
346 Chapter 14

new ternary alloy detectors such as lead salts, InAsSb, HgZnTe, and
HgMnTe. In 2004, he was elected as a corresponding member of the Polish
Academy of Sciences.
Professor Rogalski’s scientific achievements include determining the
fundamental physical parameters of InAsSb, HgZnTe, HgMnTe, and lead
salts; estimating the ultimate performance of ternary alloy detectors; elaborating
on studies of high-quality PbSnTe, HgZnTe, and HgCdTe photodiodes operated
in the 3- to 5-mm and 8- to 12-mm spectral ranges; and conducting comparative
studies of the performance limitation of HgCdTe photodiodes versus other types
of photon detectors (especially QWIP and QDIP IR detectors).
Professor Rogalski has given more than 50 invited plenary talks at
international conferences. He is author and coauthor of about 230 scientific
papers, 12 books, and 26 monographic papers (book chapters). He is a fellow
of SPIE, vice president of the Polish Optoelectronic Committee, vice president
of the Electronic and Telecommunication Division at the Polish Academy of
Sciences, Editor-in-Chief of the journal Opto-Electronics Review, Deputy
Editor-in-Chief of the Bulletin of the Polish Academy of Sciences: Technical
Sciences, and a member of the editorial boards of Journal of Infrared and
Millimeter Waves, International Review of Physics, and Photonics Letters of
Poland. He is an active member of the international technical community and
is a chair and cochair, organizer, and member of scientific committees of
many national and international conferences on optoelectronic devices and
material sciences.
Chapter 15
Type-II Superlattices:
Status and Trends
Elena A. Plis and Sanjay Krishna
Center for High Technology Materials, Department of Electrical and Computing
Engineering, University of New Mexico, Albuquerque, New Mexico, USA

15.1 Introduction
15.2 Limitations of T2SLS Technology
15.2.1 Short carrier lifetime
15.2.2 Passivation
15.2.3 Heterostructure engineering
15.2.4 Nonuniformity and reproducibility issues
15.2.5 Spectral crosstalk in multicolor T2SLS imagers
15.3 Proposed Solutions
15.3.1 Ga free type II InAs/InAsSb superlattice detectors
15.3.2 Interband cascade infrared photodetector (ICIP) architecture
15.3.3 InAs/GaSb T2SLS MWIR detectors grown on (111) GaSb substrates
15.4 Summary
Acknowledgments
References

15.1 Introduction
InAs/(In,Ga)Sb type-II strained-layer superlattices (T2SLSs) were first
proposed as an infrared (IR) sensing material in the 1980s by Sai-Halasz,
Tsu, and Esaki.1,2 IR detectors based on InAs/(In,Ga)Sb T2SLSs have been
under investigation ever since they were suggested several decades ago by
Smith and Mailhiot.3 In 1990, Chow and coworkers reported the first
Ga1 x InxSb/InAs T2SL material with high structural quality, longwave IR
(LWIR) photoresponse, and LWIR photoluminescence.4 While theoretical
predictions of detector performance seem to favor the InAs/InGaSb system

347
348 Chapter 15

due to the additional strain provided by the InGaSb layer, the majority of the
research in the past five years has been focused on the binary InAs/GaSb
system. This is attributed to the complexity of structures grown with the large
mole fraction of In.
The InAs/GaSb T2SLS consists of alternating layers of nanoscale
materials whose thicknesses vary from 4 to 20 monolayers (MLs). The
overlap of electron (hole) wave functions between adjacent InAs (GaSb)
layers results in the formation of electron (hole) minibands in the conduction
(valence) band. Optical transitions between holes localized in GaSb layers and
electrons confined in InAs layers are employed in the IR detection process.
Thus, using two mid-bandgap semiconductors, devices can be fabricated with
operating wavelengths anywhere between 3 and 32 mm.5 7
Tunneling currents in T2SLS are lower than in mercury-cadmium-telluride
(MCT) detectors of the same bandgap due to larger electron effective mass.3
Auger recombination is supressed due to the large splitting between heavy-hole
and light-hole valence subbands.8,9 Moreover, the T2SLSs are less sensitive to
the bandgap variations due to compositional nonuniformities than the MCT
alloys with the same bandgap.10 In contrast with quantum well infrared
photodetectors (QWIPs), normal incidence absorption is permitted in T2SLSs,
contributing to high conversion quantum efficiency. Two factors provide
T2SLSs with technological advantages over competing technologies: commer-
cially available low defect density substrates and a high degree of growth and
processing uniformity over a large area. Focal plane arrays (FPAs) of sizes up to
1024  1024 have been demonstrated using the T2SLS material system,
highlighting the potential of this technology.11 Thorough comparisons between
MCT, InSb, QWIP, and T2SLS technologies can be found in the literature.12 14
Some very exciting work on everything from computational modeling of
superlattices to fabrication of large-area FPAs is being conducted at various
institutions. For example, Grein and Flatte have undertaken extensive
theoretical modeling of the bandstructure of superlattices.15 17 Bandara
et al.18 have modeled the effect of doping on the Shockley–Read–Hall (SRH)
lifetime and the dark current; DeWames and Pellegrino19 have performed
extensive modeling to extract the SRH lifetime from dark-current measurements.
Belenky20 and Connelly21 have measured time-resolved photoluminescence (PL)
on T2SLS structures. Low-dark-current architectures with unipolar barriers
such as M-structure,22 complementary-barrier infrared detector (CBIRD),23
W-structure,24,25 N-structure,26 nBn,27,28 pBiBn,6 etc. have been designed and
fabricated into single-pixel detectors and FPAs at university laboratories
[Northwestern University, Arizona State University, University of Oklahoma,
University of Illinois, Georgia Tech University, Bilkent University (Turkey),
University of New Mexico], federal laboratories [JPL, NRL, ARL, NVESD,
SNL), and industrial laboratories (Raytheon, Teledyne Imaging Systems,
Hughes Research Laboratories, QmagiQ LLC, etc.).
Type-II Superlattices: Status and Trends 349

15.2 Limitations of T2SLS Technology


Despite the numerous advantages T2SLSs offer over present-day detection
technologies, including reduced tunneling currents, normal-incidence
absorption, and suppressed Auger recombination, the promise of superior
performance of T2SLS detectors has not been yet realized,29 as shown by
comparison of dark-current densities in T2SLS detectors with the MCT
benchmark (“Rule 07”). The dark-current density demonstrated by the
T2SLS detectors is significantly higher than that of bulk MCT detectors,
especially in the midwave infrared (MWIR) spectral range, as illustrated in
Fig. 15.1. In this section, we try to capture the essential technological
limitations that need to be overcome in order for T2SLS to be the technology
of choice for high-performance imaging systems. These are (1) short carrier
lifetime, (2) passivation, (3) heterostructure engineering, (4) nonuniformity
and reproducibility issues, and (5) spectral crosstalk in multicolor T2SLS
imagers.

15.2.1 Short carrier lifetime


The high dark current exhibited by T2SLS is attributed to short carrier
lifetimes due to SRH centers.30 However, the origins of SRH centers are not
well understood. According to the statistical theory of the SRH process, the
SRH rate approaches a maximum as the energy level of the trap center

Figure 15.1 Dark-current density of T2SLS detectors compared with Rule 07. Abbreviations
for the different institution working on T2SL detectors: Fraunhofer-Institut (IAF), JPL, Naval
Research Laboratory (NRL), Northwestern University (NWU), Raytheon Vision Systems (RVS),
University of California, Santa Barbara (UCSB), Columbia University (Columbia), University of
Illinois, Urbana-Champaign (UIUC), and University of New Mexico (UNM). (Adapted with
modifications and permission from Ref. 29; © 2012, Springer.) (See color plate section.)
350 Chapter 15

approaches midgap. Thus, the most effective SRH centers are those located
near the middle of the bandgap.31 Analysis of the defect formation energy of
native defects dependent on the location of the Fermi level stabilization
energy has been performed by Walukiewicz,32 who reported that, in bulk
GaAs and GaSb, the stabilized Fermi level is located near either the valence
band or the midgap, whereas in bulk InAs the stabilized Fermi level is located
above the conduction-band edge. From this observation, the midgap trap
levels in GaAs and GaSb are available for SRH recombination, whereas in
InAs they are inactive for the SRH process, suggesting a longer carrier lifetime
in bulk InAs than in bulk GaSb and GaAs materials. Experimentally
measured values of carrier lifetimes yielded 325 ns for bulk InAs and
100 ns for bulk GaSb, thereby confirming the initial observation.33 It may
then be hypothesized that native defects associated with GaSb are responsible
for the SRH-limited minority-carrier lifetimes observed in InAs/GaSb T2SLS.

15.2.2 Passivation
Scaling of the lateral dimensions of a T2SLS detector (e.g., typical mesa
dimensions of individual FPA pixels are 20 mm  20 mm) makes FPA perfor-
mance strongly dependent on surface effects due to a large pixel surface/
volume ratio. Thus methods for elimination of surface currents need to be
developed. Passivation is also very important because surface and interface
recombination is much faster than bulk carrier recombination. Therefore,
lifetime is affected by passivation. Concentrated efforts of numerous research
groups have been devoted to the development of the surface passivation for
T2SLS material system.
Passivation methods of T2SLS detectors may be categorized into two
directions: (1) encapsulation of etched detector sidewalls with thick layers of
dielectrics, organic materials (polyimide and various photoresists), or wider-
band-gap III-V material, and (2) chalcogenide passivation, that is, saturation
of unsatisfied bonds on semiconductor surfaces by sulphur atoms. A review of
passivation techniques for T2SLS detectors operating in MWIR, LWIR, and
VLWIR spectral regions may be found in the work of Plis et al.34 Here we
briefly describe available passivation techniques and their limitations.
Dielectric passivation, though shown to be effective,35 37 presents the
challenge of developing high-quality dielectrics with low fixed and interfacial
charge densities at process temperatures substantially lower than the InAs/
GaSb T2SLS growth temperature to prevent T2SLS period intermixing.
Moreover, dielectric passivation layers alter the band bending presented at the
mesa sidewalls caused by the abrupt termination of the periodic crystal
structure. This band bending induces accumulation or type inversion of
charge, which results in surface tunneling currents along sidewalls. As was
shown by Delaunay et al.,38 narrow-bandgap devices (with bandgap of
120 meV or lower) are more susceptible to the formation of charge conduction
Type-II Superlattices: Status and Trends 351

channels along the sidewalls. Native fixed charges present in the dielectric
passivation layer (e.g., SiO2 ) can either improve or deteriorate the device
performance.39 Consequently, the dielectric passivation may not passivate
low-bandgap materials as effectively as high-bandgap materials. Despite all of
the potential drawbacks, the ability to establish the flat-band condition at the
T2SLS–dielectric interface along with compatibility with the T2SLS FPA
fabrication procedure makes dielectric passivation very attractive for
passivation of LWIR T2SLS detectors.
A method of band-bending control at the T2SLS–SiO2 interface through
the application of a voltage along the device sidewalls has been proposed by
Chen et al.39 By applying a negative bias voltage along MWIR (l50%cut off ¼
4.7 mm at 110 K) T2SLS device sidewalls, the accumulated electrons repel
from the insulator–T2SLS interface, thus establishing flat-band conditions
that suppress the leakage current.
Passivation with organic materials (polyimide or various photoresists) is
attractive due to the simplicity of its integration into the T2SLS detector
fabrication procedure. Usually, organic passivants are spin-coated onto a
detector at room temperature and thicknesses varying from 0.2 to 100 mm,
based on the spin speed. Passivation of MWIR and LWIR T2SLS detectors
with SU-8 photoresist,40 42 polyimide,43 and AZ-1518 photoresist44 has been
reported.
Surface currents can be suppressed by reducing the exposure of narrow-
gap materials to the environment, e.g., as a result of encapsulation of etched
sidewalls with a wide-bandgap material or a “shallow etch” technique that
isolates the neighboring devices and terminates within a wider-bandgap layer.
The buried architecture approach,25,45 also referred to as the shallow-etch
mesa isolation (SEMI) technique, restricts the exposed surfaces to the wide-
bandgap layers, thus suppressing surface recombination and the ability of
surface electric fields to produce conducting channels that would bypass the
junction. In addition, it reduces the junction area to the smallest area of the
pixel defined by the diffusion length of the minority carriers sufficient to
collect photogenerated carriers, thus lowering the bulk junction current.
However, if the lateral diffusion lengths are larger than the distance between
neighboring pixels in the FPA (which is typically on the order of several
microns), crosstalk between the FPA elements can be encountered, degrading
the FPA image resolution.46 Since no crosstalk has been registered for LWIR
FPA mini-arrays (256  256) fabricated with the SEMI technique, this
approach may provide a solution to passivation difficulties in T2SLS detectors
operating in the LWIR region.
Molecular beam epitaxy (MBE) re-growth of a lattice-matched wide-
bandgap III-V semiconductor layer on top of the exposed mesa sidewalls
effectively passivates narrow-bandgap T2SLS detectors in the LWIR
wavelength range.47 Mesa sidewall encapsulation with GaSb has been
352 Chapter 15

proposed by Szmulowicz and Brown.48 In an offered scheme, the GaSb


encapsulant acts a barrier to electrons at both the n- and p-sides of the T2SLS
and as a well for the minority holes on the n-side of the junction. Electrons are
thus confined in the T2SLS, whereas holes are depleted from the active
volume of the device. The surface currents are reduced by eliminating
sidewalls, and, in addition, the SRH and Auger recombination lifetimes are
expected to be higher due to the reduced hole density in the depletion region.
However, passivation with wide-bandgap material requires very careful
surface cleaning prior to the overgrowth procedure, significantly complicating
the fabrication process of detectors and FPAs.
Chalcogenide passivation through immersion in a sulphur-containing
solution49 52 or deposition of a sulphur-based layer50,53 56 effectively reduces
dark currents in MWIR and LWIR T2SLS detectors. However, the
chalcogen-based passivation does not provide physical protection and
encapsulation of the device and, according to some reports, may degrade
over time. Additional research on appropriate encapsulation of sulfur
passivation layers needs to be performed, and the compatibility of S-based
passivation with the FPA fabrication procedure still requires investigation.
ZnS passivation solves the task of chemical passivation (i.e., saturates the
dangling bonds) and simultaneously provides protection of device sidewalls.
However, no data are available yet on LWIR FPA passivation with ZnS.
In conclusion, a number of passivation techniques have been developed
for MWIR and LWIR T2SLS detectors. However, currently no approach
provides equally efficient passivation across all cutoff wavelengths available
from T2SLS devices. Moreover, further studies on the long-term stability of
the proposed passivation schemes are required. Finally, since passivation
needs to be successfully integrated into the FPA fabrication procedure, more
research on the compatibility of various passivations, especially S-based, with
FPA fabrication must be performed.

15.2.3 Heterostructure engineering


The superlattice system provides the ability to heteroengineer the band
structure of the devices. Recently, a number of bandgap-engineered T2SLS
architectures have been proposed, such as nBn,27,28 pBiBn,6 M-structure,22
W-structure,25 CBIRD,23 N-structure,26 etc. that demonstrate superior
performance compared to a conventional homojunction design. The improved
performance of these T2SLS devices is credited to better confinement of the
electron wavefunctions, reduced tunnelling probability, increased electron
effective mass in modified T2SLS structures, and reduction in dark current
through the use of current blocking layers that reduce one or more dark-
current components. Reduced dark current facilitates reduced cooling costs
for LWIR and MWIR T2SLS detectors and realization of high-operating-
temperature (HOT) detectors.
Type-II Superlattices: Status and Trends 353

Unipolar barriers introduced in various designs of T2SLS detectors


impede the flow of carriers associated with dark current (noise) without
blocking photocurrent (signal). For example, in the pBiBn detector design,6
the electron-blocking (eB) layer blocks the minority carrier (electron) diffusion
current that normally flows from the p-contact layer into the absorber region.
Similarly, the hole-blocking (hB) layer blocks the minority carrier (hole)
diffusion current that normally flows from the n-contact into the absorber
region. Moreover, the electric field drop across the active region is small as
compared to a conventional p–i–n design since there is a large field drop
across the eB and hB layers, which have a wider bandgap than the absorber
region. This reduction in electric field leads to a very small depletion region,
which results in a reduction in both the tunneling currents and the SRH
generation–recombination component of the dark current. The tunneling
currents are also reduced due to significant reduction in field drop. Devices
based on unipolar barrier structures can be made to be diffusion-limited over
a wide range of operating temperatures, thereby providing improved
performance over their p–i–n counterparts.
The performance of T2SLS barrier structures may be further optimized. The
parameter optimization space for T2SLS barrier structures includes the doping
levels and placement of the doping levels in the absorber and contact layers, and
the composition(s) and thickness(es) of the barrier(s). However, efficient
optimization of device performance is a challenge due to the lack of techniques
for experimentally verifying the conduction and valence-band offsets.

15.2.4 Nonuniformity and reproducibility issues


One of the most common topics that is not discussed in the literature but
keeps recurring in “hallway conversations” is the uniformity and reproduc-
ibility of T2SLS wafers. Uniformity refers to the variation in the device
performance across a 2- or a 3-in. wafer. Reproducibility refers to the
variations from one run to the next. Very little data exists in the literature to
document these parameters. Moreover, very little is known about whether the
nonuniformity and reproducibility is caused by variations in the epitaxial
material or by fluctuations in the fabrication process. Statistically powered
experiments need to be undertaken to systematically identify the variations in
the T2SLS technologies and document them compared to variations in the
current state-of-the-art technology such as HgCdTe or InSb.

15.2.5 Spectral crosstalk in multicolor T2SLS imagers


Multiband detection capability is a key feature of the next-generation IR
sensors. Data collection in multiple IR bands is highly beneficial for military
and civillian applications involving both the determination of the thermal
characteristics of an object and the identification of temperature variations
354 Chapter 15

over an area. Multiband FPAs operating in both sequential mode and


simultaneous mode are employed in multispectral imaging systems used for
remote sensing and missile defense detect-and-track applications. Multiband
T2SLS FPAs, single-element detectors based on vertical detector design with
two “back-to-back” T2SLS photodiodes separated by a common ground
layer, and single-element T2SLS detectors with unipolar barriers57 63 have
been demonstrated.
One of the concerns in multiband T2SLS detectors is the spectral
crosstalk. Unlike QWIP dual-band detectors, T2SLS detectors exhibit
broadband response; hence, they are susceptible to spectral crosstalk. For
example, in an ideal MW (l1 ¼ 5 mm)/LWIR(l2 ¼ 10 mm) T2SLS FPA, the
MWIR absorber should only respond to wavelengths below 5 mm, and the
LWIR absorber should only respond to wavelengths between 5 mm and 10 mm.
However, due to the broadband response of T2SLS detectors, the LWIR
absorber will detect all of the radiation below 10 mm.
Spectral crosstalk can be significantly reduced by increasing the
thicknesses of the absorber regions and using unipolar barriers to prevent
photogenerated carriers from one color from reaching the opposite contact.64
However, spectral crosstalk in T2SLS multiband detectors is still a challenge.

15.3 Proposed Solutions


The challenges described in the previous section are being addressed by the
entire T2SLS community. In this section, we discuss a few possible approaches
investigated by our group to address some of these limitations. In particular,
we suggest the use of the type-II Ga-free SL to surmount the carrier lifetime
issues imposed by the GaSb layer in InAs/GaSb T2SLS, as well as interband
cascade infrared photodetector (ICIP) architecture and growth of T2SLS
structures on (111) GaSb substrates for the realization of MWIR HOT
devices.

15.3.1 Ga-free type-II InAs/InAsSb superlattice detectors


To overcome the carrier lifetime limitations imposed by the GaSb layer in an
InAs/GaSb T2SLS, we propose using type-II Ga-free SL, i.e., InAs/InAsSb
SL, for IR detection. A significantly longer minority carrier lifetime has been
obtained in an InAs/InAsSb SL system as compared to an InAs/GaSb T2SLS
operating in the same wavelength range (at 77 K, 412 ns, and 100 ns,
respectively).65,66 Such increases in minority carrier lifetimes, along with
demonstrated bandgap adjustability67 and suppressed Auger recombination
rates,68 suggest lower dark currents for InAs/InAsSb SL detectors in
comparison with their InAs/GaSb T2SLS counterparts.
The electronic band structure of the InAs/InAsxSb1 x SL (x ¼ 0.65) in the
growth-axis (z) direction, as well as the band alignment between InAs and
Type-II Superlattices: Status and Trends 355

Figure 15.2 Band structure simulation of 14 ML InAs/12 ML InAsxSb1x (x ¼ 0.65). Inset


shows band alignment of InAs/InAsSb SL with the same layer composition and thicknesses.
(Reprinted from Ref. 70 with permission; © 2012, American Institute of Physics.)

InAs0:65 Sb0:35 layers, were modeled with an empirical pseudo-potential


method69 and are shown in Fig. 15.2. A homojunction p–i–n architecture
was designed with an SL of 14 MLs InAs/12 MLs InAsxSb1 x (x ¼ 0.65). The
detector 50% cutoff wavelength was 5.4 mm at 77 K with the photoresponse
clearly visible up to 210 K. Under 10 mV bias and at 77 K, the device
exhibits peak responsivity of 0.64 A/W, corresponding to a quantum
efficiency (QE) of 20%. Further details of InAs/InAsSb SL detector
performance may be found elsewhere.70
The activation energy Ea has been extracted from temperature-dependent
dark-current measurements, as shown in Fig. 15.3. The Ea value in the low-
temperature regime (60 K to 90 K) was measured be 8.5 meV, which can be
attributed to either tunneling or surface effects. In the mid-temperature range
(100 K to 160 K), an Ea of 76 meV  Eg/3 was observed. Since the SRH
lifetimes in “Ga free” SLs have been measured to be much longer compared to
InAs/GaSb T2SLS, the origin of Eg/3 states and their contribution to the dark
current needs further investigation. At higher temperatures (170 K to 240 K),
an Ea of 32 meV has been measured. These activation energies may be due to
the tunneling mechanism dominating the dark current.
In the InAs/InAsSb SL, the conduction (DEC ) and valence (DEV ) band
offsets are much smaller (DEC  142 meV, DEV  226 meV) as compared to
InAs/GaSb T2SLS (DEC  930 meV, DEV  510 meV71). We believe that at
higher temperatures, as the carrier energy increases, the probability of
tunneling increases, too, resulting in dark current dominated by a tunneling
mechanism. We believe that the probability of carrier tunneling increases with
356 Chapter 15

Figure 15.3 The temperature-dependent dark-current densities measured at –10 mV of


applied bias along with the calculated activation energies. (Reprinted from Ref. 70 with
permission; © 2012, American Institute of Physics.)

respect to temperature and carrier energy, thereby resulting in dark current


dominated by a tunneling mechanism. It is also likely affected by passivation,
since we haven’t yet done studies on optimal passivation techniques for
Ga-free SL.

15.3.2 Interband cascade infrared photodetector (ICIP) architecture


MWIR detectors operating at ambient temperature are important for a
variety of civilian, industrial, medical, and military applications. HOT
detectors will reduce cost and complexity of an imaging system by alleviating
the cooling requirements generally attributed to the operation at cryogenic
temperatures. To improve the operation temperature of MWIR T2SLS
detectors and further reduce dark-current levels, various bandgap-engineered
T2SLS architectures were proposed (references to this work were cited in
Section 15.2.3). However, barrier engineering may be challenging in a T2SLS
system. Some of the most demanding modeling involves the use of cascade
structures with superlattices.72 75
We propose an ICIP structure to realize T2SLS detectors with improved
operation temperature. The device consists of a seven-stage active region, with
each stage comprising an absorber region, relaxation region, and interband
tunneling region. The absorber region is composed of an MWIR InAs/GaSb
T2SLS, the relaxation region is formed by graded T2SLS InAs/AlSb
superlattice, and the interband tunneling region is made of a GaSb well
between AlSb barriers.
The band diagram of the ICIP MWIR structure is presented in Fig. 15.4.
In the photovoltaic mode, photogeneration of electron–hole pairs occurs in the
MWIR absorber region 1, and due to the built-in field, electrons move to
Type-II Superlattices: Status and Trends 357

Figure 15.4 Calculated energy band diagram of a cascade detector demonstrating flow of
photogenerated carriers and band alignments of different segments. The band offsets of
different layers have been obtained by an empirical pseudo-potential method. (Reprinted from
Ref. 76 with permission; © 2012, American Institute of Physics.) (See color plate section.)

the right, while the holes move to the left. Electrons then relax in the graded SL
transport region 3, while holes tunnel through region 2. The separation between
the quantized energy level in the GaSb quantum well of region 2 and the valence
band in region 1 was designed to be equal to the longitudinal optical (LO)
phonon energy in AlSb to make the tunneling of holes a phonon-assisted
process. The relaxation region 3 and the interband tunneling region 2 also act as
hole and electron barriers, respectively, to block the flow of dark carriers from
one cascade stage into the other. The junction between regions 2 and 3 acts as a
recombination site for electrons and holes that leads to photoconductive (PC)
gain being equal to 1/N, where N is the number of cascade stages. Reduction in
photoconductive gain and zero-bias operation are necessary for FPAs to obtain
a low noise-equivalent differential temperature (NEDT) at a high operating
temperature as these limit the rate at which the charge capacitor is filled. In the
current design, the total thickness of the absorber is 1 mm, and the absorption QE
can be increased by increasing the number of stages. However, the conversion
QE is lower than that of the absorption QE by a factor of N.
Several key features in the ICIP structure ensure improved device
performance. In particular, the interband tunneling region is designed so that
the difference in hole energies is close to the LO phonon energy in AlSb to
facilitate phonon-assisted tunneling. Carrier transport through the graded
InAs/AlSb T2SLS relaxation region is very efficient. Finally, the bottom
N-contact layer has a wider-bandgap 12.5 ML InAs/5 ML AlSb SL, which
can suppress dark current by reducing thermionic generation and tunneling
currents. It should be noted, however, that the cascade physics in T2SLS
detectors is still not very well understood; it’s definitely advantageous in
358 Chapter 15

Figure 15.5 Measured photocurrent signal of MWIR T2SLS ICIP detector as a function of
temperature. (Reprinted from Ref. 76 with permission; © 2012, American Institute of Physics.)
(See color plate section.)

suppression of dark current but may not give an improved signal-to-noise


ratio under all operation conditions.
The ICIP detector has demonstrated high operating temperature, with
photocurrent measured up to 420 K and 100% cutoff wavelength of 7 mm,
as shown in Fig. 15.5. The dark-current densities of 3.6  10 7 A/cm2 and
7.3  10 3 A/cm2 were measured at 77 K and 295 K, respectively. The
Johnson-noise-limited detectivities of 3.0  1011 Jones and 8.9  108 Jones
have been observed at the same temperatures. The calculated absorption QE
from photoconductive gain and responsivity was 36.2% at 77 K, 4 mm, and
5 mV of applied bias. Further details of MWIR ICIP detector performance
may be found elsewhere.76

15.3.3 InAs/GaSb T2SLS MWIR detectors grown on (111) GaSb


substrates
Another approach for the realization of HOT MWIR sensors is growth of
T2SLS structures on high-index plane GaSb substrates. Since their original
proposal for IR detection in the 1970s,1 InAs/GaSb T2SLSs have been almost
exclusively grown on (100) GaSb substrates, whereas use of (111)-oriented
GaSb substrates for T2SLS growth offers potentially better performance, with
higher absorption and thinner layers.77 Growth of T2SLS structures on high-
index plane substrates, such as (n11)-oriented GaSb, has been associated with
many growth challenges, and, in particular, with the facilitation of defect
formation and faceted growth.78 Initial efforts directed at the growth of
T2SLS material on (111) substrates by MBE79 and atmospheric pressure
metal organic chemical vapor deposition80 were abandoned due to the growth
Type-II Superlattices: Status and Trends 359

issues. We investigated the feasibility of MWIR T2SLS growth on (111)GaSb


substrates with a 2.5–deg. miscut by MBE and the potential for the realization
of HOT MWIR devices through the use of this technique.
After careful optimization of T2SLS growth conditions, including
temperature and V/III beam equivalent pressure (BEP) ratios, a homojunction
p–i–n architecture was designed based on 6 MLs InAs/7 MLs GaSb T2SLS.
Photodetectors were fabricated with standard optical photolithography used
to define 410 mm  410 mm square mesa devices with 300-mm apertures.
Devices were passivated with an SiO2 (100-nm thick) layer preceded by a short
(40 s) dip in H3 PO4 -based acid solution. Comparison (at 295 K) of the T2SLS
MWIR detector grown on GaSb (111)B substrate with the state-of-the-art
T2SLS MWIR detectors utilizing the same architecture and grown on GaSb
(100) substrates is presented in Table 15.1.
T2SLS MWIR detectors grown on GaSb (111)B have demonstrated
improved electrical performance with dark-current density at 295 K being a
factor of at least 2.5 lower than that of the best MWIR T2SLS devices with
the same architecture and grown on (100) GaSb substrate.5,81 Moreover, the
zero-bias D reached 8:5  109 Jones for the MWIR T2SLS detector grown
on (111)B GaSb, which is significantly higher than the D demonstrated by
T2SLS MWIR detectors with p–i–n81,82 design grown on (100) GaSb
substrates. In addition, the thickness of the T2SLS detector grown on the
GaSb (111)B substrate is reduced due to the natural difference of lattice
parameters in the (111) and (100) directions, whereas heavy hole confinement
is increased by a factor of three.77 This translates into thinner detector
structures for a given detection wavelength and absorption coefficient realized
on (111) GaSb substrate, resulting in shorter growth times. This also means
decreased costs and material usage, both of which are highly desirable.
Moreover, the decreased detector volume results in an improved signal-to-
noise ratio, since the number of thermally generated carriers is correspond-
ingly reduced. This suggests a great potential for the realization of HOT
sensors through the use of InAs/GaSb T2SLS detectors grown on GaSb (111)
B substrates. To further optimize performace of (111) T2SLS detectors, one
can look into growth optimization studies and barrier engineering.

Table 15.1 Performance of T2SLS MWIR detectors grown on GaSb (111)B and
(100) substrates.

Parameter n i p81 p i n82 p i n5 This work

JDark (A/cm2 ) at 50 mV 3 N/A 20 0.53


R0 A (V cm2 ) 1:5  102 N/A 101 (200 K) 34  102
R (A/W) at 4 mm 0.6 (0 V) N/A N/A 0.63 ( 50 mV)
D (Jones) at 4 mm and 0 V 1  109 2:4  109 (3.3 mm) N/A 8:5  109
360 Chapter 15

15.4 Summary
The presented chapter provides a review of the current status of IR detectors
based on an InAs/GaSb T2SLS. Despite the numerous advantages that
T2SLSs offer over current detection technologies, such as MCT, InSb, and
QWIP-based detectors, the promise of superior performance of T2SLS
detectors has not been yet realized. We point out the essential scientific and
technological challenges that need to be overcome in order for T2SLS to be
the technology of choice for high-performance imaging systems. These are
short carrier lifetime, passivation, nonuniformity/reproducibility issues, and
spectral crosstalk in multicolor T2SLS imagers. Further, we discussed a few
possible approaches investigated by our group to address some of these
limitations. In particular, we suggest the use of type-II Ga-free SL to
surmount carrier lifetime issues imposed by the GaSb layer in InAs/GaSb
T2SLS and the ICIP architecture and the growth of T2SLS structures on (111)
GaSb substrates for the realization of MWIR HOT devices.

Acknowledgments
Support from AFOSR FA9550-10-1-0113 and AFRL FA9453-12-1-0336 is
acknowledged.

References
1. G. A. Sai-Halasz, R. Tsu, and L. Esaki, “A new semiconductor
superlattice,” Appl. Phys. Lett. 30, 651–653 (1977).
2. L. Esaki, “InAs-GaSb superlattices-synthesized semiconductors and
semimetals,” J. Cryst. Growth 52, 227–240 (1981).
3. D. L. Smith and C. Mailhiot, “Proposal for strained type-II superlattice
infrared detectors,” J. Appl. Phys. 62, 2545–2548 (1987).
4. R. H. Miles, D. H. Chow, J. N. Schulman, and T. C. McGill, “Infrared
optical characterization of InAs/Ga1 xInxSb superlattices,” Appl. Phys.
Lett. 57, 801–803 (1990).
5. C. Cervera, I. Ribet-Mohamed, R. Taalat, J. P. Perez, P. Christol, and
J. B. Rodriguez, “Dark current and noise measurements of an InAs/
GaSb superlattice photodiode operating in the midwave infrared
domain,” J. Electron. Mater. 41, 2714–2718 (2012).
6. N. Gautam, H. S. Kim, M. N. Kutty, E. Plis, L. R. Dawson, and
S. Krishna, “Performance improvement of longwave infrared photo-
detector based on type-II InAs/GaSb superlattices using unipolar current
blocking layers,” Appl. Phys. Lett. 96, 231107–231109 (2010).
Type-II Superlattices: Status and Trends 361

7. Y. Wei, A. Gin, M. Razeghi, and G. J. Brown, “Advanced InAs/GaSb


superlattice photovoltaic detectors for very long wavelength infrared
applications,” Appl. Phys. Lett. 80, 3262–3264 (2002).
8. E. R. Youngdale, J. R. Meyer, C. A. Hoffman, F. J. Bartoli, C. H. Grein,
P. M. Young, H. Ehrenreich, R. H. Miles, and D. H. Chow, “Auger
lifetime enhancement in InAs/GaInSb superlattices,” Appl. Phys. Lett. 64,
3160–3162 (1994).
9. C. H. Grein, M. E. Flatté, H. Ehrenreich, R. H. and Miles, “Comment
on ‘Temperature limits on infrared detectivities of InAs/InGaSb super-
lattices and bulk HgCdTe, [J. Appl. Phys. 74, 4774 (1993)],” J. Appl.
Phys. 77, 4153–4155 (1995).
10. C. Grein, H. Cruz, M. Flatté, and H. Ehrenreich, “Theoretical
performance of very long wavelength InAs/InGaSb superlattice based
infrared detectors,” Appl. Phys. Lett. 65, 2530–2532 (1994).
11. A. Haddadi, S. R. Darvish, G. Chen, A. M. Hoang, B. M. Nguyen, and
M. Razeghi, “High operability 1024  1024 long wavelength type-II
superlattice focal plane array,” IEEE J. Quant. Electron. 48, 221–228 (2012).
12. M. Kinch, “Fundamental physics of infrared detector materials,”
J. Electron. Mater. 29, 809–817 (2000).
13. A. Rogalski, “Third-generation infrared photon detectors,” Opt. Eng.
42(12), 3498–3516 (2003) [doi: 10.1117/1.1625377].
14. A. Rogalski, “Infrared detectors: status and trends,” Prog. Quantum
Electron. 27, 59–210 (2003).
15. M. E. Flatté, C. H. Grein, H. Ehrenreich, R. H. Miles, and H. Cruz,
“Theoretical performance limits of 2.1–4.1 mm InAs/InGaSb, HgCdTe,
and InGaAsSb lasers,” J. Appl. Phys. 78, 4552–4561 (1995).
16. C. H. Grein, K. Abu El-Rub, M. E. Flatté, and H. Ehrenreich, “Band
engineering of superlattice-based short-, mid-, and long-wavelength
infrared avalanche photodiodes for improved impact ionization rates,”
J. Appl. Phys. 92, 3771–3778 (2002).
17. M. Flatté and C. H. Grein, “Theory and modeling of type-II strained-
layer superlattice detectors,” Proc. SPIE 7222, 72220Q (2009) [doi:
10.1117/12.814173].
18. S. Bandara, P. Maloney, N. Baril, J. Pellegrino, and M. Tidrow,
“Doping dependence of minority carrier lifetime in long-wave Sb-based
type II superlattice infrared detector materials,” Opt. Eng. 50(6), 061015
(2011) [doi: 10.1117/1.3590720].
19. J. Pellegrino and R. DeWames, “Minority carrier lifetime characteristics
in type II InAs/GaSb LWIR superlattice n+pp+ photodiodes,” Proc.
SPIE 7298, 72981U (2009) [doi: 10.1117/12.819641].
362 Chapter 15

20. G. Belenky, G. Kipshidze, D. Donetsky, S. P. Svensson, W. L. Sarney,


H. Hier, L. Shterengas, Y. Wang, and Y. Lin, “Effects of carrier
concentration and phonon energy on carrier lifetime in type-II T2SL and
properties of InAsSb alloys,” Proc. SPIE 8012, 80120W (2011) [doi:
10.1117/12.883625].
21. B. C. Connelly, G. D. Metcalfe, H. Shen, and M. Wraback, “Direct
minority carrier lifetime measurements and recombination mechanisms
in long-wave infrared type II superlattices using time-resolved photo-
luminescence,” Appl. Phys. Lett. 97, 251117–251119 (2010).
22. B. M. Nguyen, D. Hoffman, P. Y. Delaunay, and M. Razeghi, “Dark
current suppression in type-II InAs/GaSb superlattice long wavelength
infrared photodiodes with M-structure barrier,” Appl. Phys. Lett. 91,
163511–163513 (2007).
23. D. Z. Y. Ting, C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo,
J. Nguyen, and S. D. Gunapala, “A high- performance long wavelength
superlattice complementary barrier infrared detector,” Appl. Phys. Lett.
95, 023508–023510 (2009).
24. I. Vurgaftman, E. Aifer, C. Canedy, J. Tischler, J. Meyer, J. Warner,
E. Jackson, G. Hildebrandt, and G. Sullivan, “Graded band gap for
dark-current suppression in long-wave infrared W-structured type-II
superlattice photodiodes,” Appl. Phys. Lett. 89, 121114–121117 (2006).
25. E. H. Aifer, H. Warner, C. L. Canedy, I. Vurgaftman, J. M. Jackson,
J. G. Tischler, J. R. Meyer, S. P. Powell, K. Oliver, and W. E. Tennant,
“Shallow-etch mesa isolation of graded-bandgap W-structured type II
superlattice photodiodes,” J. Electron. Mater. 39, 1070–1079 (2010).
26. O. Salihoglu, A. Muti, K. Kutluer, T. Tansel, R. Turan, Y. Ergun, and
A. Aydinli, “N-structure for type-II superlattice photodetectors,” Appl.
Phys. Lett. 101, 073505 (2012).
27. J. B. Rodriguez, E. Plis, G. Bishop, Y. D. Sharma, H. S. Kim, L. R. Dawson,
and S. Krishna, “nBn structure based on InAs/GaSb type-II strained layer
superlattices,” Appl. Phys. Lett. 91, 043514–043515 (2008).
28. H. S. Kim, E. Plis, J. B. Rodriguez, G. D. Bishop, Y. D. Sharma,
L. R. Dawson, S. Krishna, J. Bundas, R. Cook, D. Burrows, R. Dennis,
K. Patnaude, A. Reisinger, and M. Sundaram, “Mid-IR focal plane
array based on type-II InAs/ GaSb strain layer superlattice detector with
nBn design,” Appl. Phys. Lett. 92, 183502–183504 (2008).
29. D. R. Rhiger, “Performance comparison of long-wavelength infrared
type II superlattice devices with HgCdTe,” J. Electron. Mater. 40, 1815–
1822 (2011).
30. C. H. Grein, J. Garland, and M. E. Flatté, “Strained and unstrained layer
superlattices for infrared detection,” J. Electron. Mater. 38, 1800–1804 (2009).
Type-II Superlattices: Status and Trends 363

31. W. Shockley and J. W. T. Read, “Statistics of the recombinations of


holes and electrons,” Phys. Rev. 87, 835–842 (1952).
32. W. Walukiewicz, “Defect reactions at metal-semiconductor and semi-
conductor-semiconductor interfaces,” Proc. Mater. Res. Soc. Symp. 148,
137 (1989).
33. S. P. Svensson, D. Donetsky, D. Wang, H. Hier, F. J. Crowne, and
G. Belenky, “Growth of type-II strained layer superlattices, bulk InAs
and GaSb materials for minority lifetime characterization,” J. Cryst.
Growth 334, 103–109 (2011).
34. E. Plis, M. N. Kutty, and S. Krishna, “Passivation techniques for InAs/
GaSb strained layer superlattice detectors,” Laser & Photon. Rev. 7, 1–15
(2012).
35. A. Gin, Y. Wei, J. Bae, A. Hood, J. Nah, M. and Razeghi, “Passivation
of type II InAs/GaSb superlattice photodiodes,” Thin Solid Films
447–448, 489–492 (2004).
36. A. Hood, M. Razeghi, E. Aifer, and G. Brown, “On the performance and
surface passivation of type-II InAs/GaSb superlattice photodiodes for the
very-long-wavelength infrared,” Appl. Phys. Lett. 87, 151113– 15116 (2005).
37. J. A. Nolde, R. Stine, E. M. Jackson, C. L. Canedy, I. Vurgaftman,
S. I. Maximenko, C. A. Affouda, M. Gonzalez, E. H. Aifer, and J. R. Meyer,
“Effect of the oxide-semiconductor interface on the passivation of hybrid
type-II superlattice long-wave infrared photodiodes,” Proc. SPIE 7945,
79451Y (2011) [doi: 10.1117/12.876320].
38. P. Y. Delaunay, A. Hood, B. M. Nguyen, D. Hoffman, Y. Wei, and
M. Razeghi, “Passivation of type-II InAs/GaSb double heterostructure,”
Appl. Phys. Lett. 91, 091112 (2007).
39. G. Chen, B.-M. Nguyen, A. M. Hoang, E. K. Huang, S. R. Darvish, and
M. Razeghi, “Elimination of surface leakage in gate controlled type-II InAs/
GaSb mid-infrared photodetectors,” Appl. Phys. Lett. 99, 183503 (2011).
40. H. S. Kim, E. Plis, A. Khoshakhlagh, S. Myers, N. Gautam, Y. D. Sharma,
L. R. Dawson, S. Krishna, S. J. Lee, and S. K. Noh, “Performance
improvement of InAs/GaSb strained layer superlattice detectors by reducing
surface leakage currents with SU-8 passivation,” Appl. Phys. Lett. 96,
033502–033504 (2010).
41. E. A. DeCuir, Jr., J. W. Little, and N. Baril, “Addressing surface leakage
in type-II InAs/GaSb superlattice materials using novel approaches to
surface passivation,” Proc. SPIE 8155, 815508 (2011) [doi: 10.1117/
12.895448].
42. H. S. Kim, E. Plis, N. Gautam, S. Myers, Y. Sharma, L. R. Dawson, and
S. Krishna, “Reduction of surface leakage current in InAs/GaSb strained
364 Chapter 15

layer long wavelength superlattice detectors using SU-8 passivation,”


Appl Phys. Lett. 97, 143512–142514 (2010).
43. A. Hood, P. Y. Delaunay, D. Hoffman, B. M. Nguyen, Y. Wei, and
M. Razeghi, “Near bulk-limited r0a of long-wavelength infrared type-II
InAs/GaSb superlattice photodiodes with polyimide surface
passivation,” Appl Phys. Lett. 90, 233513 (2007).
44. R. Chaghi, C. Cervera, H. Ait-Kaci, P. Grech, J. B. Rodriguez, and
P. Christol, “Wet etching and chemical polishing of InAs/GaSb
superlattice photodiodes,” Semicond. Sci. Technol. 24, 065010 (2009).
45. S. Maimon and G. Wicks, “nbn detector, an infrared detector with
reduced dark current and higher operating temperature,” Appl. Phys.
Lett. 89, 151109–151111 (2006).
46. E. Plis, H. S. Kim, G. Bishop, S. Krishna, K. Banerjee, and S. Ghosh, “Lateral
diffusion of minority carriers in nbn based type-II InAs/GaSb strained
layer superlattice detectors,” Appl. Phys. Lett. 93, 123507– 23509 (2008).
47. R. Rehm, M. Walther, F. Fuchs, J. Schmitz, J. and Fleissner,
“Passivation of InAs/(GaIn)Sb short-period superlattice photodiodes
with 10 mm cutoff wavelength by epitaxial overgrowth with AlxGa1 x
AsySb1 y,” Appl. Phys. Lett. 86, 173501–171503 (2005).
48. F. Szmulowicz and G. J. Brown, “GaSb for passivating type-II InAs/
GaSb superlattice mesas,” Infrared Phys. Technol. 53, 305–307 (2011).
49. A. Gin, Y. Wei, A. Hood, A. Bajowala, V. Yazdanpanah, M. Razeghi,
and M. Tidrow, “Ammonium sulfide passivation of type-II InAs/GaSb
superlattice photodiodes,” Appl. Phys. Lett. 84, 2037–2039 (2004).
50. E. Plis, M. N. Kutty, S. Myers, H. S. Kim, N. Gautam, L. R. Dawson,
and S. Krishna, “Passivation of long-wave infrared InAs/GaSb strained
layer superlattice detectors,” Infrared Phys. Technol. 54, 252–257 (2010).
51. K. Banerjee, S. Ghosh, E. Plis, and S. Krishna, “Study of short- and
long-term effectiveness of ammonium sulfide as surface passivation for
InAs/GaSb superlattices using x-ray photoelectron spectroscopy,”
J. Electron. Mater. 39, 2210–2214 (2010).
52. K. Banerjee, J. Huang, S. Ghosh, R. Xu, C. G. Takoudis, E. Plis, S. Krishna,
S. Ketharanathan, and M. Chriss, “Surface study of thioacetamide and zinc
sulfide passivation long wavelength infrared type-II strained layer super-
lattice,” Proc. SPIE 8012, 801243 (2011) [doi: 10.1117/12.900198].
53. E. Plis, J. B. Rodriguez, S. J. Lee, and S. Krishna, “Electrochemical
sulphur passivation of InAs/GaSb strain layer superlattice detectors,”
Electron. Lett. 42, 1248–1249 (2006).
54. S. Mallick, K. Banerjee, S. Ghosh, E. Plis, J. B. Rodriguez, S. Krishna,
and C. Grein, “Ultralow noise midwave infrared InAs–GaSb strain layer
Type-II Superlattices: Status and Trends 365

superlattice avalanche photodiode,” Appl. Phys. Lett. 91, 241111–241113


(2007).
55. S. Mallick, K. Banerjee, S. Ghosh, J. B. Rodriguez, and S. Krishna, “Mid-
wavelength infrared avalanche photodiode using InAs–GaSb strain layer
superlattice,” IEEE Photon. Tech. Lett. 19, 1843–1845 (2007).
56. K. Banerjee, S. Ghosh, S. Mallick, E. Plis, and S. Krishna, “Electrical
characterization of different passivation treatments for long-wave
infrared InAs/GaSb strained layer superlattice photodiodes,” J. Electron.
Mater. 38, 1944–1947 (2009).
57. R. Rehm, M. Walther, F. Rutz, J. Schmitz, A. Worl, J.-M. Masur,
R. Scheibner, J. Wendler, and J. Ziegler, “Dual-color InAs/GaSb
superlattice focal-plane array technology,” J. Electron. Mater. 40(8),
1738–1743 (2011).
58. E. K.-W. Huang and M. Razeghi, “World’s first demonstration of type-
II superlattice dual-band 640  512 LWIR focal plane array,” Proc.
SPIE 8268, 82680Z (2012) [doi: 10.1117/12.913662] .
59. Y. Zhang, W. Ma, Y. Wei, Y. Cao, J. Huang, K. Cui, and X. Guo,
“Narrow-band long-/very-long wavelength two-color type-II InAs/GaSb
superlattice photodetector by changing the bias polarity,” Appl. Phys.
Lett. 100, 173511 (2012).
60. A. Khoshakhlagh, J. Rodriguez, E. Plis, G. D. Bishop, Y. D. Sharma,
H. S. Kim, R. Dawson, and S. Krishna, “Bias dependent dual-band
response from InAs/Ga(In)Sb type-II strain layer superlattice detectors,”
Appl. Phys. Lett. 91, 263504–263506 (2007).
61. E. Plis, S. S. Krishna, E. P. Smith, S. Johnson, and S. Krishna, “Voltage
controllable dual-band response from InAs/GaSb strained layer super-
lattice detectors with nbn design,” Electron Lett. 47, 133–134 (2011).
62. E. A. Plis, S. S. Krishna, N. Gautam, S. Myers, and S. Krishna, “Bias
switchable dual-band InAs/GaSb superlattice detector with pBp
architecture,” IEEE Photon. J. 3(2), 234–240 (2011).
63. N. Gautam, M. Naydenkov, S. Myers, A. V. Barve, E. Plis, T. Rotter,
L. R. Dawson, and S. Krishna, “Three color infrared detector using
InAs/GaSb superlattices with unipolar barriers,” Appl. Phys. Lett. 98,
121106–121108 (2011).
64. G. Ariyawansa, M. Gruppen, J. M. Duran, J. E. Scheihing, T. R. Nelson,
and M. T. Eismann, “Design and modeling of InAs/GaSb type II
superlattice based dual-band infrared detectors,” J. Appl. Phys. 111,
073107–073117 (2012).
65. E. H. Steenbergen, B. C. Connelly, G. D. Metcalfe, H. Shen, M. Wraback,
D. Lubyshev, Y. Qiu, J. M. Fastnau, A. W. K. Liu, S. Elhamri,
O. O. Cellek, and Y. H. Zhang, “Significantly improved minority carrier
366 Chapter 15

lifetime observed in a long-wavelength infrared III-V type-II superlattice


comprised of InAs/InAsSb,” Appl. Phys. Lett. 99, 251110–251112 (2011).
66. B. V. Olson, E. A. Shaner, J. K. Kim, J. F. Klem, S. D. Hawkins,
L. M. Murray, J. P. Prineas, M. E. Flatté, and T. F. Boggess, “Time-
resolved optical measurements of minority carrier recombination in a
mid-wave infrared InAsSb alloy and InAs/InAsSb superlattice,” Appl.
Phys. Lett. 101, 092109–092112 (2012).
67. D. Lackner, M. Steger, M. L. W. Thewalt, O. J. Pitts, Y. T. Cherng,
S. P. Watkins, E. Plis, and S. Krishna, “InAs/InAsSb strain balanced
superlattices for optical detectors: material properties and energy band
simulations,” J. Appl. Phys. 111, 034507–034510 (2012).
68. C. M. Ciesla, B. N. Murdin, C. R. Pidgeon, R. A. Stradling, C. C. Phillips,
M. Livingstone, I. Calbraith, D. A. Jaroszynski, C. J. G. M. Langerak,
P. J. P. Tang, and M. J. Pullin, “Suppression of Auger recombination
in arsenic-rich InAsSb strained layer superlattices,” J. Appl. Phys. 80,
2994–2997 (1996).
69. G. C. Dente and M. L. Tilton, “Pseudo-potential methods for super-
lattices: Applications to mid-infrared semiconductor lasers,” J. Appl. Phys.
86, 1420–1429 (1999).
70. T. Schuler-Sandy, S. Myers, B. Klein, N. Gautam, P. Ahirwar, Z.-B. Tian,
T. Rotter, G. Balakrishnan, E. Plis, and S. Krishna, “Gallium free
type II InAs/InAsSb superlattice photodetectors,” Appl. Phys. Lett. 101,
071111–071111–3 (2012).
71. H. Kroemer, “The 6.1 angstrom family (InAs, GaSb, AlSb) and its
heterostructures: a selective review,” Physica E 20, 196–203 (2004).
72. J. V. Li, R. Q. Yang, C. J. Hill, and S. L. Chuang, “Interband cascade
detectors with room temperature photovoltaic operation,” Appl. Phys.
Lett. 86, 101102–101104 (2005).
73. R. Q. Yang, Z. Tian, J. F. Klem, T. D. Mishima, M. B. Santos, and
M. B. Johnson, “Interband cascade photovoltaic devices,” Appl. Phys.
Lett. 96, 063504–063506 (2010).
74. R. Q. Yang, Z. Tian, Z. Cai, J. F. Klem, M. B. Johnson, and H. C. Liu,
“Interband-cascade infrared photodetectors with superlattice absorbers,”
J. Appl. Phys. 107, 054514–054519 (2010).
75. A. Tian, R. T. Hinkey, R. Q. Yang, D. Lubyshev, Y. Qui, J. M. Fastenau,
W. K. Liu, and B. Johnson, “Interband cascade infrared photodetectors
with enhanced electron barriers and p-type superlattice absorbers,”
J. Appl. Phys. 111, 024510–024515 (2012).
76. N. Gautam, S. Myers, A. V. Barve, B. Klein, E. P. Smith, D. R. Rhiger,
L. R. Dawson, and S. Krishna, “High operating temperature interband
Type-II Superlattices: Status and Trends 367

cascade midwave infrared detector based on type-II InAs/GaSb strained


layer superlattice,” Appl. Phys. Lett. 101, 021106–021109 (2012).
77. F. Szmulowicz, H. J. Haugan, and G. J. Brown, “Proposal for (110)
InAs/GaSb superlattices for infrared detection,” Proc. SPIE 6900,
69000L (2008) [doi: 10.1117/12.763738].
78. G. P. Schwartz, G. J. Gualtieri, and W. Sunder, “Molecular beam
epitaxy growth and characterization of GaSb/AlSb strained-layer super-
lattices on nonvicinal (001) and (111) B GaSb substrates,” J. Cryst.
Growth 102, 147–156 (1990).
79. J. A. Dura, J. T. Zborowski, and T. D. Golding, “Molecular beam
epitaxy study of InAs/GaSb heteroepitaxy on the (111)A and (111)B
orientations,” MRS Proc. 263, 35 (1992).
80. D. M. Symons, M. Lakrimi, R. J. Warburton, R. J. Nicholas,
N. J. Mason, P. J. Walker, M. I. Eremets, and C. Hill, “[001]- and
piezoelectric-[111]-oriented InAs/GaSb structures under hydrostatic
pressure,” Phys. Rev. B 49(23), 16614–16621 (1994).
81. Y. Wei, A. Hood, H. Yau, A. Gin, M. Razeghi, M. Z. Tidrow, and
V. Nathan, “Uncooled operation of type-II InAs/GaSb superlattice
photodiodes in the midwavelength infrared range,” Appl. Phys. Lett. 86,
233106–233109 (2005).
82. J. V. Li, C. J. Hill, J. Mumolo, S. Gunapala, S. Mou, and S. L. Chuang,
“Mid-infrared type-II InAs/GaSb superlattice photodiodes toward room
temperature operation,” Appl. Phys. Lett. 93, 163505–163507 (2008).

Elena Plis is a Research Associate Professor in the


Electrical and Computer Engineering Department at
the Center for High Technology Materials at the
University of New Mexico. She received her M.S. and
Ph.D. degrees from University of New Mexico in 2005
and 2007, respectively, and has an engineering degree
from the Kovrov State Technological Academy,
Russia. Her current research interests include growth,
fabrication, and characterization of type-II InAs/(InGa)Sb strained-layer
superlattice IR detectors for the MWIR and LWIR spectral regions. She is
also interested in the chemistry of GaSb-based materials and possible
biomedical applications of infrared detectors, such as early detection of skin
cancer. Dr. Plis has authored/coauthored more than 50 peer-reviewed journal
articles, more than 40 conference presentations, and two book chapters.
368 Chapter 15

Sanjay Krishna is a Professor and Regents’ Lecturer of


Electrical and Computer Engineering at the University
of New Mexico’s (UNM) Center for High Technology
Materials. He heads a group of about 20 researchers
who are investigating next-generation infrared imagers.
UNM has named him as the Regents’ Lecturer (2009)
and Teacher of the Year (2010) in recognition of his
extraordinary accomplishments and leadership in
teaching, research, and service. Dr. Krishna received early-career achievement
awards from SPIE and the IEEE Nanotechnology Council in 2008. He has
been recognized with the Defense Intelligence Agency’s 2007 Chief Scientist
Award, and the North American Molecular Beam Epitaxy's 2007 Young
Investigator Award. Dr. Krishna has authored/coauthored more than 300
peer-reviewed journal articles with more than 3800 citations with a H-index of
35. He has recently been recognized as a fellow of SPIE and received the 2013
Young Scientist Award from the International Symposium on Compound
Semiconductors. He recently cofounded SKINfrared, a start-up company that
is leveraging advances in infrared imaging for applications in medicine.
Dr. Krishna earned his Ph.D. and M.S. degrees in electrical engineering at the
University of Michigan at Ann Arbor in 2001 and 1999, respectively. (He
holds a second M.S. in Physics from the Indian Institute of Technology in
Madras, received in 1996.)
Chapter 16
MWIR Detectors: A Comparison
of Strained-Layer Superlattice
Photodiodes with HgCdTe
William E. Tennant
Teledyne Imaging Sensors, Camarillo, California, USA

16.1 Introduction: Why This Comparison?


16.2 Some Diode Basics
16.2.1 Diode architecture
16.2.2 The key metric: background limited performance (BLIP)
16.3 Real MWIR Devices at 150 K
16.3.1 HgCdTe
16.3.2 Strained layer superlattice (SLS)
16.4 Performance Assessment and Comparison
16.5 Summary and Conclusions
References

16.1 Introduction: Why This Comparison?


Photodiodes are the most sensitive photodetectors, being widely used for thermal
imaging in high-performance cameras for both commercial and governmental
purposes. In the thermal IR region, MWIR photodiodes (3- to 5-mm spectral
range) are the most widely used and most mature, being incorporated into arrays
of hundreds of thousands to millions of elements and being widely used in
applications from tactical thermal imaging to space-based astronomy. They
have wavelengths sufficiently long to detect thermal infrared but short enough
not to be very susceptible to tunneling (which can limit sensitivity).
Probably the most widely used high-performance MWIR photodiode
material is InSb. However, InSb is a binary compound with a fixed spectral
range (which increases undesirably with operating temperature) and has
369
370 Chapter 16

currents limited by defects, rather than fundamental mechanisms. Therefore it


reaches its best performance at low temperatures (typically 80–100 K). InSb
is used mainly for its maturity and low fabrication cost; however, its
limitations make it unsuitable to realize the low system size, weight, and
power, and low life-cycle cost benefits attainable from substantially higher
operating temperature.
Fortunately, two other material systems have the potential to attain high
MWIR performance at high operating temperatures. Mercury cadmium
telluride (HgCdTe), discovered over 50 years ago in Britain, has consistently
shown the highest performance of any MWIR photodiode material at or
above liquid nitrogen temperatures (80 K), and its adjustable energy gap
allows tailoring of the spectral response precisely to the intended operating
temperature. Moreover, it has matured to the point where its performance is
limited not by defects but by fundamental Auger mechanisms that arise from
the band structure itself.
Of the materials currently being investigated for high-performance
detection, type-II strained-layer superlattices (T2SLSs or SLSs) are the only
materials that offer a significant fundamental performance improvement over
HgCdTe. These materials are artificial crystals: stacks of hundreds of groups
of crystalline layers, each group composed of a few atomic layers, each of
several different crystalline alloys. Typically, these SLS structures are grown
by molecular beam epitaxy (MBE) from III-V alloys of AlAs, AlSb, GaAs,
GaSb, InAs, and InSb.1 Quantum confinement and lattice strain combine to
allow the material designer to tailor not just bandgap but also band structure
to minimize the effects of Auger recombination.
Recent publications have indicated that both of these materials systems offer
promise for high-temperature thermal imaging, as will be discussed. This chapter
draws primarily on four recent (and one earlier) publications from Tennant,2
the Razeghi group,3 Bewley et al.,4 Grein and Flatté,5 and Grein et al.6
This review aims to provide analysis and comparison of state-of-the-art
HgCdTe and SLS MWIR photodiode performance at a 150-K operating
temperature. This is a highly desirable temperature for future thermal imagers,
being more than 1.5 times the current InSb typical operating temperature.
Because the comparison is taken from data reported, rather than data taken
explicitly for comparison purposes, some conclusions will be estimates; however,
an attempt will be made to show that these estimates are usefully accurate.

16.2 Some Diode Basics


16.2.1 Diode architecture
The HgCdTe diodes described by Tennant1 have a planar architecture.
Figure 16.1 is a cross section showing the relevant features for analysis.
The multilayer HgCdTe material structure is grown by MBE on a CdZnTe
MWIR Detectors: A Comparison of Strained-Layer Superlattice Photodiodes… 371

Figure 16.1 HgCdTe diode cross section showing key features for analysis (adapted from
Ref. 2).

substrate. Light of a wavelength shorter than the active layer cutoff (that is,
having energy greater than the active layer bandgap) enters through the
transparent substrate, passes through the wide-bandgap HgCdTe buffer
layer used to enhance collection of the return electron current to a remote
ground, and is absorbed in the n-type-as-grown active layer, generating
an electron–hole pair. The hole diffuses to the depletion region of the planar
p-junction, formed by arsenic implantation and activation, and is passivated
by a wide-bandgap cap layer and an overcoating insulator. From the
depletion region, the hole is swept out to the p-side and to the metal
contact, which is connected to the outside circuit (not shown). Because
the active layer extends laterally beyond the junction area, diffusion can
extend the effective optical collection area for holes by about one diffusion
length, creating a diode whose optical size is typically larger than the
junction size.
Competing with the photocurrent are dark currents from other sources
within a diffusion length of the junction. These dark currents give no signal
but add noise to the measurement and provide unwanted charge that must
be dealt with. The Auger generation mechanism is the most common dark-
current source in the best devices. This mechanism is due to thermally
excited free majority carriers (electrons, in this case) having sufficient
kinetic energy to create an electron–hole pair by impact ionization. The
Auger generation rate (equilibrium minority carrier concentration density/
Auger lifetime) is proportional to the majority carrier concentration. Thus,
typically, decreasing doping reduces Auger-generated dark currents. Since
these currents are generated by free carriers, they are diffusion currents
originating in the charge-neutral region of the diode (outside the depletion
372 Chapter 16

region). Other dark currents may be generated by point or extended


defects in the bulk or at surfaces or interfaces. These defects are not
fundamental, so their number and effect may be reduced as detector
technology improves. These dark currents may also be either diffusion in
nature (like the Auger currents), coming from the field free region, or they
may be generation–recombination or tunneling currents and come from
somewhere in the depletion region. Note that Fig. 16.1 calls out a
peripheral current-generating region that appears to generate dark
diffusion currents that are somewhat higher than those from within the
volume under the junction—possibly indicating room for improving the
HgCdTe device architecture or process.
The SLS diode architecture reported by the Razeghi group (Chen and
coauthors at Northwestern University3) is a mesa architecture, as shown in
Fig. 16.2. This device is also a p-on-n geometry like the HgCdTe architecture
but differs from the HgCdTe in its details. The SLS structure on the
transparent GaSb substrate consists of a wider bandgap contact (heavily
n-doped) and “M” (lightly n-doped) layers on which is grown a 3-mm-thick p
(lightly p-doped at 1  1017/cm3) active layer followed by a heavily p-doped
contact layer.7 Mesas are etched through the p–n junction to delineate the
detector region, and a passivation coating is applied followed by both contact
and a gate metal, the latter extending down the mesa wall and over the p–n
junction. Detection occurs in a manner similar to that in the HgCdTe device,
with light traversing both the transparent substrate and the n-doped layers and
being absorbed in the p region where the minority carriers (electrons in this
case) diffuse to the depletion region just above the M layer. Razeghi’s group
found that the device had relatively high dark current generated by an
inverted surface from a positive-charge buildup that required 40-V negative
gate bias to offset. Once the proper gate bias was applied, diffusion currents
dominated at 150 K and above.

Figure 16.2 SLS diode cross section showing key features for analysis.
MWIR Detectors: A Comparison of Strained-Layer Superlattice Photodiodes… 373

At this point it is useful to briefly mention diffusion dark currents, since


the best diodes are limited by these currents. Diffusion dark-current density as
a function of bias is given by the ideal diode equation
JðV Þ ¼ Jd ½expðV =VT Þ 1, ð16:1Þ
where Jd ¼ qn2i b=Nt is the saturation dark-current density, VT is the thermal
voltage (¼kT/q), q is the electron charge, ni is the minority carrier
concentration, b is the thickness of the absorbing region (assuming large
diode diameter compared to layer thickness), k is Boltzmann’s constant, and T
is the diode operating temperature. Note that J(V) ¼ Jd for V  VT. The best
diodes are limited by diffusion currents from Auger generation mechanisms.
Lower Auger recombination and generation means longer lifetime, lower
dark-current density, and high operating temperature for these devices,
assuming they have the same doping level.

16.2.2 The key metric: background-limited performance (BLIP)


High operating temperature with high performance is the goal for advanced
MWIR imagers because high operating temperature lowers the size, weight,
and power required for detection and correspondingly reduces system life-cycle
cost. High performance requires low dark-current density. The best possible
performance for an IR sensor is background (scene)-limited performance
(BLIP). BLIP occurs when the scene photocurrent is substantially greater
than the dark current, so that the dominant noise is that inherent in the
scene fluctuation itself—typically the shot noise from the scene flux. Of course,
good quantum efficiency (QE) is essential to maximize the contribution of the
scene flux.
As a benchmark, consider any room-temperature (300 K) background
and a 70% (antireflection-coated) QE photodiode detector, sensitive to the
3- to 5-mm spectral band. For this device the photocurrent density will be
8.7  10 5 A=cm2 in f=2 optics, 4.0  10 5 A=cm2 in f=3 optics, and 2.3 
10 5 A=cm2 in f=4 optics. In order for a device to operate near BLIP, the
dark-current density should be substantially (at least 3) lower than these
values.

16.3 Real MWIR Devices at 150 K


16.3.1 HgCdTe
Tennant’s paper2 analyzes test structures from four MWIR HgCdTe wafers.
Our current effort considers two of these reported wafers. Figure 16.3 shows
the reported spectral QE of diodes from the two wafers (wafers C and D)
with the longest cutoff wavelength. These diodes were not antireflection
coated so their peak theoretical QE must be less than 78% due to reflection
374 Chapter 16

Figure 16.3 Spectral QE of diodes from two MWIR HgCdTe wafers reported by Tennant.2
Fit points are taken from measured data, with fits being the result of the model fit to the
measured points. (See color plate section.)

from the substrate. That the peak QE is above 70% indicates that the internal
QE is near 90% for sample D and higher for sample C. The points in the curve
are a subsample of data taken from a high-resolution spectrophotometer for
the purpose of fitting to a model described in the paper. To obtain the fit, only
the composition and thickness of the layer were varied. The model fits are
given by the smooth lines. Figure 16.4 shows the reported absolute value of
current density plotted against voltage for these diodes. The flat reverse-bias
characteristic beyond a few times the thermal voltage indicates that these
devices are diffusion limited. Table 16.1 gives the measured and inferred
parameters for the wafers from Tennant’s paper.

Figure 16.4 Current voltage characteristic of HgCdTe MWIR diodes from wafers C and D.
(See color plate section.)
MWIR Detectors: A Comparison of Strained-Layer Superlattice Photodiodes… 375

Table 16.1 Properties of HgCdTe diodes from Tennant.2

Parameter Sample C Sample D

Bandgap wavelength (mm) 4.75 5.06


Device diameter (mm) 250 250
Effective layer thickness (mm, from model) 4.6 3.6
Donor doping density (1/cm3) 1.5  1015 1.5  1015
Intrinsic carrier concentration (1/cm3, from model) 1.3  1013 2.3  1013
Lateral collection length (mm) 46 23
Dark current density (A/cm2 obtained from dividing 1.0  10 6 4.2  10 6
measured dark current by measured optical area)
Dark current density (A/cm2 obtained from separate 5.8  10 7
1.7  10 6

modeling of current in junction region)

16.3.2 Strained-layer superlattice (SLS)


The best MWIR SLS diode dark currents from Razeghi’s group are also
diffusion limited, as is evidenced by the 150-K absolute current versus voltage
plotted in Fig. 16.5.3 The solid line shows no significant bias dependence at
reverse biases beyond a few times the thermal voltage. This curve was
obtained with a bias of 40 V on the gate [referred to as the gated-diode (GD)
condition versus the ungated diode (UGD) condition]. Table 1.2 gives the
relevant device parameters for this diode. Note that their work does not
describe the measurement conditions for QE. However, the 52.2% value
reported is consistent with an internal QE of over 70%, assuming a front-
surface reflectance of 30%, as would be expected for this materials system.
Note that the focus of the SLS paper3 was on the need for surface control,
so some of the parameters needed for this analysis have had to be inferred.
Specifically, the author had no model for the density of states and so used the
geometric mean of that from HgCdTe samples C and D, whose energy gaps
bracket those of the SLS sample. This does not seem unreasonable, given that
the QEs are comparable, suggesting comparable densities of states and thus
comparable intrinsic carrier concentrations.

Figure 16.5 Current voltage characteristic of gated SLS MWIR diode from Razeghi’s
group. Solid line is with a 40 V potential applied to the gate. Flat reverse bias characteristic
indicated diffusion-limited performance (adapted from Ref. 3).
376 Chapter 16

Table 16.2 Properties of SLS from Razeghi’s group.3

Parameter SLS Gated Diode

Bandgap wavelength (mm) 4.83


QE (%, measurement wavelength not given) 52.2
Device diameter (mm, not specifically mentioned for this device) 100 300
Layer thickness (mm) 3
Donor doping density (1/cm3) 1  1017
Intrinsic carrier concentration (1/cm3, estimated using mean of samples 1.7  1013
C and D not given by author)
Lateral collection length (mm) N/A (fully reticulated
mesa device)
Dark current density (A/cm2) 1.1  10 5

16.4 Performance Assessment and Comparison


Auger recombination is expressed in terms of the Auger coefficient (units are
cm6/s) and is related to the Auger-limited minority carrier lifetime by the
expression
1
Auger coefficient ¼
, ð16:2Þ
N 2t
where t is the Auger lifetime, and N is the doping density. Given the above
expression for saturation dark-current density, an estimate of the intrinsic
carrier concentration, and the assumption of an Auger-limited device, it is
possible to infer an Auger coefficient. Under these assumptions,
qn2i b
Jd ¼ ¼ qn2i N ðAuger coefficientÞ; ð16:3Þ
Nt
therefore,
Jd
Auger coefficient ¼ : ð16:4Þ
qn2i bN
Table 16.3 gives the Auger coefficients calculated from the above equation.
Note that in order to estimate the Auger coefficient from the SLS data,
not having a model from which to obtain ni, as mentioned above, the author

Table 16.3 Auger coefficients at 150 K inferred from dark-current densities.

Sample (Current Density Measurement) Inferred Auger


Coefficient Value (cm6/s)

HgCdTe Sample C (250 C current/optical area) 5.35  10 26

HgCdTe Sample C (fit current/junction area) 3.10  10 26

HgCdTe Sample D (250 C current/optical area) 9.18  10 26

HgCdTe Sample C (fit current/junction area) 3.71  10 26

SLS 6.60  10 27
MWIR Detectors: A Comparison of Strained-Layer Superlattice Photodiodes… 377

assumed a value of 1.7  1013/cm3, the geometric mean of the two HgCdTe
values. In addition to not knowing the precise density of states, it is also noted
that the doping level was given only approximately as 1017/cm3, so the SLS
Auger coefficient value must be seen as an approximation. Note, finally, that
any other source of diffusion, such as traps that reduce lifetimes, or generating
interfaces remote from the junction, will add to the dark-current density and
make the inferred Auger coefficient larger than the actual value. That being
said, the SLS device appears to have an Auger coefficient 5 or more times lower
than HgCdTe.
The author was not able to find literature values of the Auger
coefficient at 150 K; however, 300-K data from Bewley et al.4 show that
Auger coefficients of SLS devices are 5–20 lower than those of HgCdTe,
consistent with the above inferences in this comparison. It should be noted
that Bewley et al.4 show that some further improvement in performance
can be obtained by modifying the growth structure to obtain a W
configuration by using a wide-bandgap ternary in the InAs sublayer.
Grein’s5 theoretical modeling of these W structures (albeit at 200 K) shows
Auger coefficients that compare to the 300-K-measured data of Bewley et
al.4 The inferred values at 150 K are also near the 300-K-measured values
of Bewley et al. and the 300-K-calculated values of Grein.6 For HgCdTe,
at least, the model described by Tennant2 gives a 150-K value that is about
3 larger than the 300-K value, so it does appear that these devices are
near the Auger limit.

16.5 Summary and Conclusions


MWIR photodiodes promise background-limited performance (BLIP) at a
high operating temperature (150 K) in optical systems with moderate speed
(f/2–f/4). Both the best MWIR HgCdTe and the best MWIR SLS photodiodes
appear to be limited by fundamental Auger mechanisms at levels consistent
with BLIP performance. Thus, they both have the potential to provide high-
operating-temperature imagers, even at their present level of development.
SLS materials, both theoretically and experimentally, have lower
fundamental Auger-limited dark currents than HgCdTe, a characteristic that
should give them a performance advantage at high temperatures. However, to
date, because these best devices are heavily doped, when the Auger lifetime
is significantly reduced, SLS diodes perform slightly worse than HgCdTe at
150 K. To attain their full potential, SLS diode developers need to realize
Auger-limited devices at doping in the 1  1015/cm3 range. It also appears that
passivation is a more significant issue in SLSs, at least for the reported device
being compared. Because gated diode performance has been shown to
produce Auger-limited behavior, this may be a less urgent issue than lowering
the doping; nonetheless, it must be kept in mind.
378 Chapter 16

References
1. A. Rogalski, “Superlattice Detectors” Chapter 17 in Infrared Detectors,
Second Ed., CRC Press, Boca Raton, FL, pp. 601–622 (2011) [with
references provides a comprehensive review of type-II SLS and other
related structures.]
2. W. E. Tennant, “Interpreting mid-wave infrared MWIR HgCdTe
photodetectors,” J. Prog. Quantum Electron. 36, 273 (2012).
3. G. Chen, B.-M. Nguyen, A. M. Hoang, E. K. Huang, S. R. Darvish, and
M. Razeghi, “Elimination of surface leakage in gate controlled type-II InAs/
GaSb mid-infrared photodetectors,” Appl. Phys. Lett. 99, 183503 (2011).
4. W. W. Bewley, J. R. Lindle, C. S. Kim, M. Kim, C. L. Canedy, I. Vurgaftman,
and J. R. Meyer, “Lifetimes and Auger coefficients in type-II W interband
cascade lasers,” Appl. Phys. Lett. 93, 041118 (2008).
5. C. Grein and M. E. Flatté, “Optimization of MWIR type-II superlattices
for infrared detection,” Proc. SPIE 7660, 76601L (2010) [doi: 10.1117/
12.851862].
6. C. H. Grein, M. E. Flatté, J. T. Olesberg, S. A. Anson, L. Zhang, and
T. F. Boggess, “Auger recombination in narrow-gap semiconductor
superlattices incorporating antimony,” J. Appl. Phys. 92, 7311 (2002).
7. B.-M. Nguyen, D. Hoffman, P.-Y. Delaunay, and M. Razeghi, “Dark current
suppression in type II InAs/GaSb superlattice long-wavelength infrared
photodiodes with M-structure barrier,” Appl. Phys. Lett. 91, 163551 (2007).

William E. Tennant obtained his Ph.D. in solid state


physics from the University of California, Berkeley in
1974. In 1973 he joined Teledyne Scientific and Imaging
(formerly Rockwell Science Center), where he is
currently Chief Technologist and Senior Fellow. He
has helped develop many Teledyne imaging technolo-
gies including: InAsSb, HgCdTe, HgCdTe/sapphire,
HgCdTe/GaAs, HgCdTe/Si, and type-II SLS detector
arrays; Vis–MWIR hyperspectral, multiband, high operating temperature,
large high density, and wavelength-sized pixel IR focal plane arrays (FPAs).
His rule of thumb (“Rule 07”) accurately describes HgCdTe diode dark-
current density dependence on temperature and cutoff wavelength for over 12
orders of magnitude, enabling reliable system performance estimates and
benchmarking IR detector technologies. He has authored/co-authored more
than 100 papers/presentations and 16 patents, received the 1993 MSS (IRIS)
Detector Specialty Group Levinstein Award, and is an MSS Fellow, a Senior
Member of IEEE, and a member of the American Physical Society.
Chapter 17
Mid- and Long-Wavelength
Barrier Infrared Detectors
David Z. Ting, Alexander Soibel, Sam A. Keo, Cory J. Hill, Jason M. Mumolo,
Linda Höglund, Jean Nguyen, Arezou Khoshakhlagh, Sir B. Rafol, John K. Liu,
and Sarath D. Gunapala
NASA Jet Propulsion Laboratory, California Institute of Technology, Pasadena,
California, USA

17.1 Introduction
17.2 The Complementary Barrier Infrared Detector (CBIRD)
17.2.1 CBIRD structure and characterization
17.2.2 CBIRD contact designs
17.2.3 Turn on and dark current characteristics
17.2.4 CBIRD focal plane arrays
17.3 Quantum Dot Barrier Infrared Detector (QD BIRD)
17.4 Summary
Acknowledgment
References

17.1 Introduction
The antimonide material system consisting of the nearly lattice-matched
semiconductors of InAs, GaSb, and AlSb (and their alloys with InSb, GaAs,
and AlAs) has recently emerged as a highly effective platform for the
development of sophisticated heterostructure-based mid-wavelength infrared
(MWIR) and long-wavelength infrared (LWIR) detectors, as exemplified by
the high-performance double heterostructure (DH),1 nBn,2 4 XBn,5 8 and
type-II superlattice infrared detectors.9 17 A key enabling device design
element is the unipolar barrier,17 which is used to implement the barrier
infrared detector (BIRD) architecture for increasing the collection efficiency
of photogenerated carriers, and reducing dark-current generation without

379
380 Chapter 17

impeding photocurrent flow. The effective use of unipolar barriers in


heterostructure III-V MWIR and LWIR detectors has resulted in substantial
reduction in generation–recombination (G-R) dark currents and enhanced
detector performance. One example is the InAs/GaSb type-II-superlattice-
based complementary-barrier infrared detector (CBIRD), which has already
demonstrated very good performance in LWIR detection.17 In this chapter we
describe a modified CBIRD design that incorporates a new bottom contact
structure intended to facilitate material growth and device processing. The
reduction of the turn-on voltage in the new device structure is explained with
the aid of drift-diffusion simulations. Another example of the effective use of
the unipolar barrier is the MWIR nBn detector. The standard nBn detector is
based on the InAsSb absorber being lattice matched to the GaSb substrate.3
We show that by incorporating self-assembled InSb quantum dots (QDs) into
the active detection area, we can extend the detector cutoff wavelength from
4.2 mm to 6 mm.18 The quantum-dot barrier infrared detector (QD-BIRD)
shows infrared response at up to 225 K. Sections 17.2 and 17.3 of this chapter,
respectively, describe the LWIR CBIRD and MWIR QD-BIRD in more
detail. Section 17.4 concludes with a brief summary.

17.2 The Complementary-Barrier Infrared Detector (CBIRD)


Antimonide-based alloy and type-II superlattice19 infrared absorbers can be
customized to have cutoff wavelengths ranging from the shortwave infrared
(SWIR) to the very longwave infrared (VLWIR). Type-II InAs/Ga(In)Sb
superlattices have several key properties that make them favorable for
infrared detection: Their bandgaps can be made arbitrarily small;20 they have
sufficient absorption strength to attain high quantum efficiency;21 they are less
susceptible to band-to-band tunneling when compared to bulk material;21,22
and they are capable of achieving reduced Auger recombination.23,24 Despite
relatively short lifetimes found in present-day superlattice material,25 28 the
higher absorber doping levels afforded by immunity to tunneling has led to
reduced diffusion dark current. The dark-current characteristics of type-II-
superlattice-based single-element LWIR detectors are now approaching those
of the state-of-the-art mercury cadmium telluride (MCT) detector. However,
noise measurements highlight the need for surface leakage suppression, which
can be tackled by improved etching, passivation, and device design. The
various aspects of type-II-superlattice infrared detectors have been covered in
detail in review articles by Fuchs et al.,29 Bürkle and Fuchs,30 Razeghi and
Mohseni,31 and Ting et al.,32 as well as in the book by Rogalski.33
Superlattice infrared detectors based on heterojunction designs, particu-
larly those based on unipolar barrier designs, have demonstrated clear
advantages over their homojunction counterparts. Although the term unipolar
barrier was introduced only recently (2009) to describe a barrier that can block
one carrier type (electron or hole) but allows the un-impeded flow of the
Mid- and Long-Wavelength Barrier Infrared Detectors 381

other,17,34,35 the concept of the unipolar barrier has been in existence since
1963,36,37 in connection with the DH laser. In fact, many heterojunction
superlattice infrared detectors are based on variations of the DH design,
including the superlattice DH structure,38,39 the p-p-M-n detector,40 and the
PbIbN structure.41 We have been working with the CBIRD structure,17 which
is also a variation of the DH design.

17.2.1 CBIRD structure and characterization


The CBIRD design consists of an InAs/GaSb absorber superlattice (SL)
sandwiched between an InAs/AlSb unipolar hole-barrier (hB) SL and an
InAs/GaSb unipolar electron-barrier (eB) SL. Figure 17.1 shows calculated
energy band diagrams of the CBIRD device. We expect the InAs/GaSb LWIR
SL to have more favorable electron (than hole) transport properties.
Therefore the absorber SL is doped lightly p-type for better minority-carrier
(electron) mobility. A full description of the device structure has been
published elsewhere.17 The device structure was grown on GaSb (100)
substrate by molecular beam epitaxy (MBE). Standard contact-mode optical
lithography was used to fabricate large-area (200  200 mm2 in size) devices
for dark-current and responsivity measurements. Detailed device results have
been reported earlier.17 Here we summarize the key points.
In the device reported in Ref. 17, we observed that the photoresponse increases
with bias from 0 to 0.2 V, then plateaus for bias greater than 0.2 V. The 77-K
dark-current density at 0.2 V is quite low, with a value of 1  10 5 A/cm2.

Figure 17.1 (a) Calculated zero-bias energy band diagram of a CBIRD structure, where a
LWIR InAs/GaSb superlattice absorber is surrounded by an InAs/AlSb superlattice hole-
blocking (hB) unipolar barrier and a shorter period InAs/GaSb superlattice electron-blocking
(eB) unipolar barrier (reprinted from Ref. 17). (b) The schematic device structure layer
diagram.
382 Chapter 17

An Arrhenius plot shows that at 0.2 V, the dark current is diffusion limited for
a device temperature above 77 K. Spectral response measured under 0.2 V
applied bias at 77 K shows that the device has a 10-mm cutoff (defined by 50%
peak responsivity), with a peak responsivity of 1.5 A/W. We calculated the
shot-noise-limited blackbody D , where the noise spectrum is determined by
the measured dark current and photocurrent integrated over the 8- to 10-mm
spectral range (the overlap between the atmospheric window and the detector
cutoff). Under 0.2 V, the detector reaches BLIP operation at 86 K with a
blackbody BLIP D value of 1.1  1011 cm-Hz1/2/W for 300-K background
with f/2 optics. For 300-K background with a 2p field of view, the device
shows a BLIP temperature of 101 K with a blackbody BLIP D value of
2.6  1010 cm-Hz1/2/W. The device has a zero-bias dynamic resistance-area
product of R0A ¼ 14,000 V-cm2 at 77 K. However, since the detector is
expected to operate at a higher bias (0.2 V), a more relevant quantity is the
effective resistance-area product, given by RAeff ¼ kT=qJd. Under a 0.2-V
bias, the RAeff for this device is 670 V-cm2 at 77 K.
Optical characterization tools are invaluable in the study of the material
properties of CBIRD detectors. We have been investigating CBIRD devices
using photoluminescence (PL) and transmission spectroscopy techniques. We
find that the absorption quantum efficiency (QE), deduced from the
transmission measurements, serves as a good estimate of the upper limit of
the external QE, and the PL peak position is shown to correlate well with the
detector cutoff wavelength. In a comparison between the PL intensity and the
dark-current characteristics, a good correlation between a high PL intensity
and low dark current was observed, showing that the PL intensity well reflects
the material quality. Also, Shockley–Read–Hall (SRH) processes were
identified as the limiting factor of the minority-carrier lifetime of the CBIRD
material studied. We have also investigated the relation between the PL
intensity and the PL peak wavelength.42 A linear decrease of the PL intensity
with increasing cutoff wavelength of LWIR CBIRDs was observed at 77 K
(see Fig. 17.2). The trend remained unchanged in the temperature range of
10–77 K. This relation between the PL intensity and the peak wavelength can
be used favorably for comparison of the optical quality of samples with
different PL peak wavelengths. A strong increase in the width of the PL
spectrum in the studied temperature interval was observed and was attributed
to thermal broadening. We have also used the optical modulation response
technique to investigate the minority-carrier lifetimes in LWIR InAs/GaSb
SLs used for CBIRD absorbers. Minority-carrier lifetimes in the range of
33–38 ns were observed, in good agreement with other published results on
similar material.25 27 Furthermore, when comparing SLs with essentially the
same PL peak wavelength, correlation between the minority-carrier lifetime
and the PL intensity was observed. This shows that the PL intensity serves as a
Mid- and Long-Wavelength Barrier Infrared Detectors 383

Figure 17.2 Wavelength dependence of the PL peak intensity from CBIRD absorbers. The
data is collected from CBIRD samples with various thicknesses (ranging from 300 to 1,000
absorber superlattice periods). A fitted linear trend line is also shown. (See color plate section.)

good indicator of the material quality.28 Further details of these optical


characterization results can be found in Refs. 28, 42, and 43.
We have also experimentally investigated the noise and gain of high-
performance LWIR SL photodetectors. We compare the recently demon-
strated SL heterodiode, which exhibits an electrical gain much larger than
unity, with an SL photodetector without gain to show that the electrical gain
in these devices originates from the device structure rather than from the SL
absorber. We directly measure the noise spectra of high-performance SL
photodiodes, and demonstrated that, intrinsically, SL photodetectors do not
exhibit 1/f noise. At the same time, our measurements clearly show that
sidewall leakage current not only increases the shot noise by contributing to
higher dark current but, more importantly, it also introduces additional
frequency-dependent noise (potentially 1/f noise), resulting in much higher
noise in the detector. The 1/f noise has been extensively studied in p–n
junctions. In particular, in MCT photodiodes, 1/f noise has been often
associated with modulation of the surface generation currents induced by
fluctuations of the surface potential. While the mechanisms of the surface
leakage current in the Sb-based SL photodiodes are not completely
understood yet, evidently the surface current can be a source of extraneous
noise in these devices, similar to MCT detectors. Since strong frequency-
dependent noise can be generated by sidewall leakage current, it is important
to fabricate the high-performance SL detectors and focal plane array (FPA)
using a technology that can minimize the mesa side-wall leakage current. One
way to achieve this result is by development of reliable sidewall passivation
384 Chapter 17

that can suppress the leakage current and prevent the onset of frequency-
dependent noise. More details of these noise and gain studies can be found in
Ref. 44.

17.2.2 CBIRD contact designs


While the design of the active region (hB, absorber, and eB) of the CBIRD has
remained the same, the design of the CBIRD contact layers has seen several
modifications since its conception. Figure 17.3 shows the energy band
diagram of the CBIRD structure as originally envisioned.45 As in the case of
the CBIRD described in Ref. 17 (see Fig. 17.1), the LWIR InAs/GaSb SL
absorber is surrounded by an InAs/AlSb SL hole-blocking unipolar barrier
and a shorter-period InAs/GaSb SL electron-blocking unipolar barrier. The
n-type contact next to the hB SL and the p-type contact next the eB SL are
made from the same narrow-gap SL as the absorber, intended for good ohmic
contact. However, it was soon discovered that a good ohmic contact could be
made directly to the hB SL (despite the relatively large bandgap); therefore,
the narrowgap top n contact was replaced by an n-type InAs/AlSb SL (same
material as the hB SL). The bottom p contact was also eventually replaced for
two reasons: First, the bottom contact layer should be fairly thick to provide a
wide processing window for the bottom contact etch. Growing a thick
p-contact SL layer adds to growth demands. Second, in the backside-
illuminated FPA configuration, a significant fraction of the incident radiation
would be absorbed by the thick bottom contact layer (made from the same
material as the absorber), thus reducing QE. For these reasons, the bottom
p-contact layer was replaced by an n-doped InAsSb layer (see Fig. 17.1). The
bandgap of InAsSb (lattice matched to GaSb) corresponds to approximately a
4-mm cutoff wavelength and therefore would not absorb incident LWIR
radiation. The growth of bulk InAsSb would also be easier compared to the

Figure 17.3 Zero-bias energy band diagram of a CBIRD structure, as conceived originally.
The n-type and p-type contacts are made from the same SL as the absorber.
Mid- and Long-Wavelength Barrier Infrared Detectors 385

Figure 17.4 The energy band diagram near the bottom contact region of a modified CBIRD
structure, with a double broken-gap tunnel junction design (adapted from Ref. 46).

InAs/GaSb SL. Note that although the n-type InAsSb bottom contact has
replaced the originally conceived p-type T2SL bottom contact, its function is
still the same, i.e., to allow the easy removal of excess holes from the absorber
layer. It does so effectively via a low-resistance broken-gap junction at the
eB SL/InAsSb interface, as depicted in Fig. 17.1, and as described in Ref. 17.
In an effort to further reduce growth demands, we have made another
modification to the bottom contact. The design described in Ref. 17 uses a
rather thick (1 mm) InAsSb bottom contact layer for the purpose of providing
a large processing window for etching to the bottom contact. In the modified
CBIRD design, the hB, absorber, and eB composing the active region are
the same as before, but the bottom contact is modified. As depicted in
Fig. 17.4, the width of the InAsSb layer is decreased from 1 mm to 0.2 mm
(to reduce growth demands) and is doped at n ¼ 1  1018 cm 3. It is grown on
a thick p-type GaSb buffer layer, which also serves as the new bottom contact.
In this new structure, a second low-resistance broken-gap tunnel junction is
formed between the n-InAsSb and the (new) p-GaSb bottom contact. The
doping levels are high around both of the interfaces to ensure low junction
resistance. The two broken-gap junctions provide a low-resistance electrical
connection between the active region (consisting of the hB SL, absorber SL,
and eB SL) and the p-GaSb bottom contact layer, enabling the transport of
excess majority carriers (holes) from the absorber to the bottom contact under
operating bias conditions. Further description of the double tunnel junction
contact is reported elsewhere.46

17.2.3 Turn-on and dark-current characteristics


If we examine the energy band diagram in Fig. 17.1, we note that there is no a
priori reason that the CBIRD device should not exhibit full response under
zero bias. However, as shown in Fig. 17.5, the CBIRD reported in Ref. 17
386 Chapter 17

Figure 17.5 The peak responsivity (blue open squares), and the activation energy (red
open circles) extracted from Arrhenius analysis of the temperature dependence of I-V
characteristics, plotted as a functions of applied bias for a CBIRD device.

requires more than 200 mV of applied bias before it becomes fully responsive.
Arrhenius analysis of the dark current–voltage (I-V) characteristics of the
device also shows that, while under sufficiently high bias, the activation
energy reaches a value of 0.125 eV, which is very close to the energy
bandgap of the LWIR absorber (indicating diffusion-limited behavior), and at
lower bias the activation energy is higher than the bandgap (see Fig. 17.5).
The bias dependences of both the peak response and the activation energy
shown in Fig. 17.5 suggest the presence of an unintended small energy barrier
that needs to be overcome by applied bias before the device fully turns on.
This small energy barrier most likely resides in the conduction band, near the
hB/absorber interface, and acts to block the flow of minority carriers from the
p-type SL absorber to the top contact. One possible mechanism is conduction-
band offset between the hB and the absorber, as illustrated in Fig. 17.6(a).
Simulations using a DEc ¼ 80 meV conduction-band offset results in a
conduction-band barrier of 38 meV after band bending. Another possibility
is that the electrical (doping) junction is moved into the wide-gap hB
region, instead of coinciding with the metallurgical junction, as illustrated in
Fig. 17.6(b). Either case results in an unwanted conduction-band energy barrier.
In order to gain some insight into the nature of the mechanism responsible
for the observed turn-on behavior, we modeled the CBIRD active region using
drift-diffusion simulations.47 As it turns out, the observed CBIRD dark I-V
characteristics are less compatible with the situation depicted in Fig. 17.6(a),
where the electrical and metallurgical junctions coincide. In that case, the dark
I-V characteristics would have strong G-R dark-current characteristics due
to depletion in the (narrow gap) absorber adjacent the junction. The
actual observed I-V characteristics show nearly diffusion-limited behavior.
Mid- and Long-Wavelength Barrier Infrared Detectors 387

Figure 17.6 (a) Energy band diagram of an N p heterojunction with an 80-meV conduction-
band offset. (b) Energy band diagram of a zero conduction-band offset heterojunction with the
doping junction in the wide-gap region.

Simulations show that this can only be produced by moving the electrical
junction into the wide-gap region, regardless of whether there is a nonzero
conduction-band offset. The details of these calculations are reported in Ref. 48.
Both the conduction-band-offset-induced barrier, and the doping-profile-
induced barrier (or combinations of the two) can be removed by making small
adjustments to the device structure, through changes in the doping profile or
the design of the hB SL. Indeed, newer CBIRD structures have demonstrated
zero-bias turn on, while maintaining the same levels of dark-current density
and QE as those reported earlier in Ref. 17.46
LWIR InAs/GaSb SLs studied thus far appear to have substantially
shorter lifetimes compared to MCT;25 28 therefore, one might expect
correspondingly inferior dark-current performance. Yet, as shown in
Fig. 17.7, the measured CBIRD dark-current density levels are surprisingly
low. The explanation of this is two-fold. The first is related to the suppressed
tunneling in SLs.32 Recall that the diffusion dark-current density from the
p side of a p–n diode is given by Je,diff ¼ qn0 L=t n , where n0 is the minority-
carrier density in the diffusion layer, L is the diffusion layer width (or absorber
layer width), and tn is the minority-carrier (electron) lifetime. Assuming
(quasi-) equilibrium conditions, the expression can also be written in the form
of Je, diff ¼ qn2i LN =ðNa tn Þ, where Na is the acceptor dopant density. In a
388 Chapter 17

Figure 17.7 CBIRD dark-current density as a function of applied bias measured at 77 K


and 125 K for an unpassivated 220 mm  220 mm diode. The 77-K cutoff wavelength of this
detector is 10 mm.

typical LWIR SL, the doping density is on the order of p ¼ 1 to 2  1016 cm 3,


which is considerably higher than the doping level found in the LWIR MCT
(typically low 1015 cm 3). This is possible because of tunneling current
suppression in SLs. The higher doping compensates for the shorter lifetime,
resulting in relatively low-diffusion dark current. Another reason for the
observed low dark-current density is minority-carrier exclusion (by the eB)
and extraction (at the hB/absorber junction), rendering minority-carrier
density lower than equilibrium values; details are reported in Ref. 49.

17.2.4 CBIRD focal plane arrays


Dry etching has proven useful and necessary for achieving high-fill-factor,
small-pitch FPAs. We have developed a dry-etch technique for pixel isolation
to achieve low-surface leakage for LWIR SL detectors.50 The surface leakage
was reduced through the etching mechanism by minimizing the amount of
differential etching and removing unwanted native oxides, byproducts, and
contaminants on the sidewalls. The advantages to both chlorine-based and
methane-based plasmas were exploited and combined to achieve over two
orders of magnitude improvement in dark current compared to diodes etched
with BCl3/Ar. The CH4/H2/BCl3/Cl2/Ar etch exhibited comparable electrical
performance to wet etched samples, with substantial improvements in
structural properties. Near-vertical, smooth sidewalls with minimal dielectric
mask erosion were achieved with good anisotropy resulting in a fill factor that
was more than three times higher. These performance enhancements allow
small-pixel-size, large-format LWIR FPAs to become more realizable. More
details of the dry-etch technique can be found in Ref. 50.
Mid- and Long-Wavelength Barrier Infrared Detectors 389

In another work, we demonstrate improved etching by considering external


factors other than the etch parameters.51 We describe methods to improve the
dielectric hard mask quality to avoid mask interactions with the etch process and
to achieve near-vertical sidewalls. This includes depositing SiNx using an
inductively coupled plasma (ICP) plasma-enhanced chemical vapor deposition
(PECVD) system with lower ICP powers and a lower SiH4:N2 gas flow ratio, and
patterning with CHF3/Ar. We also describe how to achieve good etch uniformity
for FPAs with a thermal mounting technique using photoresist. In addition, we
discuss the changes experienced when transitioning from large, sparsely
populated test detectors used in the development stage to small, densely packed
FPA pixels. We present techniques to account for these changes and to overcome
the challenges. More details can be found in Refs. 51 and 52.
Previously, we reported a prototype 1024  1024 FPA53 based on a
CBIRD structure grown in the reverse order as that reported in Ref. 17. This
was done in order to accommodate the polarity of the available hole-
collecting readout integrated circuit (ROIC). The 80-K dark-current density
levels of the devices from the process evaluation chip were considerably higher
than those reported in Ref. 17, even though in principle the structure grown in
the reverse order should have the same I-V characteristics, except for the
polarity being reversed. A possible explanation for the observed difference is
dopant migration. Nominally, the p–N junction is at the absorber/hB
interface. In the normal CBIRD, during growth, p-type dopants in the
absorber can migrate into the hB SL grown on top of it, moving the electrical
junction into the wider-gap hB SL. The opposite occurs in the reverse CBIRD,
placing the junction in the narrow-gap absorber region, faciliting trap-assisted
tunneling processes. Indeed, the observed weak temperature dependence of
the dark current–voltage characteristics of the reverse CBIRD structure at
lower temperatures is consistent with tunneling.
Applying the dry etch technique on the new low turn-on bias CBIRD
material described above, we recently fabricated a 320  256 format FPA
using the ISC0903 ROIC operating in the electron collecting mode. The
etching process yielded a fill factor of 81% and an operability of 97%. No
passivation or antireflection (AR) coating was used. Under a bias of 128 mV,
we measured a mean FPA dark-current density of 2.2  10 4 A/cm2. Large,
single-element detectors had a mean dark-current density of 5  10 5 A/cm2
at 77 K. Since the FPA detector array is not passivated, surface conduction
may have contributed to the increase in dark-current density from that
found in the large-area single-element detectors. The FPA response showed
a peak QE of 54% at 5.7 mm and a 50% QE cutoff at 8.8 mm. This differs
from the cutoff wavelength of large-area, single-element detectors, determined
to be 10 mm. The difference is attributed to optical interference effect
in the thinned (substrate-removed) FPA. With blackbody background
temperature at 298 K and an f/2 cold stop, we found noise-equivalent
390 Chapter 17

Figure 17.8 A set of images taken with a 320  256 format LWIR CBIRD FPA operating at
78 K.

differential temperature (NEDT) values of 18.6 mK and 12 mK operating at


78 K and 65 K, respectively. The mean specific detectivity of D ¼ 1.3 
1011 cm-Hz1/2/W was found for 78K operating temperature. Figure 17.8
shows several images taken with this FPA at an operating temperature of
78 K. Other system-level performance metrics such as minimum resolvable
temperature difference (MRDT) and modulation transfer function (MTF)
have also been measured. A detailed account of the FPA performance can be
found in Ref. 54.

17.3 Quantum-Dot Barrier Infrared Detector (QD-BIRD)


The recent emergence of BIRDs such as the nBn3 and the XBn6 have resulted in
MWIR detectors with substantially higher operating temperatures than
previously available in III-V-semiconductor-based MWIR detectors. The initial
nBn devices used either InAs absorber grown on InAs substrate, or lattice-
matched InAsSb alloy grown on GaSb substrate, with cutoff wavelengths of
3.2 mm and  4 mm, respectively. While these detectors could operate at much
higher temperatures than existing MWIR detectors based on InSb, their spectral
responses do not cover the full (3–5 mm) MWIR atmospheric transmission
window. This has led to the development of nBn detectors such as those based on
the InAs/GaSb type-II SL (T2SL) absorber.11,55 In this section, we describe our
effort in combining the unipolar BIRD device architecture with alternative
infrared absorbers based on InSb QDs embedded in an InAsSb matrix in order
to achieve extended spectral coverage.18
Mid- and Long-Wavelength Barrier Infrared Detectors 391

Figure 17.9 (a) Schematic layer diagram of the QD-BIRD structure. (b) (Top) Schematic
energy band diagram of the active region of the QD-BIRD device; (bottom) expanded view of
the schematic energy band diagram for the QD-BIRD absorber near an InSb quantum-dot
layer.

The schematic layer diagram in Fig. 17.9 shows the growth sequence of
the QD-BIRD. The energy band diagram illustrates the structure of the QD-
BIRD, which is very similar to the standard nBn device structure as originally
described by Maimon and Wicks,3 consisting of an AlSbAs barrier
sandwiched between the InAsSb top contact layer and absorber layer. The
slight modification we introduced is the periodic insertion of 2.8 monolayers
(MLs) of InSb, which form self-assembled InSb QD layers in the InAsSb
absorber matrix, as illustrated in Fig. 17.9. The alloy composition of the
InAsSb matrix was adjusted slightly to reduce the Sb content. Details of the
structure have been reported earlier.18
Figure 17.10 shows the normalized PL spectrum of the QD-BIRD with two
distinct peaks at 4.0 mm and 5.5 mm. The origins of the two peaks are illustrated
in the bottom right panel of Fig. 17.9, which shows the schematic energy band
diagram in the vicinity of an InSb quantum-dot insertion layer in the InAsSb
matrix. The 4.0-mm peak is easily identified with the bandgap Eg of the InAsSb
matrix. The 5.5-mm peak is related to the QD. The band diagram shows that the
strained InSb forms a type-II broken-gap band alignment with the InAsSb
matrix, with both the conduction- and valence-band edge of InSb being
substantially higher than the conduction-band edge of InAsSb. The InSb
392 Chapter 17

Figure 17.10 PL spectrum for the QD-BIRD taken at T ¼ 77 K.

Figure 17.11 Multipass spectral QE of a QD-BIRD without AR coating under 0.2-V


applied bias, measured at 77, 125, 175, and 225 K. (See color plate section.)

quantum-dot conduction-band state is clearly unconfined and is therefore not a


likely source of the PL peak. What is most probably responsible for the 5.5-mm
PL peak is a type-II transition involving the conduction-band edge of the InAsSb
matrix, and the confined hole state of the InSb QD. This transition is illustrated
in the bottom of Fig. 17.9(b) and is labeled Emd.
Figure 17.11 shows the spectral QE for a QD-BIRD device, without AR
coating, taken at 77, 125, 175, and 225 K under 200-mV bias. The spectral
response is measured using a top-illuminated geometry. Because the GaSb
substrate is essentially transparent to the MWIR radiation under consideration,
the spectral response should be considered as a double-pass (or multiple-pass)
Mid- and Long-Wavelength Barrier Infrared Detectors 393

result, since after initially passing through the absorber, light could re-enter the
absorber region after reflecting off the bottom of the substrate (the test devices
are mounted on a chip carrier with a metallic surface). Like the PL spectrum, the
spectral QE also shows a distinct bimodal behavior. The photoresponse
associated with the direct band-to-band transition in the InAsSb matrix is seen at
the shorter wavelengths, with approximate plateau QE values of 0.055, 0.33,
0.55, and 0.61 at T ¼ 77, 125, 175, and 225 K, respectively. For this InAsSb
matrix response, the 50% QE cutoff wavelengths are approximately 3.97, 4.15,
4.30, and 4.46 mm at T ¼ 125, 175, and 225 K, respectively.
Beyond the cutoff wavelength associated with the bulk InAsSb matrix, we
also observe an extended response that drops off approximately linearly; this is
attributed to the type-II transition between the QD valence-band state and the
InAsSb matrix conduction-band state. The extended response associated with
the QDs is noticeably weaker than the bulk InAsSb response. The external
quantum efficiencies at 5 mm for T ¼ 77, 125, 175, and 225 K are respectively
0.011, 0.086, 0.16, and 0.175, which are only approximately 20–30% of the
corresponding values found for the InAsSb matrix in the 3- to 4-mm range, even
though the PL intensities of the QD-to-matrix and the bulk transitions are
comparable. One possible reason for the weaker response of the QD-to-matrix
transition is that the QD hole state is confined by the InAsSb matrix. The
confinement energy DE is given by the difference between the InAsSb bandgap
Eg and the QD-to-matrix transition energy Emd (see Fig. 17.9). The photoexcited
hole (minority carrier) in the QD has to overcome this additional energy barrier
DE in order to escape and be collected. There is likely a distribution of QD sizes,
with a corresponding distribution Emd and DE. Smaller dots with smaller Emd
that lead to the longer extended wavelength would need a larger activation
energy DE for the photogenerated hole to escape; this is associated with a lower
escape probability. This would explain why the extended cutoff response
decreases as the wavelength increases.
Figure 17.11 also shows that the photoresponse increases with tempera-
ture; the responsivity at 225 K is approximately twice that at 125 K. Similar
behavior is found in Fig. 17.12, which shows that the T ¼ 175 K spectral QE
under 0.1V, 0.2 V, and 0.5 V. It appears that 200-mV reverse bias is
required for the photoresponse to fully turn on. In examining Figs. 17.11 and
17.12, we note that the ratio of the bulk InAsSb matrix response to the dot-to-
matrix response stays approximately the same as the temperature or the
applied bias increases. This indicates a common mechanism that blocks both
types of photocurrent. One possible mechanism responsible for this behavior
is the presence of a small unintended hB resulting from the valence-band
mismatch between the absorber and the AlSbAs barrier (the valence-band
edges of the absorber and the barrier should be aligned in an ideal nBn
structure). This barrier would block photocurrents generated from both the
InAsSb matrix absorption and the dot-to-matrix absorption.
394 Chapter 17

Figure 17.12 Double-pass spectral QE of a QD-BIRD structure under various applied


biases, measured at 175 K. (See color plate section.)

A likely mechanism that explains the observed temperature and applied


dependence of the spectral QE shown in Figs. 17.11 and 17.12 is the presence
of a small unintended hB resulting from a valence-band offset DEv between
the absorber and the AlSbAs barrier (note that the valence-band edges of the
absorber and the barrier should be aligned in an ideal nBn structure), as
illustrated in Fig. 17.13. This barrier would block photocurrents generated
from both the InAsSb matrix absorption and the dot-to-matrix absorption.

Figure 17.13 (a) Schematic illustration of a QD-BIRD structure with an unintended hole
barrier. (b) Calculated energy band diagrams under various biasing conditions for a QD-
BIRD structure with a 30-meV valence-band offset DEv between the eB and the absorber.
(See color plate section.)
Mid- and Long-Wavelength Barrier Infrared Detectors 395

Figure 17.14 Dark-current density as a function of applied bias of a QD-BIRD taken at 125,
175, and 240 K. (See color plate section.)

Higher temperature could aid thermionic emission processes for climbing over
the barrier, and applied bias could lower the barrier. We note that not all
of the applied bias goes toward lowering the barrier; simulation results in
Fig. 17.13 show that a barrier formed by a valence-band offset of DEv ¼
30 meV would require 200-mV reverse bias to overcome.
Figure 17.14 shows the measured dark-current density for a QD-BIRD as a
function of applied bias at 125, 175, and 240 K. The reverse-bias (negative top
contact bias) current–voltage characteristics appear diffusion limited at 175 and
240 K. Under 200-mV bias, the dark-current density levels are 1.52  10 7 A/
cm2 and 3.77  10 4 A/cm2, respectively at 125 and 175 K. We computed the
blackbody specific detectivity D for f/2 optics, in 300-K background conditions.
The photocurrent is determined from the integrated photoresponse in the 3- to 6-
mm spectral range. For a detector temperature of T ¼ 175 K, under 200 mV
bias, the blackbody D is dark-current limited and has a value of 1.07  1011 cm-
Hz1/2/W. At T ¼ 125 K, the blackbody D becomes background limited and has
a value of 3.76  1012 cm-Hz1/2/W. Overall, the performance of the QD-BIRD is
quite good. Optimization of the valence-band alignment between the absorber
and the barrier should improve device characteristics. The bimodal photo-
response seems to be a basic property of this device architecture.

17.4 Summary
The antimonide material system is relatively robust and has the potential for
good manufacturability. The versatility of the material system, with the
availability of three different types of band offsets, provides great flexibility in
device design. In the MWIR, the use of unipolar barriers in the nBn design has
396 Chapter 17

already seen success. In the LWIR, type-II InAs/Ga(In)Sb SLs have been shown
theoretically to have reduced Auger recombination and suppressed band-to-
band tunneling. Suppressed tunneling allows for higher doping in the absorber,
resulting in reduced diffusion dark current. Heterostructures such as those based
on the CBIRD design have been effectively used to suppress G-R dark current.
As a result, the dark-current performance of antimonide SL-based single-
element LWIR detectors is now approaching that of the state-of-the-art MCT
detector, with sufficient performance for tactical applications and potential for
strategic applications.56 Reliable surface leakage current suppression methods,
such as that based on robust surface passivation, would be needed to achieve
high performance in FPAs. Recent improvement in the CBIRD device has
reduced turn-on bias from over 200 mV to nearly zero, without affecting the level
of dark current and quantum efficiency. FPA results based on the new CBIRD
material have demonstrated excellent performance.
The nBn or XBn BIRD has the advantage of reduced dark current resulting
from suppressed Shockley–Read–Hall recombination and surface leakage.
High-performance detectors and FPAs based on InAsSb absorber lattice
matched to GaSb substrate, with a matching AlAsSb unipolar eB, have been
demonstrated. The bandgap of lattice-matched InAsSb yields a detector cutoff
wavelength of approximately 4.2 mm when operating at 150 K. We report
results on the QD-BIRD, which is a simple modification of the standard MWIR
nBn detector with an InAsSb absorber lattice matched to the GaSb substrate.
We show that by incorporating self-assembled InSb QDs into the InAsSb
absorber matrix, we can extend the detector cutoff wavelength from 4.2 mm to
6 mm, thus providing better spectral coverage of the MWIR transmission
window. The QD-BIRD has been observed to show infrared response at 225 K.

Acknowledgment
The authors thank S. Bandara, E. S. Daniel, E. R. Blazejewski, D. R. Rhiger,
and J. N. Schulman for helpful discussions. The authors also thank R. Liang,
M. Herman, E. Kolawa, S. Khanna, T. Cwik, and P. Dimotakis of JPL,
R. Stephan of the Game Changing Technology Division at the NASA Office of
the Chief Technologist, and M. Tidrow of the U.S. Army Night Vision Electronics
Sensor Directorate for encouragement and support. The research described in this
publication was carried out at the Jet Propulsion Laboratory, California Institute
of Technology, under a contract with the National Aeronautics and Space
Administration. Government sponsorship is acknowledged.

References
1. M. Carras, J. L. Reverchon, G. Marre, C. Renard, B. Vinter,
X. Marcadet, and V. Berger, “Interface band gap engineering in InAsSb
photodiodes,” Appl. Phys. Lett. 87(10), 102103 (2005).
Mid- and Long-Wavelength Barrier Infrared Detectors 397

2. S. Maimon and G. W. Wicks, “InAsSb/GaAlSb/InAsSb nBn IR detector


for the 3–5 mm,” Program and Abstracts, 11th International Conference on
Narrow Gap Semiconductors, June 16–20, 2003, Buffalo, New York (2003).
3. S. Maimon and G. W. Wicks, “nBn detector, an infrared detector with
reduced dark current and higher operating temperature,” Appl. Phys.
Lett. 89(15), 151109 (2006).
4. J. R. Pedrazzani, S. Maimon, and G. W. Wicks, “Use of nBn structures to
suppress surface leakage currents in unpassivated InAs infrared photo-
detectors,” Electronics Lett. 44(25), 1487–1488 (2008).
5. P. Klipstein, “Depletion-less photodiode with suppressed dark current and
method for producing the same,” U. S. Patent No: US 7,795,640 B2 (Sep 14,
2010).
6. P. Klipstein, “‘XBn’ barrier photodetectors for high sensitivity and high
operating temperature infrared sensors,” Proc. SPIE. 6940, 69402U
(2008) [doi: 10.1117/12.778848].
7. O. Klin, S. Grossman, N. Snapi, M. Brumer, I. Lukomsky, M. Yassen,
B. Yofis, A. Glozman, A. Zemel, T. Fishman, E. Berkowitz, O. Magen,
J. Oiknine-Schlesinger, I. Shtrichman, E. Weiss, and P. C. Klipstein,
“Progress with antimonide-based detectors at SCD,” Proc. SPIE 7298,
72980G (2009) [doi: 10.1117/12.822429].
8. P. Klipstein, O. Klin, S. Grossman, N. Snapi, B. Yaakobovitz,
M. Brumer, I. Lukomsky, D. Aronov, M. Yassen, B. Yofis, A. Glozman,
T. Fishman, E. Berkowicz, O. Magen, I. Shtrichman, and E. Weiss, “XBn
barrier detectors for high operating temperatures,” Proc. SPIE 7608,
76081V (2010) [doi: 10.1117/12.841585].
9. F. Fuchs, U. Weimer, W. Pletschen, J. Schmitz, E. Ahlswere, M. Walter,
J. Wagner, and P. Koidl, “High performance InAs/Ga1 xInxSb super-
lattice infrared photodiodes,” Appl. Phys. Lett. 71(22), 3251 (1997).
10. P.-Y. Delaunay, B. M. Nguyen, D. Hoffman, E. K.-W. Huang, and
M. Razeghi, “Background-limited performance of long wavelength
infrared focal plane arrays fabricated from M-structure InAs–GaSb
superlattices,” IEEE J. Quant. Electron. 45(102), 157–162 (2009).
11. H. S. Kim, E. Plis, J. B. Rodriguez, G. D. Bishop, Y. D. Sharma,
L. R. Dawson, S. Krishna, J. Bundas, R. Cook, D. Burrows, R. Dennis,
K. Patnaude, A. Reisinger, and M. Sundaram, “Mid-IR focal plane array
based on type-II InAs/GaSb strain layer superlattice detector with nBn
design,” Appl. Phys. Lett. 92(18), 183502 (2008).
12. C. L. Canedy, E. H. Aifer, I. Vurgaftman, J. G. Tischler, J. R. Meyer,
J. H. Warner, and E. M. Jackson, “Antimonide type-II W photodiodes
with long-wave infrared R0A comparable to HgCdTe,” J. Electron. Mat.
36(8), 852–856 (2007).
398 Chapter 17

13. M. Walther, R. Rehm, J. Fleissner, J. Schmitz, J. Ziegler, W. Cabanski,


and R. Breiter, “InAs/GaSb type-II short-period superlattices for
advanced single and dual-color focal plane arrays,” Proc. SPIE 6542,
654206 (2007) [doi: 10.1117/12.719227].
14. C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo, S. D. Gunapala, D. R.
Rhiger, R. E. Kvaas, and S. F. Harris, “Infrared imaging arrays based on
superlattice photodiodes,” Proc. SPIE 6940, 69400C (2008) [doi: 10.1117/
12.783934].
15. H. J. Haugan, F. Szmulowicz, G. J. Brown, and K. Mahalingam, “Band
gap tuning of InAs/GaSb type-II superlattices for mid-infrared detection,”
J. Appl. Phys. 96(5), 2580–2585 (2004).
16. B.-M. Nguyen, S. Bogdanov, S. Abdollahi Pour, and M. Razeghi,
“Minority electron unipolar photodetectors based on type II InAs/GaSb/
AlSb superlattices for very long wavelength infrared detection,” Appl.
Phys. Lett. 95, 183502 (2009).
17. D. Z.-Y. Ting, C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo, J. Nguyen,
and S. D. Gunapala, “A high-performance long wavelength superlattice
complementary barrier infrared detector,” Appl. Phys. Lett. 95, 023508
(2009).
18. C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo, D. Z. Ting, and S. D.
Gunapala, “Mid-infrared quantum dot barrier photodetectors with
extended cutoff wavelengths,” Electron. Lett. 46(18), 1286–1288 (2010).
19. G. A. Sai-Halasz, R. Tsu, and L. Esaki, “A new semiconductor
superlattice,” Appl. Phys. Lett. 30(12), 651–653 (1977).
20. G. A. Sai-Halasz, L. Esaki, and W. A. Harrison, “InAs-GaSb superlattice
energy structure and its semiconductor-semimetal transition,” Phys. Rev.
B 18(6), 2812–2818 (1978).
21. D. L. Smith and C. Mailhiot, “Proposal for strained type II superlattice
infrared detectors,” J. Appl. Phys. 62(6), 2545–2548 (1987).
22. D. L. Smith, T. C. McGill, and J. N. Schulman, “Advantages of the
HgTe-CdTe superlattice as an infrared detector material,” Appl. Phys.
Lett. 43(2), 180–182 (1983).
23. C. H. Grein, P. M. Young, and H. Ehrenreich, “Minority carrier lifetimes
in ideal InGaSb/InAs superlattices,” Appl. Phys. Lett. 61(24), 2905 (1992).
24. E. R. Youngsdale, J. R. Meyer, C. A. Hoffman, F. J. Bartoli, C. H. Grein,
P. M. Young, H. Ehrenreich, R. H. Miles, and D. H. Chow, “Auger
lifetime enhancement in InAs-Ga1 xInxSb superlattices,” Appl. Phys. Lett.
64(23), 3160–3162 (1994).
25. B. C. Conelly, G. D. Metcalfe, H. Shen, and M. Wraback, “Direct
minority carrier lifetime measurements and recombination mechanisms in
Mid- and Long-Wavelength Barrier Infrared Detectors 399

long-wave infrared type II superlattices using time-resolved PL,” Appl.


Phys. Lett. 97, 251117 (2010).
26. D. Donetsky, S. P. Svensson, L. E. Vorobjev, and G. Belenky, “Carrier
lifetime measurements in short-period InAs/GaSb strained-layer super-
lattice structures,” Appl. Phys. Lett. 95, 212104 (2009).
27. D. Donetsky, G. Belenky, S. Svensson, and S. Suchalkin, “Minority
carrier lifetime in type-2 InAs-GaSb strained-layer superlattices and bulk
HgCdTe materials,” Appl. Phys. Lett. 97, 052108 (2010).
28. L. Höglund, A. Soibel, D. Z. Ting, A. Khoshakhlagh, C. J. Hill, and S. D.
Gunapala, “Minority carrier lifetime and photoluminescence studies of
antimony-based superlattices,” Proc. SPIE 8511, 851106 (2012) [doi:
10.1117/12.930136].
29. F. Fuchs, J. Wagner, J. Schmitz, N. Herres, and P. Koidl, “Growth and
Characterization of InAs/AlSb/GaSb Heterostructures,” in Antimonide-
related Strained-Layer Heterostructures, M. O. Manasreh, Ed., Gordon
Breach Science Publishers, Amsterdam, pp. 19–232 (1997).
30. L. Bürkle and F. Fuchs, “InAs/(GaIn)Sb superlattices: a promising
material system for infrared detection,” in Handbook of Infrared Detection
Technologies, M. Henini and M. Razeghi, Eds., Elsevier Science, Oxford,
pp. 159–189 (2002).
31. L. Bürkle and F. Fuchs, “GaSb/InAs superlattices for infrared FPAs,” in
Handbook of Infrared Detection Technologies, M. Henini and M. Razeghi,
Eds., Elsevier Science, Oxford, pp. 191–232 (2002).
32. D. Z.-Y. Ting, A. Soibel, L. Höglund, J. Nguyen, C. J. Hill,
A. Khoshakhlagh, and S. D. Gunapala, “Type-II Superlattice Infrared
Detectors,” in Semiconductors and Semimetals, Vol. 82, Advances in
Infrared Photodetectors, S. Gunapala, D. Rhiger, and C. Jagadish, Eds.,
Elsevier Science, Oxford (2011).
33. A. Rogalski, Infrared Detectors, CRC Press, Boca Raton, FL (2011).
34. D. Z.-Y. Ting, S. V. Bandara, J. Mumolo, S. A. Keo, J. Nguyen, H. C.
Liu, C. Y. Song, Y.-C. Chang, S. B. Rafol, C. J. Hill, S. D. Gunapala,
A. Soibel, J. K. Liu, and E. Blazejewski, “Dots, QWISPs, and BIRDs,”
Infrared Phys. Technol. 52(6), 294–298 (2009).
35. D. Z.-Y. Ting, S. V. Bandara, C. J. Hill, S. D. Gunapala, Y.-C. Chang,
H. C. Liu, C. Y. Song, A. Soibel, J. Mumolo, J. Nguyen, J. K. Liu, S. A.
Keo, Sir B. Rafol, and E. R. Blazejewski, “Novel quantum well, quantum
dot, and superlattice heterostructure based infrared detectors,” Proc.
SPIE 7298, 729805 (2009) [doi: 10.1117/12.818879].
36. H. Kroemer, “A proposed class of heterojunction injection lasers,” Proc.
IEEE 51(12), 1782 (1963).
400 Chapter 17

37. Zh. I. Alferov and R. F. Kazarinov, “Semiconductor laser with electric


pumping,” Inventor’s Certificate No. 181737 (in Russian), Application
No. 950 840 (1963).
38. I. Vurgaftman, E. H. Aifer, C. L. Canedy, J. G. Tischler, J. R. Meyer, J. H.
Warner, E. M. Jackson, G. Hildebrandt, and G. J. Sullivan, “Graded
band gap for dark-current suppression in long-wave infrared W-structured
type-II superlattice photodiodes,” Appl. Phys. Lett. 89(12), 121114 (2006).
39. P.-Y. Delaunay, A. Hood, B. M. Nguyen, D. Hoffman, Y. Wei, and
M. Razeghi, “Passivation of type-II InAs/GaSb double heterostructure,”
Appl. Phys. Lett. 91, 091112. (2007).
40. B.-M. Nguyen, D. Hoffman, P.-Y. Delaunay, and M. Razeghi, “Dark
current suppression in type II InAs/GaSb superlattice long wavelength
infrared photodiodes with M-structure barrier,” Appl. Phys. Lett. 91(16)
163511 (2007).
41. N. Gautam, H. S. Kim, M. N. Kutty, E. Plis, L. R. Dawson, and
S. Krishna, “Performance improvement of longwave infrared photodetector
based on type-II InAs/GaSb superlattices using unipolar current blocking
layers,” Appl. Phys. Lett. 96, 231107 (2010).
42. L. Höglund, A. Khoshakhlagh, A. Soibel, D. Z. Ting, C. J. Hill, S. Keo,
and S. D. Gunapala, “Photoluminescence study of long wavelength
superlattice infrared detectors,” Proc. SPIE 8155, 81550M (2011) [doi:
10.1117/12.894294].
43. L. Höglund, A. Soibel, C. J. Hill, D. Z. Ting, A. Khoshakhlagh, A. Liao,
S. Keo, M. C. Lee, J. Nguyen, J. M. Mumolo, and S. D. Gunapala,
“Optical studies on antimonide superlattice infrared detector material,”
Proc. SPIE 7780, 77800D (2010) [doi: 10.1117/12.866082].
44. A. Soibel, D. Z.-Y. Ting, C. J. Hill, M. Lee, J. Nguyen, S. A. Keo, J. M.
Mumolo, and S. D. Gunapala, “Gain and noise of high-performance long
wavelength superlattice infrared detectors,” Appl. Phy. Lett. 96(11),
111102 (2010).
45. D. Z. Ting, S. V. Bandara, C. J. Hill, and S. D. Gunapala,
“Complementary barrier infrared detector (CBIRD),” U.S. Patent No.
8,368,051 (2013).
46. D. Z.-Y. Ting, A. Soibel, A. Khoshakhlagh, S. A. Keo, J. Nguyen,
L. Höglund, J. M. Mumolo, J. K. Liu, S. B. Rafol, C. J. Hill, and S. D.
Gunapala, “Complementary barrier infrared detector (CBIRD) with
double tunnel junction contact and quantum dot barrier infrared detector
(QD-BIRD),” Infrared Phys. Technol. 59, 146–151 (2013).
47. E. S. Daniel, X. Cartoixa, W. R. Frensley, D. Z.-Y. Ting, and T. C.
McGill, “Coupled drift-diffusion/quantum transmitting boundary method
simulations of thin oxide devices with specific application to a silicon-based
Mid- and Long-Wavelength Barrier Infrared Detectors 401

tunnel switch diode,” IEEE Trans. Electron. Devices 47(5), 1052–1060


(2000).
48. D. Z.-Y. Ting, A. Soibel, S. A. Keo, A. Khoshakhlagh, C. J. Hill,
L. Höglund, J. M. Mumolo, and S. D. Gunapala, “Superlattice and
quantum dot unipolar barrier infrared detectors,” J. Electron. Mater.
doi: 10.1007/s11664-013-2641-9 Published online 18 June (2013).
49. D. Z.-Y. Ting, A. Soibel, A. Khoshakhlagh, J. Nguyen, L. Höglund, S. A.
Keo, J. M. Mumolo, and S. D. Gunapala, “Exclusion, extraction, and
junction placement effects in the complementary barrier infrared
detector,” Appl. Phy. Lett. 102(12), 121109 (2013).
50. J. Nguyen, A. Soibel, D. Z.-Y. Ting, C. J. Hill, M. C. Lee, and S. D.
Gunapala, “Low dark current long-wave infrared InAs/GaSb superlattice
detectors,” Appl. Phy. Lett. 97(5), 051108 (2010).
51. J. Nguyen, A. Soibel, Sir B. Rafol, A. Khoshakhlagh, J. K. Liu, J. M.
Mumolo, L. Höglund, S. A. Keo, D. Z.-Y. Ting, and S. D. Gunapala,
“Inductively coupled plasma etching of complementary barrier infrared
detector focal plane arrays for long-wave infrared imaging,” IEEE
Photon. Technol. Lett. 24(18), 1581–1583 (2012).
52. J. Nguyen, J. Gill, Sir B. Rafol, A. Soibel, A. Khoshakhlagh, D. Ting,
S. Keo, A. Fisher, E. Luong, J. Liu, J. Mumolo, and S. D. Gunapala,
“Inductively coupled plasma etching for delineation of InAs/GaSb
pixels,” Proc. SPIE 8511, 851103 (2012) [doi: 10.1117/12.930046].
53. S. D. Gunapala, D. Z. Ting, C. J. Hill, J. Nguyen, A. Soibel, S. B. Rafol,
S. A. Keo, J. M. Mumolo, M. C. Lee, J. K. Liu, and B. Yang,
“Demonstration of a 10241024 pixel InAs–GaSb superlattice focal plane
array,” IEEE Photon. Technol. Lett. 22(24), 1856–1858 (2010).
54. Sir B. Rafol, A. Soibel, A. Khoshakhlagh, J. Nguyen, J. K. Liu, J. M.
Mumolo, S. A. Keo, L. Höglund, D. Z. Ting, and S. D. Gunapala,
“Performance of a 1/4 VGA format long-wavelength infrared antimonides-
based superlattice focal plane array,” IEEE J. Quantum Electron. 48(7),
878–884 (2012).
55. G. Bishop, E. Plis, J. B. Rodriguez, Y. D. Sharma, H. S. Kim, L. R.
Dawson, and S. Krishna, “nBn detectors based on InAs/GaSb type-II
strain layer superlattice,” J. Vac. Sci. Technol. B 26(3), 1145 (2008).
56. S. V. Bandara, “Performance analysis of InAs/Ga(In)Sb strained layer
superlattice detectors and focal plane arrays,” Proc. SPIE 7608, 76081M
(2010) [doi: 10.1117/12.835430].
402 Chapter 17

David Z. Ting received the B.S. degree (Honors) in


physics from the California Institute of Technology,
Pasadena, in 1980, and the M.S. and Ph.D. degrees in
physics from the University of Illinois at Urbana-
Champaign in 1981 and 1986, respectively. He was a
Senior Research Fellow in the Department of Applied
Physics, Caltech, before joining the National Tsing Hua
University, Hsinchu, Taiwan, as an Associate Professor
of Physics in 1995. In 1998 he joined the NASA Jet Propulsion Laboratory,
Caltech, Pasadena, where he is a Senior Research Scientist and a Principal
Member of the Engineering Staff. His research activities include the studies of
electronic, optical, and thermoelectric properties of semiconductors, hetero-
structure infrared detectors, and lasers; quantum transport in tunnel devices
and nanostructures; nanophotonic devices; and spintronics. Results of his
work have been reported in more than 190 research publications and in more
than 130 conference presentations and technical seminars. He holds eight
patents. Dr. Ting is a senior member of IEEE and a Fellow of SPIE.

Alexander Soibel received the M.Sc. (1995) and Ph.D.


(2001) degrees in physics from the Weizmann Institute
of Science, Israel. In 2001 he joined Bell Laboratories,
Lucent Technologies, as a postdoctoral member of the
technical staff in the Semiconductor Physics Research
Department, where he worked on ultrashort-pulse
generation in mid-infrared quantum cascade (QC)
lasers and on nonlinear optical effects in mode-locked
QC lasers. In 2004, he became a senior member of engineering staff at JPL,
NASA/Caltech where he initially worked on development of mid-IR lasers,
including QC lasers and intersubband cascade lasers that were delivered for
the tunable laser spectrometer on the Mars Science Laboratory. Dr. Soibel
currently works on development of infrared detectors and focal plane array
for various space- and Earth-based applications. He has extensive experience
in design, fabrication, and testing of III-V semiconductor detectors and lasers,
including mid-infrared Sb-based superlattice and nBn detectors. Dr. Soibel
has coauthored more than 40 refereed articles and two book chapters, and has
given several invited talks at conferences.
Mid- and Long-Wavelength Barrier Infrared Detectors 403

Sam A. Keo received the B.S. degree in engineering


technology from the Polytechnic University of California,
Pomona, in 1999. He was with Ortel Corp., Alhambra,
California, working on III-V GaAs-based compound
semiconductor laser and detector fabrication from 1987
to 1989. From 1989 to 1991, he was with Rockwell
International working on III-V InP-based compound
semiconductor photonic devices for telecommunication.
His research and development activities include liquid phase epitaxial growth,
processing, and packaging. From 1991 to 1999, he was with Jet Propulsion
Laboratory, California Institute of Technology, Pasadena, working on the
development of compound semiconductor lasers for space application. From
1999 to 2003, he worked at the start-up companies, Genoa Inc., Freemont,
California, Lightcross Inc., Monterey Park, California, and ModeTek Inc.,
Carlsbad, California, on active and passive telecommunication devices. Since
2003 he has been working on III-V and Sb-based semiconductor lasers, QWIP
IR detectors, and Sb-based superlartice focal plane arrays at JPL. His current
research interests include MWIR and LWIR FPA fabrication.

Cory J. Hill received the B.S. degree in physics from the


University of Southern California, Los Angeles, in 1996,
and the M.S. and Ph.D. degrees in applied physics from the
California Institute of Technology, Pasadena, in 1998 and
2001, respectively. He also holds an MBA from the UCLA
Anderson School of Business. He is currently a senior
member of the engineering staff at the Jet Propulsion
Laboratory, Pasadena, California. His research activities
include molecular beam epitaxial growth of III-V As and Sb-based materials for
mid-infrared lasers, avalanche photodiodes, and infrared focal plane arrays.

Jason M. Mumolo received the B.S. degree in electrical


engineering from the Polytechnic University of California,
Pomona, in 2001. He joined the Jet Propulsion Labora-
tory, California Institute of Technology, Pasadena in 1997
as an undergraduate part-time student. Upon graduating,
he joined the Infrared Focal Planes & Photonics
Technology Group as a full-time process engineer. His
current research interests include the development and
fabrication of quantum-well infrared photodetector devices and focal plane
arrays for camera systems.
404 Chapter 17

Linda Höglund received the Ph.D. degree in material


science from Linköping University, Linköping, Sweden
in 2008. She developed cooled as well as uncooled IR
detectors with the Swedish Research Institute Acreo
from 2001 to 2009, focusing on quantum-dot-based
detectors and bolometers. In 2009, she joined the
Infrared Photonics Technology Group, Jet Propulsion
Laboratory, Pasadena, California, where she studies the
optical properties of Sb-based detectors and type-II superlattice materials.

Jean Nguyen received the B.S. degree in computer


engineering from the University of Wisconsin, Madison
in 2003, the M.S. degree in computer science from the
Georgia Institute of Technology, Atlanta in 2004, and
the Ph.D. degree in electrical engineering from North-
western University, Evanston, Illinois in 2008. She
joined the Jet Propulsion Laboratory, Infrared Photon-
ics Technology Group, California Institute of Technol-
ogy, Los Angeles, as an engineering staff member, working on the
characterization and development of infrared detectors and solar cells.

Arezou Khoshakhlagh received the Ph.D. degree in 2010


in optical sciences and engineering from the University
of New Mexico, Albuquerque, where she worked on
design, growth, and characterization of type-II strained-
layer superlattice infrared detectors. She joined the
Infrared Focal Planes & Photonics Technology Group,
Jet Propulsion Laboratory, California Institute of
Technology, Los Angeles, in April 2010 and has been
leading the research on material growth and material characterization of mid-
wave infrared, longwave infrared, and two-color superlattice arrays. Her
research interests include design and growth of semiconductor heterojunctions
for efficient light sources and detectors as well as energy harvesting
applications. Dr. Khoshakhlagh was the recipient of the National Science
Foundation IGERT Fellowship.
Mid- and Long-Wavelength Barrier Infrared Detectors 405

Sir “Don” B. Rafol received the M.A. degree in physics


from Kent State University, Kent, Ohio, in 1984 and
the Ph.D. degree in physics from the University of
Illinois at Chicago in 1991. His current research
interests include studies on the origin of focal plane
array noise, detector dark noise, transport properties,
and MTF and detector/amplifier composites.

John K. Liu received the B.S. degree in engineering


science and bioengineering from the University of
California, San Diego, and the M.S.E.E. degree from
Cal State University, Los Angeles, in 1984 and 1986,
respectively. He was with the Jet Propulsion Laboratory
(JPL), California Institute of Technology, Los Angeles,
working on solar cell and III-V MBE growth from 1985
to 1989. From 1989 to 1991, he was at TRW working
on III-V thin film growth using MBE for monolithic-microwave integrated
circuit applications. Since 1991, he has been working on the development of a
QWIP infrared camera at JPL. His current research interests include infrared
detector and focal plane array fabrication and characterization.

Sarath D. Gunapala received the Ph.D. degree in physics


from the University of Pittsburgh, Pennsylvania, in 1986.
Since then, he has studied the infrared properties of III–V
compound semiconductor heterostructures and the
development of QWIPs for infrared imaging. He joined
AT&T Bell Laboratories, Murray Hill, New Jersey in
1987. He joined NASA’s Jet Propulsion Laboratory,
California Institute of Technology, Los Angeles, in 1992.
There, he directs the Center for Infrared Sensors and is a senior research
scientist and a principal engineering staff member at NASA Jet Propulsion
Laboratory. He has authored more than 250 publications, including several
book chapters on infrared imaging focal plane arrays, and holds seventeen
patents. Dr. Gunapala is an SPIE Fellow and an IEEE Distinguished Lecturer.
Chapter 18
Modulation Transfer Function
Measurements of Infrared Focal
Plane Arrays
Sarath D. Gunapala, Sir B. Rafol, David Z. Ting, Alexander Soibel, John K. Liu,
Arezou Khoshakhlagh, Sam A. Keo, Jason M. Mumolo, Linda Höglund,
and Jean Nguyen
Center for Infrared Sensors, Jet Propulsion Laboratory, California Institute of
Technology, Pasadena, California

18.1 Introduction
18.2 Mid wavelength Infrared QWIP Device
18.3 MTF of Megapixel MWIR QWIP FPA
18.4 Long Wavelength Infrared QWIP Device
18.5 MTF of Megapixel LWIR QWIP FPA
18.6 Dual Band QWIP Device Structure
18.7 Testing and Characterization of Multiband QWIP FPA
18.8 NEDT and MTF of Megapixel Multiband QWIP FPA
18.9 Complementary Barrier Infrared Detector (CBIRD) Device Structure
18.10 Testing and Characterization of CBIRD FPA
18.11 MRDT and MTF of CBIRD FPA
18.12 Conclusion
Acknowledgment
References

18.1 Introduction
Fast Fourier transformation of a spatial impulse response of an electro-optical
imaging system provides the optical transfer function or the modulation
transfer function (MTF) of the system in the spatial frequency domain. The
MTFs of the subsystems in the spatial frequency domain can be multiplied to
obtain the overall MTF of an imaging system. This is a much more
407
408 Chapter 18

convenient method than performing the repeated convolutions that would be


required for a spatial domain analysis, and it produces a quick understanding
of the performance limitations of the overall system in terms of individual
subsystems in the complete system. MTF is the ability of an imaging system to
faithfully image a given object. The MTF of an imaging system quantifies the
ability of the system to resolve or transfer spatial frequencies.1 Consider a bar
pattern with a cross section of each bar being a sine wave. Since the image of a
sine-wave light distribution is always a sine wave, the image is always a sine
wave independent of the other effects in the imaging system such as
aberration. Usually, imaging systems have no difficulty reproducing a bar
pattern when the bar pattern is closely spaced. However, an imaging system
reaches its limit when the features of a bar pattern become closer and closer
together, as shown in Fig. 18.1. A quantity modulation M for a linear, shift-
invariant, high-signal-to-noise system is defined as

Figure 18.1 Schematic depiction of the decrease in modulation depth from object to
image. Modulation depth also decreases with increasing spatial frequency (reprinted from
Ref. 2).
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 409

Emax Emin
M¼ , ð18:1Þ
Emax þ Emin
where E is the irradiance. Modulation M goes to zero when (Emax Emin) goes
to zero, which means that there is no detectable signal above the noise floor of
the system. On the other hand, modulation depth approaches its maximum
value of unity when Emin goes to zero, which represents an ideal imaging
system. Once the modulation of an image is measured experimentally, the MTF
of the imaging system can be calculated for that spatial frequency, using
Mimage
MTF ¼ : ð18:2Þ
Mobject
Generally, MTF is measured over a range of spatial frequencies using a
series of bar pattern targets. It is also customary to work in the
frequency domain rather than the spatial domain.3 This is done using a fast
Fourier transform (FFT) of the digitally recorded image. The absolute
value of the FFT of the point spread function (PSF) is then squared to yield
the power spectral density Simage of the image. The MTF can be calculated
using
s
Simage
MTF ¼ : ð18:3Þ
Sobject
The approach we have taken to measure the MTF of an electro-optical
system is by imaging a knife-edge target along the horizontal and vertical
orientations. Figure 18.2 shows how a slightly tilted knife edge can be imaged
at the pixelated focal plane arrays (FPAs).
The edge spread function (ESF) is constructed by selecting a region of
interest (ROI) that intersects the knife-edge image. The ROI on Fig. 18.2 has
10 columns and 8 rows. The ESF can be constructed by plotting the signal

Figure 18.2 Construction of an edge-spread-function (ESF) from a selected region-of-


interest (ROI) of an image (reprinted from Ref. 2).
410 Chapter 18

strength of pixels starting from the lower right and continuing in the direction
indicated by the arrow. When the top of column 9 is reached, continue the
plotting process from row 8 and column 9, ending at row 1 and column 1. The
advantage of this approach is that it preserves the correlation of the data
points, except for the end points, where it can create discontinuity.4 By
properly choosing the number of rows and columns, one can construct
roughly a continuous (smooth) ESF.5 6 One can equally start from the upper
left-hand corner (i.e., row 1 and column 1) and end at the right bottom (i.e.,
row 8 and column 10). This will also give an ESF but starts with high signal
pixels on the left and ends up with low signal pixels on the right (i.e., inverse
of the right side of Fig. 18.2). Figure 18.3(a) shows a ROI of an actual
image of a knife edge. Figure 18.3(b) shows the ESF constructed from this
image using 735 data points.
The ESF is numerically differentiated to obtain the line-spread function
(LSF). Figure 18.4(a) shows the LSF corresponding to the image shown in
Fig. 18.3(a). The zero-frequency-normalized absolute value of the Fourier
transform of the LSF is the 1D MTF of the system. Figure 18.4(b) shows the
MTF as a function of the special frequency that corresponds to the image in
Fig. 18.3(a).
The MTF of the optical assembly can be removed by dividing the
measured total MTF of the aggregate system by the MTF of optical assembly.
This provides the upper limit for the FPA MTF, assuming no MTF loss due
to the electronics, analog-to-digital conversion process, display, connecting
cables, etc.

Figure 18.3 (a) ROI of an image of slightly tilted knife edge. (b) ESF constructed from the
data in (a) (reprinted from Ref. 2). (See color plate section.)
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 411

Figure 18.4 (a) The LSF associated with Fig. 18.3(b). (b) MTF of the FPA that produced
the image shown in Fig. 18.3(a) (reprinted from Ref. 2).

18.2 Mid-wavelength Infrared QWIP Device


A quantum-well structure designed to detect infrared (IR) light is commonly
referred to as a quantum-well infrared photodetector (QWIP).7 8 A coupled
quantum-well structure was used in this device to broaden the responsivity
spectrum. In the MWIR device described here, each period of the multi-
quantum-well (MQW) structure consists of coupled quantum wells of 40 Å
containing 10-Å GaAs, 20-Å In0.3Ga0.7As, and 10-Å GaAs layers (doped at
n ¼ 1  1018 cm 3), with a 40-Å undoped barrier of Al0.3Ga0.7As between
coupled quantum wells, and a 400-Å thick undoped barrier of Al0.3Ga0.7As.
Stacking many identical periods (typically 50) increases photon absorption.
Ground-state electrons are provided in the detector by doping the GaAs well
layers with Si. This photosensitive MQW structure is sandwiched between
0.5-mm GaAs top and bottom contact layers doped at n ¼ 5  1017 cm 3,
grown on a semi-insulating GaAs substrate by molecular beam epitaxy
(MBE). Then, a 0.7-mm-thick GaAs cap layer on top of a 300-Å Al0.3Ga0.7As
stop-etch layer is grown in situ on top of the device structure to fabricate the
light-coupling optical cavity.8
The experimentally measured peak absorption (or internal) quantum
efficiency ha of this material at room temperature was 19%. Due to the fact
that the n–i–n QWIP device is a photoconductive device, the net (or external)
quantum efficiency h can be determined using h ¼ hag, where g is the
photoconductive gain of the detector. The epitaxially grown material was
processed into 200-mm-diameter mesa test structures (area ¼ 3.14  10 4 cm2)
using wet chemical etching, and Au/Ge ohmic contacts were evaporated onto
the top and bottom contact layers. The detectors were back illuminated
through a 45-deg polished facet.7 A responsivity spectrum is shown in
Fig. 18.5. The responsivity of the detector peaks at 4.6 mm, and the peak
412 Chapter 18

Figure 18.5 Responsivity spectrum of a bound-to-quasi-bound MWIR QWIP test structure


at temperature T ¼ 77 K. The spectral response peak is at 4.6 mm, and the long-wavelength
cutoff is at 5.1 mm (reprinted from Ref. 8).

responsivity Rp of the detector is 170 mA/W at bias VB ¼ 1 V. The spectral


width and the cutoff wavelength are Dl/l ¼ 15% and lc ¼ 5.1 mm,
respectively. The photoconductive gain g was experimentally determined7
using g ¼ in2 = 4eID B þ 1/2N, where B is the measurement bandwidth, N is the
number of quantum wells, and in is the current noise, which was measured
using a spectrum analyzer. The photoconductive gain of the detector was 0.23
at VB ¼ 1 V and reached 0.98 at VB ¼ 5 V. Since the gain of a QWIP is
inversely proportional to the number N of quantum wells, a better comparison
would be the well capture probability pc, which is directly related to the gain7
by g ¼ 1/Npc. The calculated well capture probabilities are 25% at low bias
(i.e., VB ¼ 1 V) and 2% at high bias (i.e., VB ¼ 5 V), which together
indicate the excellent hot-electron transport in this device structure. The peak
net quantum efficiency was determined using h ¼ hag. Thus, the net peak
quantum efficiency at bias VB ¼ 1 V is 4.6%. The peak detectivity is defined
p
as DP ¼ RP AB = in , where RP is the peak responsivity, A is the area of the
detector, and A ¼ 3.14  10 4 cm2. The measured peak detectivity at bias
p
VB ¼ 1 V and temperature T ¼ 90 K is 4  1011 cm Hz=W . Figure 18.6
shows the peak detectivity as a function of detector operating temperature at
bias VB ¼ 1 V. These detectors show BLIP at a bias VB ¼ 1 V and
temperature T ¼ 90 K for a 300-K background with f/2.5 optics.

18.3 MTF of Megapixel MWIR QWIP FPA


After the 2D grating array was defined by lithography and dry etching, the
photoconductive QWIPs of the 1024  1024 FPAs were fabricated by dry
chemical etching through the photosensitive GaAs/AlxGa1 xAs MQW layers
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 413

Figure 18.6 Detectivity as a function of detector operating temperature at a bias VB ¼ 1 V


(reprinted from Ref. 8).

into the 0.5-mm-thick doped GaAs bottom contact layer. The pitch of the
FPA is 19.5 mm, and the actual pixel size is 17.5  17.5 mm2. The 2D gratings
on top of the detectors were then covered with Au/Ge and Au for ohmic
contact and high reflectivity. A few QWIP FPAs were chosen and hybridized
(via an indium bump-bonding process) to a 1024  1024 silicon complemen-
tary metal-oxide semiconductor (CMOS) ROIC at bias VB ¼ 1 V. At
temperatures below 90 K, the signal-to-noise ratio of the system is limited by
array nonuniformity, ROIC readout noise, and photocurrent (photon flux)
noise. At temperatures above 90 K, temporal noise due to the QWIP’s higher
dark current becomes the limitation.
We used the following equation to calculate the noise-equivalent
differential temperature (NEDT) of the FPA:
p
AB
NEDT ¼  , ð18:4Þ
DB ðdPB =dTÞsin2 ðu=2Þ
where DB is the blackbody detectivity, dPB/dT is the derivative of the
integrated blackbody power with respect to temperature, and u is the field-of-
view angle [i.e., sin2(u/2) ¼ (4f 2 þ 1) 1, where f is the f-number of the optical
system]. Figure 18.7 shows the NEDT of the FPA estimated from test
structure data as a function of temperature for bias voltages of VB ¼ 1 V.
The background temperature TB ¼ 300 K, the area of the pixel A ¼ (17.5 
17.5 mm2), the f-number of the optical system is 2.5, and the frame rate is
10 Hz. Figure 18.7 shows the measured NEDT of the imaging system at an
operating temperature of T ¼ 90 K, 60-ms integration time, bias VB ¼ 1 V
for a 300-K background with f/2.5 optics and a mean value of 23 mK.
A 1024  1024 QWIP FPA hybrid was mounted onto a 5-W integral Sterling
414 Chapter 18

Figure 18.7 NEDT histogram of the 1,048,576 pixels of the 1024  1024 array showing
high uniformity of the FPA (reprinted from Ref. 8).

closed-cycle cooler assembly to demonstrate a portable MWIR camera. The


digital acquisition resolution of the camera is 14 bits, which determines the
instantaneous dynamic range of the camera (i.e., 16,384). The preliminary
data taken from a test setup has shown a mean system NEDT of 22 mK (the
higher NEDT is due to the 65% transmission through the lens assembly, and
system noise of the measurement setup) at an operating temperature of T ¼
90 K and bias VB ¼ 1 V, for a 300-K background. Video images were taken
at a frame rate of 10 Hz at temperatures as high as T ¼ 90 K, using an ROIC
capacitor having a charge capacity of 8  106 electrons (the maximum
number of photoelectrons and dark electrons that can be counted in the time
taken to read each detector pixel). Figure 18.8 shows one frame of a video
image taken with a 5.1-mm cutoff 1024  1024 pixel QWIP camera.
Figure 18.9 shows the MTF of the imaging system as a function of spatial
frequency. It is important to remember that the MTF of a system is a property
of the entire system; therefore, all of the system components such as the FPA,
lens assembly, cabling, framegraber, cooler, A/D converter, etc. contribute to
the final MTF performance of the system. The MTF of the optics at Nyquist
frequency is 0.2, thus the MTF of the FPA should be 30% and 45% at the
Nyquist frequency Ny ¼ 25.6 cycles (cy)/mm (Ny ¼ 1/2 pixel pitch) along
horizontal and vertical axes, respectively. Higher MTF at Nyquist indicates
that the QWIP FPA has the ability to detect smaller targets at large distances
since optical and electronic energy are not spread among adjacent pixels. It is
already shown elsewhere that the MTF of a perfect FPA (i.e., no pixel-to-pixel
crosstalk) is 0.64 at the Nyquist frequency. In other words, this data shows
that the pixel-to-pixel crosstalk (optical and electrical) of an MWIR
megapixel FPA is almost negligible at Nyquist. This was to be expected
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 415

Figure 18.8 Image from a 1024  1024 pixel QWIP FPA mounted on a 84-pin leadless
chip carrier (reprinted from Ref. 8).

Figure 18.9 Horizontal and vertical MTFs of the MWIR imaging system based on a
1024  1024 pixel QWIP MWIR camera (reprinted from Ref. 8).

because this FPA was back illuminated through the flat thinned substrate
membrane (thickness  800 Å). This substrate thinning (or removal) should
completely eliminate the pixel-to-pixel optical crosstalk of the FPA. In
addition, this thinned GaAs FPA membrane has completely eliminated the
thermal mismatch between the silicon CMOS ROIC and the GaAs-based
QWIP FPA. Basically, the thinned GaAs-based QWIP FPA membrane
416 Chapter 18

adapts to the thermal expansion and contraction coefficients of the silicon


ROIC. For these reasons, thinning has played an extremely important role in
the fabrication of large-area FPA hybrids.

18.4 Long-Wavelength Infrared QWIP Device


Each period of this LWIR MQW structure consists of quantum wells of 40 Å
and a 600-Å barrier of Al0.27Ga0.73As. As mentioned earlier, stacking many
identical periods (the device in this study has 50 periods) increases photon
absorption. Ground-state electrons are provided in the detector by doping the
GaAs well layers with silicon impurities of up to n ¼ 5  1017 cm 3. This
photosensitive MQW structure is sandwiched between 0.5-mm GaAs top and
bottom contact layers doped at n ¼ 5  1017 cm 3, grown on a semi-
insulating GaAs substrate by MBE. Then a 0.7-mm-thick GaAs cap layer on
top of a 300- Å Al0.27Ga0.73As stop-etch layer was grown in situ on top of the
device structure to fabricate the light-coupling optical cavity. The MBE-
grown material was tested for absorption efficiency using an FTIR
spectrometer. Test detectors with a 200-mm diameter were fabricated and
back illuminated through a 45-deg polished facet for optical characterization.
An experimentally measured responsivity spectrum is shown in Fig. 18.10.
The responsivity of the detector peaks at 8.4 mm, and the peak responsivity RP
of the detector is 130 mA/W at bias VB ¼ 1 V. The spectral width and the
cutoff wavelength are Dl/l ¼ 10% and lc ¼ 8.8 mm, respectively. The
photoconductive gain g was experimentally determined as described in the
previous section. The peak detectivity of the LWIR detector was calculated

Figure 18.10 Responsivity spectrum of a bound-to-quasi-bound LWIR QWIP test structure


at temperature T ¼ 77 K. The spectral response peak is at 8.4 mm, and the long-wavelength
cutoff is at 8.8 mm (reprinted from Ref. 8).
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 417

Figure 18.11 Detectivity as a function of temperature at bias 1 V (reprinted from Ref. 8).

using experimentally measured noise current in. The calculated peak


detectivity at bias VB ¼ 1 V and temperature T ¼ 70 K is 1  1011 cm
p
Hz=W (see Fig. 18.11). These detectors show BLIP at bias VB ¼ 1 V and
temperature T ¼ 72 K for a 300-K background with f/2.5 optics.

18.5 MTF of Megapixel LWIR QWIP FPA


Megapixel LWIR QWIP detector arrays were fabricated as described earlier.
The pitch of the FPA was 19.5 mm, and the actual pixel size was 17.5 
17.5 mm2. The 2D gratings on top of the detectors were then covered with
Au/Ge and Au for ohmic contact and high reflectivity. Nine 1024  1024 pixel
QWIP FPAs were processed on a 4-in. GaAs wafer. Indium bumps were then
evaporated on top of the detectors for hybridization with silicon CMOS
ROICs. A single QWIP FPA was chosen and hybridized (via indium bump-
bonding process) to a 1024  1024 CMOS multiplexer and biased at VB ¼
1 V. At temperatures below 72 K, the signal-to-noise ratio of the system is
limited by array nonuniformity, ROIC readout noise, and photocurrent
(photon flux) noise. At temperatures above 72 K, the temporal noise due to
the dark current becomes the limitation. The differential resistance RDet of the
pixels at 1-V bias is 7.4  1010 V at T ¼ 70 K with detector capacitance CDet
of 1.7  10 14 F. The detector dark current IDet ¼ 1.6 pA under the same
operating conditions. This initial array gave excellent images with 99.98% of
the pixels working (number of dead pixels 200), again demonstrating the
high yield of GaAs technology.
The NEDT of the FPA was calculated as described earlier. Figure 18.12
shows the measured NEDT of the system at an operating temperature of
T ¼ 72 K, 29-ms integration time, bias VB ¼ 1 V for a 300-K background
418 Chapter 18

Figure 18.12 NEDT histogram of the megapixel LWIR QWIP FPA showing high uniformity
of the FPA. The uncorrected nonuniformity after single-point correction nonuniformity
reduced to 0.8% (reprinted from Ref. 8).

Figure 18.13 Horizontal and vertical MTFs of the MWIR imaging system based on a
1024  1024 pixel QWIP MWIR camera (reprinted from Ref. 8).

with f/2.5 optics and a mean value of 16 mK. Figure 18.13 shows the MTF of
the imaging system as a function of spatial frequency. The MTF of the spot
scanner optics at Nyquist frequency is 0.2; therefore, the MTF of the FPA
should be >0.5 at the Nyquist frequency Ny ¼ 25.6 cy/mm. As mentioned
earlier, the MTF of an ideal FPA (i.e., no pixel-to-pixel crosstalk) is 64% at
Nyquist frequency. Thus, the pixel-to-pixel optical and electrical crosstalk of
this LWIR megapixel FPA is negligibly small. We have observed oscillations
in many of our MTF measurements that may be due to the unfiltered
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 419

high-frequency noise on the PSF due to pattern noise. These oscillations


become more pronounced at higher frequency when the MTF approaches the
noise floor. The source of this high-frequency noise is most likely the ROIC
and electronics. We do not think that this is temporal in origin since we have
averaged 64 frames or more for the PSF measurement. At 15 cy/mm the lens
MTF is approximately 0.38, so the detector MTF at 15 cy/mm is
approximately 26.3%. This is much less than the ideal MTF of the FPA.

18.6 Dual-Band QWIP Device Structure


A long-wavelength infrared (LWIR)1 and LWIR2 dual-band QWIP device
structure described in this section was processed into simultaneously readable
spatially separated dual-band FPAs with normal contacts to access the
CMOS ROIC pixels. The device structure consists of a 20-period stack of
LWIR2 QWIP structure, and a 20-period stack of LWIR1 QWIP structure,
separated by a 2.5  1017 cm 3 doped 0.8-mm-thick intermediate GaAs
contact layer. The first stack (LWIR2) consists of 20 periods of a 735-Å
AlxGa1 xAs barrier and a 56-Å GaAs double well separated by a 79-Å-thick
AlxGa1 xAs barrier. Since the dark current of this device structure is
dominated by the longer-wavelength portion of the device structure, the
LWIR2 QWIP structure has been designed to have a bound-to-quasi-bound
intersubband absorption peak at 10.5 mm. The second stack (LWIR1)
consists of 20 periods of a 500-Å AlxGa1 xAs barrier and a 50 Å GaAs
double well separated by a 80-Å-thick AlxGa1 xAs barrier. This LWIR1
QWIP structure has been designed to have a bound-to-continuum intersub-
band absorption peak at 9.5 mm because the photocurrent and dark current
of the LWIR2 device structure is relatively small compared to the LWIR2
portion of the device structure. This whole dual-band QWIP structure is then
sandwiched between 0.5-mm GaAs top and 1.0-mm bottom contact layers
doped at n ¼ 1  1018 cm 3 and is grown on a semi-insulating GaAs
substrate by MBE. A 500-Å Al0.3Ga0.7As stop-etch layer is grown prior to
the 1.0-mm-thick GaAs bottom (or detector common) layer. GaAs wells of
the LWIR1 and LWIR2 stacks were doped at n ¼ 1  1018. All contact
layers were doped at n ¼ 2.5  1017 cm 3. The GaAs well doping density of
the LWIR stack was intentionally increased by a factor of two to compensate
for the reduced number of quantum wells in the LWIR stack.
The responsivity of the large-area LWIR1 test detectors illuminated at a
45-deg angle using a polished 45-deg wedge peaks at 9.6 mm, and the peak
responsivity Rp of the detector is 280 mA/W at bias VB ¼ 1 V. The spectral
width and the cutoff wavelength of the LWIR1 detectors are Dl/l ¼ 33% and
lc ¼ 10.9 mm, respectively. The responsivity of the LWIR2 detectors peaks at
10.5 mm, and the peak responsivity Rp of the detector is 232 mA/W at bias
VB ¼ 1.0 V. The spectral width and the cutoff wavelength of the LWIR2
420 Chapter 18

Figure 18.14 Responsivity spectrum of the LWIR1 and LWIR2 bands in the dual-band
QWIP. This data was taken using a 45-deg polished wedge with large-area test devices.

detector are Dl/l ¼ 32% and lc ¼ 12.2 mm, respectively. Figure 18.14 shows
the responsivity spectrums of both LWIR1 and LWIR2 detectors. The dark-
current density of the LWIR1 and LWIR2 detectors at operating bias
VB ¼ 1V and temperature T ¼ 40 K are 2.4  10 7 and 2.5  10 6 A/cm2,
respectively. Thus, the limiting dark-current density is 2.5  10 6 A/cm2,
which was determined by the LWIR2 pixels.

18.7 Testing and Characterization of Multiband QWIP FPA


Nine distinct progressively advancing 2D periodic grating structures were
designed to independently couple the 7.5- to 12.20-mm radiation into the
detector pixels on nine different bands along the rows of a 1024  1024 pixel
detector array.7 The top 0.75-mm-thick GaAs cap layer was used to fabricate
the light-coupling 2D periodic grating (five progressively advancing gratings
peaked at 7.75, 8.25, 8.75, 9.25, and 9.75 mm) for LWIR1 detector pixels. In
other words, this 1024  1024 format QWIP detector array contains nine bands
of pixels, and each band is optimized to a specific wavelength region that is
determined by the light-coupling grating parameters. As shown in Fig. 18.15,
the light coupling for 2D periodic gratings (four progressively advancing
gratings peaked at 10.25, 10.75, 11.25, and 11.75 mm) of the LWIR2 detector
pixels were fabricated through the photosensitive LWIR1 MQW layers.
This grating scheme short circuited all LWIR1 sensitive detectors on the
right half of the 1024  1024 pixel detector arrays. Thus, the total thickness of
the LWIR1 detector is limited by the grating layer thickness of the LWIR2
detector. This 2D periodic grating structure is fabricated on the detectors by
using standard photolithography and SF6:BCl3 selective dry etching. After the
2D grating array was defined by photolithography and dry etching, the
LWIR1 detector pixels of the left half of the 1024  1024 pixel detector arrays
were fabricated by dry etching through the photosensitive GaAs/AlxGa1 xAs
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 421

Figure 18.15 Architecture of 1024  1024 pixel QWIP FPA. (a) The left half of the FPA
contains LWIR1 active pixels, and the right half contains the LWIR2 active pixels. From left
to right, the light-coupling grating parameters progressively change with peak light-coupling
efficiencies at 7.75 11.75 mm. (b) The two adjacent pixels (one on each side) at the LWIR1-
to-LWIR2 transition line at the middle of the FPA.

MQW layers into the 0.8-mm-thick doped GaAs intermediate contact layer.
The LWIR2 detector pixels (on the right half) of the detector arrays were
fabricated by dry etching both of the MQW stacks into the 1.0-mm-thick
heavily doped GaAs bottom contact layer. The pitch of the detector array is
19.5 mm, and the actual LWIR1 and LWIR2 pixel sizes are 17.5  17.5 mm2.
The 2D grating reflectors on top of the detectors were then covered with Au/Ge
and Au for ohmic contact and reflection. Twelve 1024  1024 format detector
arrays were processed on a four-in. GaAs wafer. Indium bumps were then
evaporated on top of the detectors and silicon ROICs for hybridization. Several
multiband detector arrays were chosen and hybridized (via an indium bump-
bonding process) to 1024  1024 pixel ROICs.
Voids between the pixels of the detector array and the ROIC were backfilled
with epoxy. The original GaAs substrate was completely removed by lapping
and dry etching. One selected multiband FPA hybrid was mounted onto the cold
finger of a liquid helium cooled laboratory test dewar and biased at VB ¼ 1 V.
This selected FPA was tested at a temperature of 40 K. The detector dark
currents at T ¼ 40 K of LWIR1 and LWIR2 detectors are 2.6  10 15 and
2.5  10 12 A, respectively. The experimentally measured responsivity
spectrums of the 1024  1024 nine-band QWIP FPA are shown in Fig. 18.16.

18.8 NEDT and MTF of Megapixel Multiband QWIP FPA


The NEDT is one of the many metrics that provides a system-level performance.7
NEDT can be defined as the target-to-background minimum temperature
difference that results in a signal-to-noise-ratio of one. One hundred sequential
frames are collected at 293, 298, and 303 K flat blackbody temperatures. The
response is estimated from the difference of the average from 293 and 303 K,
while the temporal noise for each pixel is estimated at 298 K. The temporal
422 Chapter 18

Figure 18.16 (a) Responsivity spectrums of the LWIR1 portion of the 1024  1024 pixel
multiband QWIPFPA, which contains five spectral bands. (b) Responsivity spectrum of the
LWIR2 section of the FPA, which contains four spectral bands.

NEDT matrix is numerically evaluated from the relations NEDT ¼ s TemporalDT/


[Mean(TH) Mean(TL)].7 The matrices Mean(TL) and Mean(TH) are the means
evaluated at blackbody temperatures of TL ¼ 293 K and TH ¼ 303 K. The
temporal noise is estimated at 298 K using 32 frames, and DT  10 K. The
experimentally measured NEDT histogram distributions of the nine-band
1024  1024 pixel QWIP FPA at 40-K operating temperature, 1-V bias, and
370-ms integration time, with blackbody temperature of 298 K and an f/2 cold
stop, are shown in the Fig. 18.17.
The ESFs were constructed for each band of the 1 K  1 K multiband
QWIP FPA as described earlier. The ESFs were numerically differentiated to
obtain the LSFs for each band. The zero-frequency-normalized absolute value
of the Fourier transforms of the LSFs are the 1D MTFs of the system for each
spectral band. The lens MTF is removed by dividing each measured MTF by
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 423

Figure 18.17 Histograms showing the NEDT of each segment of the 1024  1024 pixel
FPA.

the lens MTF. This leaves the MTF of the FPA and electronics. Figure 18.18
shows MTF(f)/MTF(f ¼ 0) of the QWIP FPA at different spectral bands.
Assuming ideal electronic MTF, this MTF represents the MTF of the FPA.
The horizontal MTFs at Nyquist frequency based on pixel pitch a (¼1/2a,
a ¼ 19.5mm) 25.64 cy/mm at 9.75 and 10.75 mm are 0.30 and 0.10,
respectively. Unfortunately, the vertical MTF shows a great loss around
10–15 cy/mm, and the MTF at Nyquist could not be measured due to poor
signal-to-noise ratio. The ideal MTF value at Nyquist frequency is 0.64, which
can be estimated from the sinc function sin(paf )/paf,9 where a is the pixel
pitch, and f is the spatial frequency. The loss of MTF can be attributed to
pixel-pixel crosstalk, diffraction-limited point spread, spherical aberration,
chromatic aberration, defocusing, electronics crosstalk, ROIC crosstalk, and
poor signal. It is clear from both Figs. 18.18(a) and (b) that the horizontal and
vertical LWIR1 (i.e., 9.75 mm) MTF values are lower LWIR2 (i.e., 10.75-mm)
424 Chapter 18

Figure 18.18 Experimentally measured (a) horizontal and (b) vertical MTFs as a function
of spatial frequency at 9.75-mm (LWIR1) and 10.75-mm (LWIR2) spectral bands.

MTF values. The lower MTF of LWIR1 band could be attributed to pixel-to-
pixel optical crosstalk in LWIR1 band due to the underlying nonreticulated
LWIR2 MWQ layers. The loss in overall vertical MTF could be due to some
sort of enhanced optical crosstalk between pixels having the same grating
parameters (i.e., vertical bands) and vertical pixel-to-pixel electronic crosstalk
due to the ROIC column amplifiers.

18.9 Complementary-Barrier Infrared Detector (CBIRD)


Device Structure
The complementary-barrier infrared detector (CBIRD) structure needs an n-on-p
ROIC as an electrical interface since it provides electrons at the top contact.
This CBIRD design consists of a 300-period (44 Å, 21 Å)-InAs/GaSb absorber
superlattice (SL) sandwiched between an 80-period (46 Å, 12 Å)-InAs/AlSb
hole-barrier (hB) SL on the left and a 60-period (22 Å, 21 Å)-InAs/GaSb
electron-barrier (eB) SL on the right. The hB SL and eB SL are, respectively,
designed to have approximately zero conduction and valence-band offset with
respect to the absorber SL. The hB SL is doped at n ¼ 1  1016 cm 3, while
the absorber SL and eB SL are nominally doped at p ¼ 1  1016 cm 3, and
p ¼ 1  1016 cm 3.10 11 InAs0.91Sb0.09 adjacent to the eB acts as the VDET COM
contact layer, and the hB SL serves as the top contact layer that is electrically
connected to the ROIC. For CBIRD the VDET COM is at a lower potential
relative to the top contact or ROIC. This injects electrons into the ROIC,
and the mode is n on p. The dry-etch process was utilized to fabricate the
320  256 pixel arrays with 30-mm pixel pitch. The FLIR/Indigo two-color
direct-injection 320  256 pixel format ISC0903 ROIC12 was used to fabricate
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 425

FPAs. The detector arrays and ROICs were hybridized using the SET FC-300
flip-chip bonder. After hybridization, the FPAs were backfilled with epoxy
and cured overnight. The substrate was completely removed by mechanical
lapping followed by a selective dry-etching process all the way down to the
etch-stop layer.

18.10 Testing and Characterization of CBIRD FPA


The FPA was cooled down to 78 and 65 K for data acquisition at two
temperatures. Figure 18.19 shows the plot of mean external quantum
efficiency (QE) as a function of wavelength. QE is measured directly from
the FPA at 78 K, 128-mV bias, and 370-ms integration time. A maximum QE
of 54% has been achieved for double-pass geometry. This is slightly lower
than the single-element result. The FPA is back illuminated, while the single-
element test device is front illuminated. The substrate was completely
removed and thinned enough to be transparent for IR radiation. The cutoff
wavelength is about 8.8 mm, which is at 50% of the peak, and the full-width at
half-maximum (FWHM) is roughly from 4.4 mm to 8.8 mm. The mean
responsivity is 46.2 nV/photon, with operability of 97%. The operability is
defined as those pixels with responsivity between 20% and 150% of the mean
responsivity. The low responsivity can be partially attributed to low ROIC
gain, which is  97nV/electron.11
Figure 18.20 depicts the dark-current density histogram at operating bias
128 mV. The integration time was set slightly higher to 490 ms, which should
not affect the dark-current estimate. The mean dark-current density of
2.2  10 4 A/cm2 is a factor of 4.4 higher than the mean measured dark
current from many single-element devices at the same temperature and bias.
Estimates show that at 240-K background temperature the dark-current density
is comparable to photocurrent density from a 298-K background. The mean

Figure 18.19 QE spectrum of a long-wavelength SL CBIRD device. Quantum efficiency


was measured with double-pass geometry (reprinted from Ref. 13).
426 Chapter 18

Figure 18.20 Dark current of CBIRD at bias 128 mV and 78-K operating temperature
(reprinted from Ref. 13).

dark-current density of the large-area single-element detectors at 77 K was


5  10 5 A/cm2. The FPA detector array is not passivated, and surface
conduction might have contributed to the increase in dark-current density.
Excess dark current normally originates from generation–recombination,
trap-assisted tunneling, and surface leakage.9 However, when the temperature
was lowered to 65 K, the mean dark-current density decreased to 1.1  10 4
A/cm2. This implies that there is a surface leakage in addition to the bulk
current. However, the bulk dark-current density still dominates considerably
and decreases with temperature. The decreasing bulk dark-current density as a
function of decreasing temperature clearly indicates the absence of trap-assisted
tunneling, assuming that the surface leakage current is independent of
temperature. The uncorrected spatial nonuniformity (sigma/mean) at 298-K
blackbody temperature is 5.5%. The temporal NEDT matrix is numerically
evaluated from the relation NEDT ¼ sTemporalDT/[Mean(TH) Mean(TL)].7
The matrices Mean(TL) and Mean(TH) are the means evaluated at blackbody
temperatures of TL ¼ 293 K and TH ¼ 303 K. The temporal noise is estimated
at 298 K using 32 frames, and DT  10 K. The experimentally measured
NEDT histogram distributions of the CBIRD FPA at 78-K operating
temperature, 128-mV bias, and 370-ms integration time, with blackbody
temperature of 298 K and an f/2 cold stop, is shown in Fig. 18.21. The mean
NEDTs of 18.6 mK and 12 mK are achieved at FPA operating temperatures of
78K and 65K, respectively. This means that noise has decreased with
temperature.

18.11 MRDT and MTF of CBIRD FPA


In this section we describe the minimum resolvable temperature difference
(MRDT) and MTF measurements. Figures 18.22(a) and (b) depict MRDT and
MTF plots of an LWIR CBIRD FPA, respectively. MRDT is a subjective
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 427

Figure 18.21 Measured CBIRD NEDT histogram operating at 78 K, bias 128 mV, and
integration time of 370 ms. The mean NEDT is 18.6 mK (reprinted from Ref. 13).

Figure 18.22 (a) MRDT and (b) MTF as a function of spatial frequency measured from a
320  256 CBIRD FPA operating at 78 K, at bias 128 mV, and integration time of 370 ms
(reprinted from Ref. 13).

measurement of an FPA image using trained human observers. It requires a


stable differential temperature between background and a four-bar target that
will produce a unity signal-to-noise ratio on the display monitor as a function of
target spatial frequency.1 This measures thermal sensitivity as a function of
spatial resolution defined by the four-bar target with aspect ratio of 7:1. The
period of the four-bar target is varied, and the spatial frequency is estimated for
each four-bar target. At small spatial frequency, the horizontal MRDT
(HMRDT) and vertical MRDT (VMRDT) are slightly lower than the NEDT
value, which is also shown on the MRDT plot. At higher spatial frequency, a
larger temperature difference is required to generate a contrast between the
four-bar targets and the background. Positive and negative contrast were
measured, and temperature difference was averaged to eliminate the offset. The
four-bar target becomes difficult to resolve at 15.89 cy/mm (which is just below
428 Chapter 18

Nyquist frequency  16.67 cy/mm) in both the vertical and horizontal direction,
even after moving the target slightly to compensate for the phasing effect and
raising the temperature of the background.4 It is observed that only three bars
were apparent instead of four, and two of the bars merge into one at a
frequency close to Nyquist.
MTF technically provides a measure of image resolution or spatial
frequency response of the infrared imaging system. It is a measure of the way
in which the contrast is transferred from object space to image space as a
function of spatial frequency. MTF is inversely related to MRDT.9 The ESF is
then constructed as previously described. The ESF is numerically differenti-
ated to obtain the LSF. The zero-frequency-normalized absolute value of
the Fourier transform of the LSF is the 1D MTF of the system. The lens
MTF is removed by dividing the measured MTF by the lens MTF. The plot in
Fig. 18.22(b) is MTF( f )/MTF( f ¼ 0) of the FPA and electronics in horizontal
and vertical orientation.
The higher MTF at low frequency produces better contrast (see
Fig. 18.23); therefore, better images are observed at low spatial frequency.
Higher MTF values at high frequency produce good-quality images at higher
frequency. The horizontal and vertical MTFs at Nyquist frequency based on
pixel pitch a (¼1/2a, a ¼ 30 mm) 16.67 cy/mm are about 0.49 and 0.52,
respectively. The Nyquist frequency is well below the optical cutoff frequency
of 56.8 cy/mm based on the 8.8-mm detector cutoff wavelength. The loss of
MTF can be due to defocusing,5 6,9 an effect that is eliminated by acquiring
data at the best focus and then collecting data by moving the FPA by 50 mm
along the optical axis from the best focus location. This-50 mm move is
roughly the size of the Airy disk.

Figure 18.23 Image taken with the long-wavelength infrared CBIRD SL FPA, showing
good-quality reproduction of low and high spatial frequency. The FPA is operated at 78 K
with NEDT of 18.6 mK with f/2 optics at 300-K background (reprinted from Ref. 13).
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 429

The FPA MTF can be separated into the product of two components. The
geometric aperture MTF is related to the pixel size, and the diffusion MTF is
related to electro-optical properties.1,3 6 The diffusion MTF depends on the
diffusion length and geometry. The carrier diffusion degrades high-frequency
MTF and manifests as crosstalk (or MTF loss). However, the CBIRD pixels
are delineated down to the bottom contact, and it is expected that no lateral
carrier diffusion into the next neighbor can occur. The advantage of
delineation is the reduction of crosstalk. The disadvantage (in nonplanar
device structures) is that the fill factor is less than 100%. Shorter wavelengths
on the other hand can be absorbed near the top surface and can diffuse to the
next neighbor. In CBIRD FPAs, the only channel left through which the
charge carriers can diffuse to an adjacent pixel is the thin VDET COM layer.
The geometric aperture MTF can be estimated using a sinc function. Since
the pixel is square, the aperture MTF is the same in the horizontal and vertical
orientations. For a pixel pitch of 30 mm (the CBIRD FPA pixel size) a sinc
function describing an aperture MTF is plotted in Fig. 18.22(b). Smaller pixel size
actually improves high-frequency MTF since, at Nyquist (sampling using FPA
pitch), its value is greater than 0.64. The difference between aperture MTF and
the measured MTF is the upper limit on the diffusion MTF (crosstalk) since other
MTF components such as electronic and other effects, including surface
recombination, are not completely understood. The ROIC crosstalk is small,
0.1% by design. At Nyquist frequency, the difference between measured
horizontal/vertical and the ideal MTF is 0.14, but at low frequencies, the
difference is small. The MTF loss is basically an effective increase of the pixel size.
The geometric aperture MTF function decreases with increasing pixel size and
frequency. Thus, detectors can be viewed as an overlapping Gaussian-like array.
For example, for horizontal and vertical MTF data in Fig. 18.22, the pixel size
that will closely match the MTF data is 36 mm, which is larger than the pitch.
Imagery was performed at 78-K FPA operating temperature; Fig. 18.23 shows
the outside natural scenery. The image quality of the natural scene attests to the
very good MTF behavior at low and high frequencies. This FPA gave good
images, with more than 97% of the pixels being operable. Video images were
taken at a frame rate of 30 Hz and integration time of 0.37 ms.

18.12 Conclusion
The MTFs of megapixel single-band and multiband QWIP FPAs were
experimentally measured. MTFs of the single-band fully pixelated MWIR
and LWIR QWIP FPAs were approximately 50%, including the MTF
degradation due to electronics and cooler. A significant degradation of the
MTF was observed in the shorter spectral bands of the nine-band QWIP FPA
due to the thick underlying undelineated materials. A 320  256 format LWIR
CBIRD FPA has been demonstrated with 18.6-mK NEDT for a 300-K
430 Chapter 18

background with f/2 cold stop at 78-K FPA operating temperature. The
horizontal and vertical MTFs of this fully pixel-delineated CBIRD FPA at
Nyquist frequency are 49% and 52%, respectively. In conclusion, MTF
measurement is a powerful and simple technique that can easily provide a
measure of pixel-to-pixel crosstalk of FPAs.

Acknowledgment
The authors thank R. Cox, R. Liang, M. Herman, and E. Kolawa of Jet
Propulsion Lab, and S. Bandara and M. Tidrow of the U.S. Army Night Vision
Electronics Sensor Directorate. The research described in this chapter was carried
out at the Jet Propulsion Laboratory, California Institute of Technology, through
an agreement with the National Aeronautics and Space Administration.

References
1. G. C. Holst, “Infrared Imaging System Testing,” Chapter 4 in The
Infrared Electro-Optical Systems Handbook, Vol. 4: Electro-Optical
Systems Design, Analysis and Testing, M. C. Dudzik, Ed., ERIM, Ann
Arbor, MI and SPIE Press, Bellingham, WA (1993).
2. S. D. Gunapala, S. B. Rafol, D. Z. Ting, A. Soibel, J. K. Liu,
A. Khoshakhlagh, S. A. Keo, J. M. Mumolo, and J. Nguyen,
“Modulation transfer function of QWIP and superlattice focal plane
arrays,” Infrared Phys. Technol. [In Press] (2013).
3. W. J. Smith, Modern Optical Engineering the Design of Optical Systems,
Second ed., McGraw-Hill, New York (1990).
4. G. D. Boreman, Modulation Transfer Function in Optical and Electro-Optical
Systems, SPIE Press, Bellingham, WA (2001) [doi: 10.1117/3.419857].
5. M. Davis, M. E. Greiner, J. G. Sanders, and J. T. Wimmers, “Resolution
issues in InSb focal plane array system design,” Proc. SPIE 3379, 288–299
(1998) [doi: 10.1117/12.317596].
6. H. Holloway, “Collection efficiency and crosstalk in closely spaced
photodiode arrays,” J. Appl. Phys. 60, 1091 (1998).
7. S. D. Gunapala, S. V. Bandara, S. B. Rafol, and D. Z. Ting, “Quantum
Well Infrared Photodetectors,” in Semiconductors and Semimetals, Vol.
84, Academic Press, San Diego, pp. 59–151 (2011).
8. S. D. Gunapala, S. V. Bandara, J. K. Liu, C. J. Hill, S. B. Rafol,
J. M. Mumolo, J. T. Trinh, M. Z. Tidrow, and P. D. LeVan, “1024  1024
pixel mid-wavelength and long-wavelength infrared QWIP focal plane array
for imaging applications,” Semicond. Sci. Technol. 20, 473–480 (2005).
9. S. B. Rafol and E. Cho, “Modulation transfer function measurement on
QWIP focal plane array,” Proc. SPIE, 6941, 69410X (2008) [doi: 10.1117/
12.780050].
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 431

10. D. Z. Ting, C. J. Hill, A. Soibel, S. A. Keo, J. M. Mumolo, J. Nguyen,


and S. D. Gunapala, “A high-performance long wavelength superlattice
complementary barrier infrared detector,” Appl. Phys. Lett. 95, 023508
(2009).
11. S. D. Gunapala, D. Z. Ting, C. J. Hill, J. Nguyen, A. Soibel, S. B. Rafol,
S. A. Keo, J. M. Mumolo, M. C. Lee, J. K. Liu, and B. Yang,
“Demonstration of a 1024  1024 pixel InAs-GaSb superlattice focal plane
array,” IEEE Photon. Tech. Lett. 22, 1856–1858 (2010).
12. B. Simolon, A. Aziz, R. Hansen, E. Kurth, S. Lam, S. Petronio, and
J. Woolaway, “Standard format two-color CMOS ROIC for SLS
detectors,” Infrared Phys. Tech. 54, 306–309 (2011).
13. S. B. Rafol, A. Soibel, A. Khoshakhlagh, J. Nguyen, J. K. Liu,
J. M. Mumolo, S. A. Keo, L. Hoeglund, D. Z. Ting, and S. D. Gunapala,
“Performance of a 1/4 VGA format long-wavelength infrared antimo-
nide-based superlattice focal plane array,” IEEE J. Quantum Electron.
48, 878–884 (2012).

Sarath D. Gunapala received the Ph.D. degree in physics


from the University of Pittsburgh, Pennsylvania, in 1986.
Since then, he has studied the infrared properties of III-V
compound semiconductor heterostructures and the
development of QWIPs for infrared imaging. He joined
AT&T Bell Laboratories, Murray Hill, New Jersey in
1987. He joined NASA’s Jet Propulsion Laboratory
(JPL), California Institute of Technology, Los Angeles in
1992. There, he directs the Center for Infrared Sensors and is a senior research
scientist and a principal engineering staff member at NASA JPL. He has
authored more than 250 publications, including several book chapters on
infrared imaging focal plane arrays, and holds seventeen patents. Dr. Gunapala
is an SPIE Fellow and an IEEE Distinguished Lecturer.

Sir “Don” B. Rafol received the M.A. degree in physics


from Kent State University, Kent, Ohio, in 1984 and
the Ph.D. degree in physics from the University of
Illinois at Chicago in 1991. His current research
interests include studies on the origin of focal plane
array noise, detector dark noise, transport properties,
and MTF and detector/amplifier composites.
432 Chapter 18

David Z. Ting received the B.S. degree (Honors) in


physics from the California Institute of Technology,
Pasadena, in 1980, and the M.S. and Ph.D. degrees in
physics from the University of Illinois at Urbana-
Champaign in 1981 and 1986, respectively. He was a
Senior Research Fellow in the Department of Applied
Physics, Caltech, before joining the National Tsing Hua
University, Hsinchu, Taiwan, as an associate professor
of physics in 1995. In 1998 he joined the NASA Jet Propulsion Laboratory,
Caltech, Pasadena, where he is a senior research scientist and a principal
member of the engineering staff. His research activities include the studies of
electronic, optical, and thermoelectric properties of semiconductors, hetero-
structure infrared detectors, and lasers; quantum transport in tunnel devices and
nanostructures; nanophotonic devices; and spintronics. Results of his work
have been reported in more than 190 research publications and in more than
130 conference presentations and technical seminars. He holds eight patents.
Dr. Ting is a senior member of IEEE and a Fellow of SPIE.

Alexander Soibel received the M.Sc. (1995) and Ph.D.


(2001) degrees in physics from the Weizmann Institute
of Science, Israel. In 2001 he joined Bell Laboratories,
Lucent Technologies as a postdoctoral member of the
technical staff in the Semiconductor Physics Research
Department, where he worked on ultrashort-pulse
generation in mid-infrared quantum cascade (QC)
lasers and on nonlinear optical effects in mode-locked
QC lasers. In 2004, he became a senior member of engineering staff at JPL,
NASA/Caltech where he initially worked on development of mid-IR lasers,
including QC lasers and intersubband cascade lasers that were delivered for
the tunable laser spectrometer on the Mars Science Laboratory. Dr. Soibel
currently works on development of infrared detectors and focal plane array
for various space- and Earth-based applications. He has extensive experience
in design, fabrication, and testing of III-V semiconductor detectors and lasers,
including mid-infrared Sb-based superlattice and nBn detectors. Dr. Soibel
has coauthored more than 40 refereed articles and two book chapters, and has
given several invited talks at conferences.
Modulation Transfer Function Measurements of Infrared Focal Plane Arrays 433

John K. Liu received the B.S. degree in engineering


science and bioengineering from the University of
California, San Diego, and the M.S.E.E. degree from
Cal State University, Los Angeles, in 1984 and 1986,
respectively. He was with the Jet Propulsion Labora-
tory, California Institute of Technology, Los Angeles,
working on solar cell and III-V MBE growth from 1985
to 1989. From 1989 to 1991, he was at TRW working
on III-V thin film growth using MBE for monolithic-microwave integrated
circuit applications. Since 1991, he has been working on the development of a
QWIP infrared camera at JPL. His current research interests include infrared
detector and focal plane array fabrication and characterization.

Arezou Khoshakhlagh received the Ph.D. degree in 2010


in optical sciences and engineering from the University
of New Mexico, Albuquerque, where she worked on
design, growth, and characterization of type-II strained-
layer superlattice infrared detectors. She joined the
Infrared Focal Planes & Photonics Technology Group,
Jet Propulsion Laboratory, California Institute of
Technology, Los Angeles, in April 2010 and has been
leading the research on material growth and material characterization of mid-
wave infrared, longwave infrared, and two-color superlattice arrays. Her
research interests include design and growth of semiconductor heterojunctions
for efficient light sources and detectors as well as energy harvesting
applications. Dr. Khoshakhlagh was the recipient of the National Science
Foundation IGERT Fellowship.

Sam A. Keo received the B.S. degree in engineering


technology from the Polytechnic University of California,
Pomona, in 1999. He was with Ortel Corp., Alhambra,
California, working on III-V GaAs-based compound
semiconductor laser and detector fabrication from 1987
to 1989. From 1989 to 1991, he was with Rockwell
International working on III-V InP-based compound
semiconductor photonic devices for telecommunication.
His research and development activities include liquid phase epitaxial growth,
processing, and packaging. From 1991 to 1999, he was with Jet Propulsion
Laboratory, California Institute of Technology, Pasadena, working on the
development of compound semiconductor lasers for space application. From
434 Chapter 18

1999 to 2003, he worked at the start-up companies, Genoa Inc., Freemont,


California, Lightcross Inc., Monterey Park, California, and ModeTek Inc.,
Carlsbad, California, on active and passive telecommunication devices. Since
2003 he has been working on III-V and Sb-based semiconductor lasers, QWIP
IR detectors, and Sb-based superlartice focal plane arrays at JPL. His current
research interests include MWIR and LWIR FPA fabrication.

Jason M. Mumolo received the B.S. degree in electrical


engineering from the Polytechnic University of California,
Pomona, in 2001. He joined the Jet Propulsion Labora-
tory, California Institute of Technology, Pasadena in 1997
as an undergraduate part-time student. Upon graduating,
he joined the Infrared Focal Planes & Photonics
Technology Group as a full-time process engineer. His
current research interests include the development and
fabrication of quantum-well infrared photodetector devices and focal plane
arrays for camera systems.

Linda Höglund received the Ph.D. degree in material


science from Linköping University, Linköping, Sweden in
2008. She developed cooled as well as uncooled IR
detectors with the Swedish Research Institute Acreo from
2001 to 2009, focusing on quantum-dot-based detectors
and bolometers. In 2009, she joined the Infrared Photonics
Technology Group, Jet Propulsion Laboratory, Pasadena,
California, where she studies the optical properties of
Sb-based detectors and type-II superlattice materials.

Jean Nguyen received the B.S. degree in computer


engineering from the University of Wisconsin, Madison
in 2003, the M.S. degree in computer science from the
Georgia Institute of Technology, Atlanta in 2004, and
the Ph.D. degree in electrical engineering from North-
western University, Evanston, Illinois in 2008. She joined
the Jet Propulsion Laboratory, Infrared Photonics
Technology Group, California Institute of Technology,
Los Angeles, as an engineering staff member, working on the characterization
and development of infrared detectors and solar cells.
Chapter 19
Quantum Dots for Infrared
Focal Plane Arrays Grown
by MOCVD
Manijeh Razeghi and Stanley Tsao
Center for Quantum Devices, Department of EECS, Northwestern University,
Evanston, Illinois, USA

19.1 Introduction
19.1.1 Infrared detection basics
19.1.1.1 Photocurrent
19.1.1.2 Dark current
19.1.1.3 Detector metrics
19.2 QDs for Infrared Detection
19.2.1 Benefits of QDs for ISB detectors
19.2.1.1 High gain and the phonon bottleneck
19.2.1.2 Low dark current
19.2.1.3 Normal incidence absorption
19.2.1.4 Versatility
19.2.2 The potential of QDIPs
19.3 QD Growth
19.3.1 The formation of QDs in the SK growth mode
19.3.2 Properties of SK grown dots and their effect on QDIP performance
19.3.2.1 QD size
19.3.2.2 QD shape
19.3.2.3 QD density
19.3.2.4 QD uniformity
19.4 Device Fabrication and Measurement Procedures
19.5 Gallium Arsenide based QD Detectors
19.5.1 InGaAs/InGaP QDIP
19.5.2 First QDIP FPA
19.5.3 Two temperature barrier growth for morphology improvement
19.6 Indium Phosphide based QD Detectors
19.6.1 InAs/InP QDIP
19.6.2 Detection wavelength tuning using QD engineering

435
436 Chapter 19

19.6.3 High operating temperature QD detector and FPA


19.6.4 High operating temperature FPA
19.7 Conclusion
References

19.1 Introduction
The strong interest in low-dimensional semiconductor structures originates
from their exciting electronic properties, which can have an important
impact on the performance of electronic and photonic devices. Quantum
dots (QDs), also known as quantum boxes, are nanometer-scale islands in
which electrons and holes are confined in 3D potential boxes. QDs are
expected to show a 0D, d-function density of states (DOS) and are able to
quantize an electron’s free motion by trapping it in a quasi-0D potential
confinement. As a result of the strong confinement imposed in all three
spatial dimensions, QDs are similar to atoms and are, in fact, frequently
referred to as “artificial atoms.” Due to this confinement, novel physical
properties will emerge that can lead to new semiconductor devices as well as
drastically improved device performance.
As the particles are confined in all three dimensions, there is no dispersion
curve, and the DOS is dependent only on the number of confined levels. For a
single dot, only two (spin-degenerate) states exit at each energy level, and the
plot of the DOS versus energy will be a series of d functions. Figure 19.1
shows the change of the DOS from a bulk system to the low-dimensional
systems of quantum wells (shown in the figure as QWL), quantum wires

Figure 19.1 DOS of 0D (upper-left), 1D (upper-right), 2D (lower-left), and bulk (lower-right)


systems (reprinted from Ref. 2).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 437

(shown in the figure as QWR) and QDs. The calculation of these DOSs can be
found in an introductory solid state text.1
In QDs, the width of the electron energy distribution is zero in an ideal
case. This means that electrons in those structures are distributed in certain
discrete energy levels, and the energy distribution width is fundamentally
independent of temperature. In real semiconductor structures, due to many
interacting processes such as electron–electron and electron–phonon scatter-
ing (which can also be reduced by QDs due to the lack of phonons to satisfy
the energy conservation—the so-called phonon bottleneck3), a certain width
in the electron energy distribution exists. However, this width is expected to be
much smaller compared to that in bulk and quantum well (QW) systems.
The condition under which novel and interesting electronic properties
occur in a QD-based device is when the lateral size of the QD is smaller than
the coherence length and the elastic scattering length of the carriers.
Additional quantum-size effects require the structural features to be reduced
to the range of the de Broglie wavelength. The advantages in operation
depend not only on the absolute size of the nanostructures in the active region,
but also on the uniformity of size and shape. A large distribution of sizes
would “smear” the DOS of QDs, thus making the DOS more like that of bulk
material. Therefore, the repeatable fabrication of these nanometer-size 3D
quantum structures requires methods with atomic-scale accuracy, which
presents a major challenge for current nanostructure material fabrication
technologies.
The fabrication techniques of QDs can be categorized into top-down
methods that use lithography and etching, and bottom-up methods that use
self-assembly. Examples of top-down methods usually include electron-beam
lithography, dry etching, and, sometimes, patterned substrate regrowth. QDs
can be etched from QW structures via low-energy electron-beam lithography.
Another method of creating QDs is realized by applying voltage to
nanoelectrodes. The spatially modulated electric field created by the voltage
localizes electrons in a small area. QDs can also be created through the
selective growth of a narrow-gap semiconductor material on a patterned wide-
gap substrate. One problem with such top-down methods is the low optical
efficiency of the resultant dots; the high surface-to-volume ratios of these
nanostructures and the associated high surface-recombination rates, plus the
damage introduced during fabrication together impede the successful
formation of high-quality QD devices.
A breakthrough in QD fabrication techniques was self-assembly based on
the Stranski–Krastanov growth mode.4 In this method, the lattice constants of
the substrate and the crystallized material differ greatly, so only the first
deposited monolayer crystallizes in the form of epitaxial strained layers, where
the lattice constant is equal to that of the substrate. When the critical thickness
of the epitaxial layer is exceeded, the significant strain that occurs in the
438 Chapter 19

epitaxial layer leads to the breakdown of this ordered structure and to the
spontaneous creation of randomly distributed islets (e.g., QDs) of regular
shapes and similar sizes. The small sizes of the self-assembled QDs, the
homogeneity of their shapes and sizes in a macroscopic scale, the perfect
crystal structure, and the fairly convenient growth process, without the
necessity to precisely deposit electrodes or etching, are among this method’s
advantages.
QDs are expected to lead to novel semiconductor devices and improve
existing devices’ performance. One successful example is the QD laser. The
main advantages of QD lasers over conventional QW lasers are lower
threshold current density, high gain, weak temperature dependence (high
characteristic temperature T0), and low chirp.5,6 Another application of QDs
is in QD infrared detectors (QDIPs), which is the main focus of this chapter.

19.1.1 Infrared detection basics


Before moving into the discussion of QD detectors, it is necessary to give a
brief introduction to some infrared detection concepts. More detailed
treatments can be found elsewhere.7,8
QWIPs and QDIPs are intersubband (ISB) devices, which are monopolar
devices involving only electrons or holes. Most ISB detectors, particularly
QDIPs, are made n-type (to take advantage of the higher electron mobility).
Basic QWIPs and QDIPs have the structures shown in Fig. 19.2.
The structures are basically the same except with the QWs swapped for
QDs. A schematic of the bandstructure of a QWIP or QDIP is shown in
Fig. 19.3. In interpreting Fig. 19.3 it is important to remember that the
dimensionality of the electron confining potential is not illustrated since the
QD actually has 3D confinement in such a band diagram. This is why we can
say the picture applies to either a QWIP or QDIP. With these two pictures in
mind, let us now consider the basic processes in our detectors.

Figure 19.2 Schematic device structure of (a) a QWIP and (b) a QDIP (reprinted from
Ref. 9).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 439

Figure 19.3 Bandstructure of a QWIP or QDIP under bias (adapted from Ref. 10).

19.1.1.1 Photocurrent
We will start by looking at where the signal comes from in a QWIP or QDIP.
Photon detection occurs when incident infrared light excites an electron in the
ground state out of the QW or QD and into the continuum. There are two
possible paths (depicted in Fig. 19.4) by which the photoexcited electron can
escape from the confining potential. In the first path, the light directly excites
the electron from the ground state to the continuum. In the second path, the
light first excites the electron from the ground state to an excited state. Then,
from the excited state the photoexcited carrier can thermally escape to or
tunnel to the continuum. While under an applied bias, once an electron has
been excited to the continuum, it will be swept toward the contacts and
contribute to the photocurrent.
Also depicted in Fig. 19.4 are the relaxation or recapture paths, which
occur when a photocurrent electron does not exit the well or dot, or does not
reach a contact. While the photoexcitation process just described is caused by
electrons, these relaxation processes are caused by phonons. The time it takes
for a carrier traveling in the continuum to be recaptured into a well or dot is
the carrier lifetime. The ratio of the carrier lifetime to the time it takes for an

Figure 19.4 (a) Schematic of the photoexcitation mechanisms in an ISB detector.


(b) Schematic of the factors that affect the photoexcitation process (reprinted from Ref. 7).
440 Chapter 19

electron to travel across the entire device from contact to contact is defined as
the gain g:
t lifetime carrier lifetime
g¼ ¼ ð19:1Þ
t transit carrier transit time:
A gain greater than 1 means that a photogenerated electron can travel
through the device more than once, creating a greater signal per photoexcita-
tion event, and likewise, increasing the responsivity.

19.1.1.2 Dark current


The dark current is a nonsignal current flow in the detector or current that
flows without light. In imaging applications, low dark current is desired
because the amount of current that can be read at one time is fixed, and it is
preferable for as much of that fixed amount of current as possible to be
photocurrent, not dark current. In an ISB detector there are three primary
dark-current mechanisms (see Fig. 19.5):
A. Thermionic emission: Electrons in the ground state are thermally excited
to the continuum.
B. Thermally assisted tunneling: Electrons are thermally excited to an excited
state and then tunnel out of the excited state into the continuum.
C. Sequential tunneling: Electrons tunnel directly between the well or dot
ground state and eventually reach the contact.
Mechanism A and B are the dominant processes for most of the devices and
operating conditions relevant to our discussion. These two mechanisms are
most strongly affected by the energy level structure of the dot or well, the
operating temperature, and the applied bias.

Figure 19.5 Dark-current mechanisms in QWIPs and QDIPs: (A) thermionic emission,
(B) thermally assisted tunneling, and (C) sequential tunneling (reprinted from Ref. 7).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 441

For typical devices and operating conditions in QWIPs and QDIPs,


generation–recombination (G-R) noise is the dominant noise source. The G-R
noise is determined by
IG2 -R ¼ 4qgD fId , ð19:2Þ
where IG-R is the G-R noise, q is the electron charge, g is the gain, Df is the
noise bandwidth, and Id is the dark current. G-R noise is a result of the
statistical fluctuation in the generation and recombination rates of electrons
between different energy states in the material.

19.1.1.3 Detector metrics


A few of the infrared detector metrics relevant to this chapter are briefly
described here. More-detailed information on IR detector characterization
can be found elsewhere.11
Responsivity R is a signal strength metric defined as the ratio of
photocurrent to incident optical power and can be written as
 
qð1 expð aLÞÞ n0 e Eeff =kT
R¼g , ð19:3Þ
ħv neg þ n0 e Eeff =kT
where q is the magnitude of the charge, a is the absorption coefficient, L is the
width of the active part of the device, v is the photon frequency, g is the gain
[given in Eq. (1.1)], and n0 is an attempt frequency for carrier thermal/tunnel
escape out of the excited states with effective activation energy Eeff. One can
see that good performance requires a high absorption coefficient. The
responsivity (and photocurrent) is often characterized as a function of
wavelength as well, giving the detector’s spectrum.
Related to the responsivity is the quantum efficiency (QE), which is
essentially the responsivity but in quantized units, namely, electrons per
photon. There are two types of QE relevant here, external QE (also sometimes
called conversion efficiency) and internal QE. The external QE hext can be
defined very simply as
Ip
hext ¼ , ð19:4Þ
qF
where Ip is the photocurrent, and F is the incident photon flux. The internal
QE is simply the external QE divided by the gain, and so the internal QE is an
indicator of the effectiveness of the combined effectiveness of photon
absorption and subsequent electron escape in the QW or QD.
The overall performance of a detector is characterized using a signal-to-
noise metric called specific detectivity D , defined as
p
 R ADf
D ¼ , ð19:5Þ
In
442 Chapter 19

where A is the area, In is the noise current, R is the responsivity, and Df is the
noise bandwidth. D is intended to account for variations in detector
operation parameters (size and noise bandwidth) to allow for easy comparison
of the sensitivity of different devices and device technologies.
The final metric to be discussed here is noise-equivalent difference
temperature (NEDT). NEDT is often used as a measure of focal plane array
(FPA) performance to compare different FPAs. It gives the minimum
resolvable temperature difference when viewing a scene and can be defined in
terms of D by the expression
p p
1 ADf 1 ADf
NEDT ¼ 
¼Z , ð19:6Þ
Cd ðDlÞ D dR D
Dl dl
dl
where a thermal variation in an object of DT gives a change in the blackbody
emittance of Cd ðDlÞDT over a spectral range Dl, and dR=dl is the emittance.
A more practical definition in terms of measuring the NEDT of an actual
FPA uses the following two relations:
signalT2 signalT1
SNR ¼ , ð19:7Þ
noise
DT
NEDT ¼ ð19:8Þ
SNR,
where SNR is the signal-to-noise ratio, signalT1 and signalT2 are the
FPA signal levels corresponding to the FPA viewing a blackbody source
at the two different temperatures T1 and T2, noise is the temporal noise
of the FPA signal, and DT is the difference in temperature between T1
and T2.

19.2 QDs for Infrared Detection


Infrared photodetectors have been extensively investigated during the past
several decades for use as the building blocks of FPA imagers that are useful
in military, medical, and civilian applications of infrared detection.12 For earth-
based systems, it is important to have devices that detect in the mid-wavelength
infrared (MWIR, 3–5 mm) and long-wavelength infrared (LWIR, 8–12 mm)
spectral regions, where the atmosphere is mostly transparent to infrared
radiation.
Most MWIR and LWIR photodetector FPAs are based either on
HgCdTe (MCT)13 or QW infrared photodetectors (QWIPs).4,12 Although
bulk materials such as MCT dominate the detector market, they suffer from
major challenges for large 2D arrays. These challenges stimulated the search
for new technologies. MCT FPAs suffer from difficult material growth,
device instability, high array nonuniformity, and very high cost. QWIPs
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 443

have also been used successfully for commercial FPAs. They utilize ISB
transitions for detection and can take advantage of mature III-V compound
growth and fabrication technology. However, one major limitation of
QWIPs is that, due to the transition selection rules, the most widely used
n-type QWIPs are not sensitive to normally incident light and typically have
a narrow response range in the infrared. p-type QWIPs are able to detect
normal incidence light due to band mixing; however, their low detectivity
limits their practical use. Also, QWIPs require cryogenic cooling to
eliminate their high intrinsic dark current.14
QDIPs extend the 1D confinement in QWIPs to 3D confinement. QDIPs
utilize ISB absorption between bound states in the conduction or valence
band in QDs. With high-uniformity and high-density QD layers, QDIPs are
actually predicted to outperform QWIPs15,16 due to their (1) intrinsic
sensitivity to normal incidence light (2) longer photoexcited electron lifetime
due to the phonon bottleneck, and (3) lower dark and noise currents.17 These
benefits will ultimately allow for higher operating temperatures that will
reduce the cost and complexity of detector and imaging systems by reducing
the cooling requirements normally associated with cryogenically cooled
detector systems.
The potential of QD-based detectors has spurred a great deal of research
activity in the area.18 23 QDIPs can be used in FPA-based infrared imaging
systems, which have been widely investigated for MWIR and LWIR
applications.24 27 So far, most QDIPs reported have showed inferior or, at
best, comparable performance to that of QWIPs with similar parameters,
although high-temperature demonstrations with moderate performance are
becoming more common. The major challenge facing QDIPs is the QD
fabrication. To achieve their potential advantages, QDIPs need to have
uniform and high-density QD layers. New device designs for QDIPs are also
required to further improve the technology’s performance as a competitive
infrared photodetector platform.
The Center for Quantum Devices (CQD) at Northwestern University has
many years of experience in QD-based detector and FPA development. Some
of this work is presented later in this chapter. After a brief introduction to
some of the experimental methods, GaAs-based QD devices and FPAs are
discussed, followed by InP-based devices and FPAs. Within each section, the
self-assembled QD growth by metalorganic chemical vapor deposition
(MOCVD) is discussed along with device design and results; where applicable,
FPA imaging results are also presented.

19.2.1 Benefits of QDs for ISB detectors


In the mid-1990s, Ryzhii published the first detailed analysis of the benefits of
using QDs for infrared detection.17 Martyniuk, Krishna, and Rogalski28 and
Phillips29 further developed models of QDIP performance and showed that
444 Chapter 19

QDIPs have the potential for excellent infrared detector performance. The
interest in QDIPs comes primarily from their predicted ability to achieve high
performance at high operating temperatures (near room temperature). High-
temperature operation is an important but difficult technological hurdle for
current photon detectors, which typically require some level of cryogenic
cooling. The high-operating-temperature capability of QDIPs comes from
two QD-related effects: low dark current and high photoelectric gain. QDIPs
also have two other important technological benefits, which will also be
discussed.

19.2.1.1 High gain and the phonon bottleneck


For the operation of ISB detectors, carrier relaxation is an important factor in
detector performance, and the dominant relaxation paths in ISB devices are
phonon mediated. Early theoretical studies of low-dimensional structures
predicted a phenomenon called the phonon bottleneck, where the d-function-
like DOS significantly slows down carrier transitions between energy levels in
a QD system. This is illustrated for carrier relaxation in Fig. 19.6. For the 1D
and 2D cases, there is a continuum of states in at least one dimension, whereas
in the 3D system there is only the fully quantized, d-function-like ground state.
As a result, phonon-mediated processes are less likely to occur since the
phonon energy must exactly match the energy spacing between the QD levels.
Additionally, in a typical QD system, the energy-level spacing for QDs with
only 1 or 2 levels ends up being larger than the typical LO phonon energy, so
there is little opportunity for phonon scattering. Since the phonon-mediated
relaxation paths are blocked, the excited-state carrier lifetimes should
lengthen from the 1–10 ps measured in QW systems to the nanosecond range
predicted for QD systems.
In a detector context, this means that photoexcited carriers will have a
longer lifetime both in excited states and in the continuum. A longer lifetime
gives higher gain, which then yields higher responsivity, since responsivity is
proportional to gain.

Figure 19.6 Illustration of the differences in phonon-mediated electron relaxation in 0D,


1D, and 2D structures (reprinted from Ref. 30).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 445

19.2.1.2 Low dark current


The other primary advantage for high operating temperature is the
expectation that QDIPs will have low dark current. The dominant dark-
current mechanism in ISB devices is typically thermionic emission, which
occurs when an electron is thermally excited out of the well. Other possible
mechanisms are tunneling-assisted thermionic emission and dot-to-dot
tunneling. With 3D confinement and the resultant well-defined quantized
state, the thermionic emission path and the photoexcitation path become one
and the same, competing with each other. Photoexcitation is typically faster
than thermionic emission, causing a reduction in dark current. Also, since
there are only the one or two quantized states in the QD, any thermal
excitation must take the electron out of the QD in only one step, making the
activation energy higher for QD systems. In contrast, in materials with bands,
such as QW detectors, there is a distribution of available states at each energy
level, thus giving more available initial and final states for thermal excitation.
Also, QWs can accommodate a higher carrier density. Martyniuk and
Rogalski8 calculated and compared the ideal dark-current values for HgCdTe
and QDIP detectors and found that, in the MWIR and beyond, at operating
temperatures above 200K, QDIP detectors should have lower dark current
than HgCdTe. This advantage is more than one order of magnitude at room
temperature and in the LWIR regime. This clearly shows the potential for
QDIP performance to outpace HgCdTe performance.

19.2.1.3 Normal-incidence absorption


In comparison to QWIPs, QDIPs have the advantageous capability of absorbing
normally incident light. Most detector applications utilize a normal-incidence
light configuration, where the incoming light signal is traveling perpendicular
to the growth plane of the detector elements and is therefore randomly
polarized in the growth plane. This is illustrated in Fig. 19.7. The strength of
the absorption of the incident light is related to the oscillator strength, which
can be written as
f / jhF j!
12 1 « !p jF ij2 / jhF j½« ð@=@xÞ þ « ð@=@yÞ þ « ð@=@zÞjF ij2 ,
2 1 x y z 2

ð19:9Þ
where F1 and F2 are the electron envelope functions, « is the polarization
vector for the incident infrared light, and the p is the momentum operator.
For normally incident light, «x and «y are nonzero, and «z is zero. In the QW
case, F1 and F2 are only functions of z (the confined dimension). For the
oscillator strength, in the x and y directions the partial derivative goes to
zero, and in the z direction «z is zero; therefore, f12 is zero. In the QD case, F1
and F2 are functions of x, y, and z; therefore, even though «z is zero, the first
446 Chapter 19

Figure 19.7 Schematic of the polarization sensitivity of (a) QD and (b) QW absorption
(reprinted from Ref. 7).

two terms are nonzero and contribute to the oscillator strength for normal
incidence.
In QWIPs the normal incidence limitation is overcome by placing a grating
on the detector surface that redirects the light, changing the polarization
orientation. In QDIPs with normal-incidence absorption, this extra, nontrivial
processing step could be removed, simplifying the device processing. Also, the
polarization sensitivity limits the ultimate QE of a QWIP to 50%, whereas an
ideal QDIP would not have this limit on the QE.

19.2.1.4 Versatility
This last advantage of QDIPs is not a performance advantage but a design or
technology advantage. Compared with bulk and QW detectors, QDIPs have
more numerous adjustable design parameters and thus greater potential
versatility. For example, to tune the wavelength, the main adjustment
parameter for bulk systems is material composition. In a QW system the
parameters are material composition and well thickness, with strain being a
secondary parameter. Finally, in a QD system, material composition, strain,
and QD size and shape can all be used to tune the wavelength; there is larger
number of available “knobs to turn” to achieve the desired outcome.
However, this can be a double-edged sword if the parameters are difficult to
control, which is, in fact, the case for QDs, as is discussed in Section 19.3 on
QD growth.

19.2.2 The potential of QDIPs


If fully realized, the above advantages make QDIPs a very promising
technology for infrared imaging applications. This can be seen in the
calculations by Martyniuk, Krishna, and Rogalski28 that take these advantages
into account in a review of QD-based detector technology where the authors
show that the ultimate predicted QDIP detectivity would be comparable to or
better than HgCdTe detectors, especially at an operating temperature of 300 K
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 447

and for LWIR detection where the D for QDIPs was predicted to be more than
10 higher than for HgCdTe. However, they also showed that the
demonstrated QDIPs in the literature are all still achieving D values at least
an order of magnitude lower than this ideal, predicted value.

19.3 QD Growth
The biggest hurdle in the study of low-dimensional semiconductor systems has
always been the physical realization of such systems. Since the relevant feature
sizes for these systems are at the nanometer level, even slight imperfections in the
material can mask or eliminate any quantum size effects. For example, in early
experimental investigations of QW systems, low-quality well material and rough
well-barrier interfaces prevented the observation of quantum-sized effects. These
problems were overcome with the advent of molecular beam epitaxy (MBE) and
metalorganic chemical vapor deposition (MOCVD) technologies. After much
progress was made in QWs, attention turned toward structures with lower
dimensionality, but QDs faced similar fabrication challenges. For detector
applications, QD systems should have the following qualities:
• QDs of appropriate size
• uniform QDs in high-density arrays
• defect-free QDs
Creating QDs with these qualities proved difficult by methods such as
direct patterning using electron-beam lithography. A key innovation in QD
formation was the rediscovery and application of the Stranski–Krastanov
(SK) growth mode, which is a 3D crystal growth mode that can occur in the
epitaxy of III-V materials. Of the available methods for creating QDs, the use
of the SK growth mode comes closest to meeting the aforementioned three
main requirements for QDs in detectors. This section looks more closely at the
SK growth mode, which is also referred to as QD fabrication by self-assembly.

19.3.1 The formation of QDs in the SK growth mode


The SK growth mode occurs for lattice-mismatched materials where the QD
material is grown on a substrate or matrix layer with smaller lattice constant.
The first few deposited monolayers of the QD material grow in a flat, layer-
by-layer fashion. This flat layer is called the wetting layer. Since the QD
material is lattice mismatched, the wetting layer is pseudo-morphically
strained, and the strain builds up with increasing material deposition. Beyond
a certain critical thickness, the wetting layer spontaneously reorganizes, and
continued deposition of material results in the growth of 3D dot features on
top of the thin wetting layer. This process is illustrated in Fig. 19.8. SK
growth typically occurs when the lattice mismatch is around 3 to 10% (though
these limits are not well defined). The most-studied SK QD growth in III-V
448 Chapter 19

Figure 19.8 Illustration of the Stranski Krastanow growth mode (reprinted from Ref. 7).

materials is In(Ga)As on GaAs. Other systems that have been studied include
InAs on InP, Sb-based III-Vs, and III-nitrides.
The SK growth process can be understood by considering the interplay
between the surface, interface, and strain energies in the configuration.
Initially, the sum of the epilayer surface energy and the interface energy is
lower than the surface energy of the matrix; therefore, it is favorable to have
layer-by-layer growth of the QD material. After several monolayers of
deposition, the buildup of strain energy makes it no longer favorable to have
flat, layer-by-layer growth. With this built-up energy, the material needs to
relieve the strain and does so by forming 3D dot structures.
The key factor here for optoelectronic devices is that the relaxation
process in SK growth can be controlled to produce defect-free (also called
coherent) QDs. This coherent relaxation was first noted in the mid-1980s.4
Because the dot material experiences a compressive strain, when the coherent
relaxation takes place, the lattice constant expands toward the dot edges, as
illustrated in Fig. 19.9. This coherent relaxation means that the QDs grown
by SK mode do not have the defects that reduce the 3D confinement in other
QD fabrication methods.

19.3.2 Properties of SK-grown dots and their effect on


QDIP performance
The most important QD parameters are: size, shape, density, and uniformity.
We will discuss the significance of each parameter for detector performance
and the typically achieved growth result from SK growth.

Figure 19.9 Schematics illustrating (a) an SK dot that has relaxed via defect formation and
(b) an SK dot that has experienced coherent relaxation and thus has no defects (reprinted
from Ref. 7). (See color plate section.)
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 449

19.3.2.1 QD size
In order for QDs to be useful, they must be of an appropriate size. The
absolute minimum size for a dot is the smallest size for which there will still be
one electron energy level in the dot. For a spherical dot, this size is31

Dmin ¼ q : ð19:10Þ
ð2mq DEc Þ

In practice, the dot must actually be larger than this minimum size in
order to provide strong carrier localization at nonzero temperature. This is
easily achieved in SK growth. The challenge, then, is in not surpassing the
maximum useful dot size. The maximum size has been surpassed when the
QDs have more than 1 or 2 energy levels within the dot and no longer show
strong 3D confinement effects. When there are more than two energy levels
in a dot, these additional levels can break the phonon bottleneck and dark-
current reduction. Also, if the dot is too large, the energy levels become
more widely spread, further reducing the phonon bottleneck. QDIP device
models showing good performance have been based on dot sizes around
15 nm.28,29 In the literature, QDs grown by SK self-assembly vary from
about 10 to 50 nm for coherent dots.
Size control of the QDs is also important for controlling the position of
the excited-state energy level of the QD relative to the continuum. If the
excited state is too deep in the dot, a high bias may be required to extract a
photoexcited electron from a QD. This is the case for the calculated dot
configurations shown in Fig. 19.10.

19.3.2.2 QD shape
Along with the size, the shape of the QD is important in determining the
energy level structure. “Ideal” QDs are spherical or at least symmetrically
shaped, but often this is not the case for SK grown dots, which tend to have
pyramidal or lens-like shapes that are flattened in the growth plane (i.e.,
wider than they are tall). If large enough, this asymmetry can result in a
polarization-dependent absorption because the confinement becomes more
like that in QWIPs. The shape of the QD is not as easily controlled as the
QD size.

19.3.2.3 QD density
The QD density in SK growth is determined by the QD nucleation
process and subsequent ripening. During SK growth, the adatom
mobility on the growth surface strongly determines how closely together
the QDs will nucleate. For example, most growth experiments have
shown that decreasing the growth temperature can increase the QD
density. Since the adatom mobility is reduced at lower temperature, a
450 Chapter 19

Figure 19.10 Example calculations of energy levels and oscillator strengths for InGaAs
dots in InGaP barriers for dots with (a) a tall and narrow shape and (b) a flat and wide shape
(reprinted from Ref. 7). (See color plate section.)

given adatom cannot travel as far to find a favorable nucleation center,


so, on average, the nucleation centers will be closer together. After the
nucleation finishes, additional deposition of material will only grow the
existing dots and not nucleate new ones. After nucleation and dot growth
and without further deposition of dot material or a cap layer, the dot
array will either tend to stabilize or undergo ripening, depending on the
growth conditions. Under stable conditions, the dots will reach an
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 451

equilibrium size and spacing. Under conditions that favor ripening, the
dots will migrate and merge with one another, reducing the density and
creating dots that either are too large for 3D confinement effects or relax
incoherently via defects.
For detector applications, a high density of QDs above 5  1010 dots/cm2
is desired. For SK growth of In(Ga)As dots on GaAs substrate, densities of up
to 1011 dots/cm2 have been demonstrated, though densities in the low or mid-
1010 range are more typical.
The QD density affects both the absorption and transport characteristics
of a QD detector. Phillips formulated the absorption coefficient a in a QDIP
as follows:29
" #
n1 s QD ðE EG Þ2
aðEÞ ¼ A exp ½cm 1 , ð19:11Þ
D s ens s2ens

where E is the energy, A is the maximum theoretical absorption coefficient, n1 is


the areal density of electrons in the QD ground state, D is the QD density, EG
is the ground-to-excited-state transition energy (for a two-level QD system);
s QD and s ens are the standard deviations in the Gaussian lineshape for ISB
absorption in a single QD and for the distribution in energies for the QD
ensemble, respectively. The n1=D term deals with the reduction in absorption
due to lack of electrons in the ground state, and the sQD=s ens term deals with
the reduction in absorption due to dot-to-dot non-uniformity, which will be
discussed later. We have stated that a high density of QDs is desired for good
performance; however, in Eq. (19.11), the density is in the denominator. This is
because density cannot be considered independently of the electron occupation
in the dots, which comes into the equation via the n1 term.
The density also relates to the interdot spacing, which affects the
amount of lateral interaction between dots in the same layer. Ryzhii’s
QDIP model17,32 predicts that the best QDIP performance occurs for QD
arrays whose dots have a small coupling, giving rise to a miniband. This
assures that the charge distribution and potential in the plane will be
uniform. For low dot densities, the QDs behave as localized changes in the
potential, with the spaces between acting as punctures where current can
flow easily.

19.3.2.4 QD uniformity
In a QDIP device, the uniformity of the QDs has a very strong correlation
with device performance. The nonuniformity of the dots can occur in any of
the material parameters such as strain, composition, shape, or size, but size is
usually considered the most dominant and is also the most easily quantifiable
via methods such as atomic force microscopy (AFM) or transmission electron
microscopy (TEM). One source of size nonuniformity is the presence of
452 Chapter 19

incoherent, relaxed dots in an array. These are usually of much lower density
than coherent dots but are significant due to their very large size and potential
to create defects in subsequent layers. These defect dots can be almost
completely avoided under good growth conditions. The main source of
nonuniformity is the Gaussian distribution of the size of the coherent dots.
There are two possible “dimensions” of nonuniformity to consider; we first
discuss layer-to-layer nonuniformity, then we look at nonuniformity within a
single layer.
In a real QDIP, in order to obtain a sufficiently high volume density of dots, it
is necessary to stack QD layers on top of one another in the same way QWIPs
consist of stacks of QWs. The layer grown on top of the QDs to cover them is
called the cap layer. Fortunately, for device applications, the strain profile of the
SK QDs results in preferential growth such that the cap layer returns to a flat
surface once the QDs have been completely covered, facilitating the stacking of
more dot layers on top. This flattening process is illustrated in Fig. 19.11.
For the stacking of dots, the layer-to-layer spacing is important because
below a certain thickness the strain field of the buried dot layer will cause the
QDs in subsequent layers to vertically align with the dots below. For general
detector applications, this is not desired because aligned dots tend to
increase in size with stack number, have lower spatial coverage due to
nonrandom positioning, and may increase the probability of dot-to-dot
tunneling, increasing the dark current. For sufficiently thick spacings, and if
there are neither excess strain nor defects, each dot layer grows more or less
independently of the another, with each layer having similar in-layer dot
uniformity.
In the literature, the standard deviation in size for SK-grown QDs is
around 10%. Optical, electrical, and structural measurements on QD arrays
suggest that the variation is a Gaussian distribution. One possible cause for
this nonuniformity is asynchronous nucleation of islands due to local
variations in the thickness of the QD layer.33 This amount of nonuniformity
can significantly affect the detector performance. Recall from Eq. (19.11) that
the relevant measure of nonuniformity is the ratio of the linewidth of a single
dot to that of the entire array s QD=sens. Increased nonuniformity in the dot
array will broaden the spectral response, resulting in a lower peak responsivity
(although the integrated responsivity will be similar to a more uniform array).

Figure 19.11 Schematic illustrating the mechanism for flat cap layer growth (reprinted from
Ref. 7).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 453

Values of 0.01 for sQD=s ens are typical for current fabrication technology and,
according to Phillips’ modeling results,29 cause an order of magnitude
decrease in detectivity from the ideal case of s QD=sens ¼ 1.

19.4 Device Fabrication and Measurement Procedures


To test the detector performance, 400  400 mm2 mesas were fabricated using
electron cyclotron resonance reactive-ion etching to etch through the top
contact and active region down to the bottom contact layer. For GaAs-based
devices, AuGe/Ni/Au bottom and top metal contacts were made via a lift-off
technique and alloyed at 375 8C for 3 min. For InP-based devices, Ti/Pt/Au
bottom and top metal contacts were made via a lift-off technique and alloyed
at 400 8C for 2 min. The sample was then mounted on a copper heatsink or
leadless chip carrier and attached to the cold finger of a cryostat cooled with
liquid nitrogen and capable of varying the sample temperature between 77 K
and room temperature. The spectral response of the QDIP was tested on a
Fourier-transform infrared (FTIR) spectrometer. The peak responsivity was
determined using a calibrated blackbody source at 800 8C modulated at 400
Hz. A 2- to 12-mm optical bandpass filter plus a ZnSe or Ge cryostat window
suppressed near-infrared radiation from the blackbody. The noise current
was measured with a fast Fourier-transform spectrum analyzer and a low-
noise-current amplifier. The dark current was extracted using a semiconductor
parameter analyzer. For both the noise-current and dark-current measure-
ments, the device was covered with a cold shield held at the detector operating
temperature. The specific detectivity D was calculated from D ¼ Rp
(ADf)1=2=In, where Rp is the peak responsivity, A is the detector area, Df is
the bandwidth, and In is the noise current. The noise gain g was extracted from
the noise In and dark current Id using the expression g ¼ In2=4eId. The QE h,
which can be obtained from the relation h ¼ Rphn=qg, where hv is the
incoming photon energy, q is the charge of the carrier, and g is
the photoconductive gain. As a good approximation, the noise gain can be
used instead of the photoconductive gain.34
The FPA was fabricated as follows. The pixel definition and metallization of
the FPA were essentially the same as the test detector array fabrication, utilizing
conventional UV photolithography, electron cyclotron resonance reactive-ion
etching, and metallization via electron-beam metal evaporation. After array
fabrication, the readout integrated circuit (ROIC) needed to be hybridized to the
FPA. The first step in this process was the creation of indium bumps on both
the FPA and the ROIC dies. A thick photoresist layer with undercut profile
suitable for lift-off processing of a thick indium layer was applied to the dies using
a multilayer resist and a chlorobenzene soak method. After the photoresist
patterning, indium bumps were deposited on each die via thermal evaporation.
Then lift-off was performed by soaking in acetone. After a thorough sample
454 Chapter 19

cleaning process, the dies were flip-chip bonded and underfilled with epoxy.
Then, the FPA substrate was thinned using mechanical lapping and polishing.
Finally, the hybridized die was mounted in, and wire bonded to, a leadless
ceramic chip carrier. A more detailed description of the FPA fabrication can be
found in Refs. 19 and 24.
The FPAs were tested on a CamIRaTM infrared FPA evaluation system
made by SE-IR Corp. The FPA hybrid was mounted on the cold finger in liquid
nitrogen cryostat. The cryostat window was a 3- to 12-mm broadband Ge filter.
A Janos Technology Asio MWIR lens with f/2.3 was used. In the following tests,
the background temperature was 300 K. Varying operating temperatures, biases,
and frame rates were used. For the GaAs work, a Litton ROIC was used, whereas
in our InP FPA work, an Indigo 9705 ROIC was used. The FPA response was
characterized using an extended-area blackbody from CI Systems. The current
injection efficiency was estimated from the single-detector measurements as
described in Ref. 24. The temporal noise of the FPA was measured by taking the
standard deviation of the FPA signal. A common metric for FPA performance is
the noise-equivalent temperature difference (NEDT). In order to measure the
NEDT, the FPA signal is measured for two different temperature targets
provided by the extended-area blackbody (25 and 35 8C). The differential signal
for the two temperatures divided by the temporal noise gives the SNR, and the
target temperature difference divided by the SNR gives the NEDT.

19.5 Gallium-Arsenide-based QD Detectors


19.5.1 InGaAs/InGaP QDIP
The earliest demonstration of a QDIP at CQD was reported in 1998 using
InGaAs QDs in InGaP barriers lattice matched to GaAs substrate.35 The
InGaAs/InGaP QDs were grown on semi-insulating (100) GaAs substrates by
low-pressure MOCVD (LP-MOCVD). A 5000-Å-thick Si-doped nþ GaAs
bottom contact layer (n ¼ 1  1018 cm 3) was first grown on the GaAs substrate,
then 1000 Å of undoped lattice-matched InGaP was deposited. The active region
was composed of ten stacks of InGaAs QDs separated by 350 Å of InGaP barrier.
The InGaAs QDs were formed on the InGaP surface by flowing the sources for
several seconds and then interrupting growth for 60 s. The growth rate was
0.8 ML/s, and the V/III ratio was 300. The dots were doped with silicon by
supplying SiH4. After the deposition of the 10 stacks of dots, a 1500-Å undoped
InGaP layer and a GaAs top contact layer (n ¼ 8  1017 cm 3) were grown. The
entire structure was grown at 480 8C. Based on these growth conditions, a single
layer of InGaAs dots on InGaP was grown in order to examine the formation of
the dots. A planar AFM image of the dots is shown in Fig. 19.12. The average size
of the dots was measured at 16 nm in radius, and the shape of the dots was
spherical rather than pyramidal. The areal density of the dots was estimated at
about 3  1010 cm 2.
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 455

Figure 19.12 A planar AFM scan of closely packed InGaAs QDs on an InGaP matrix
(1 mm  1 mm) showing spherical dots (reprinted from Ref. 35).

A Fourier transform photoluminescence spectrometer was used to


measure the luminescence from the dots at various temperatures. Samples
were excited by a 488-nm Arþ laser with an excitation power of 2 W/cm2, and
the signal was collected by a cooled Ge detector. At low temperature, a well-
resolved luminescence peak from the wetting layer was observed at 1.23 eV
(1.0 mm) in addition to the central peak at 1.024 eV (1.21 mm), which results
from the e1–hh1 electron and hole ground state of the InGaAs QDs. The peak
from the wetting later decreased with increasing temperature and disappeared
above 150 K. Strong room-temperature luminescence was observed at 0.96 eV
(1.29 mm) from the e1–hh1 ground state. The full-width at half-maximum
(FWHM) of the peak was 76 meV and was constant throughout the
temperature range from 77 to 300 K. The photoluminescence spectra are
shown in Fig. 19.13.
Figure 19.14(b) shows the detector responsivity of a sample at various
temperatures. The spectra show the ISB transition at 5.5 mm at 77 K with the
peak responsivity of 0.067 A/W at 2-V bias. The cutoff wavelength was
6.5 mm at 77 K. The photoconductive signal was observed up to 130 K.
Beyond this temperature, the spectral response degraded due to the rapid
increase of noise with temperature. The spectral FWHM of 48 meV (dl/l ¼
20%) was independent of temperature up to 110 K. The large broadening of
the spectrum was attributed to the dispersion of the electron confinement
energies of dots due to the size fluctuation.
The measured noise current, In ¼ 1.49  1010 A Hz 1/2, resulted in a peak
detectivity of 4.74  107 cm Hz1/2/W at 5.5 mm at 77 K with 2-V bias. The
456 Chapter 19

Figure 19.13 Photoluminescence spectra of the 10-stack InGaAs QD photodetector


structure at 77 and 300 K (reprinted from Ref. 35).

Figure 19.14 (a) Schematic diagram of the QD photoconductive detector structure.


(b) Responsivity of the QD photoconductive detector at various temperatures (reprinted
from Ref. 35).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 457

Figure 19.15 The bias-voltage-dependent responsivity of the InGaAs/InGaP QD photo-


conductive detector at 77 K (reprinted from Ref. 35).

measured responsivity increases linearly with bias at low bias voltage and
saturates at around 4.5 V, as shown in Fig. 19.15. This may be due to the
saturation velocity of the generated carriers in a large electric field.

19.5.2 First QDIP FPA


Several years after the initial demonstration of a QDIP36 and the demonstration
at CQD by Kim et al.,35 the investigation of QDIP devices increased, and
performances were reaching levels where imaging demonstrations would be
possible. The first QDIP imaging came from a raster scan system in 2002.37 By
leveraging its prior development of QWIP FPAs,38 CQD produced the first
QDIP-based FPA in 2004.24 The 256  256 MWIR FPA was based on an
InGaAs/InGaP QDIP structure reported by Jiang et al.19 and described below.
An LP-MOCVD reactor was used to grow the InGaAs QDs on semi-
insulating (100) GaAs substrate. The device structure was as follows. The first
grown layer was a 0.5-mm bottom GaAs contact layer doped with SiH4 to n ¼
11018cm 3 followed by a 0.1-mm lattice-matched InGaP thick barrier. Next
grown was the active region consisting of 10 barrier layers of undoped lattice-
matched InGaP confining 10 GaInAs QD layers. The nominal thickness of the
barriers was 350 Å. The InGaAs QDs were formed on top of the InGaP matrix
(barrier) by self-assembly based on the SK epitaxial growth mode. The growth
time for InGaAs QDs was 5 s, and the ripening time was 30 s with AsH3 flow. The
growth rate and V/III ratio of InGaAs QDs were 0.68 ML/s and 480, respectively.
The dot density, as given by AFM, was 2.7  1010 cm 2. The InGaAs dots had a
disc-like shape 20 nm in diameter and 4 nm in height. The InGaAs QDs were
doped n-type with dilute SiH4 (200 ppm) with a flow rate of 50 sccm. Last grown
was a 0.15-mm lattice-matched InGaP thick barrier and a 0.5-mm top contact layer
of GaAs doped to n ¼ 11018 cm 3. The whole structure was grown at 480 8C
458 Chapter 19

except for the active region, which was grown at 440 8C. The major improvements
over our previous InGaAs/InGaP QDIP structure32 were that the active region
was grown at lower growth temperature and the doping level of the QD layer was
optimized to give the maximum photoresponse.39 Also, the InGaP barrier was
grown with a slower growth rate (1.3 Å/sec) to improve the morphology and
reduce the occurrence of defects.
The dark current Id of the QDIP mesa was measured as a function of bias
Vb at different temperatures (see Fig. 19.16). Also shown in Fig. 19.16 is the
300-K background (BG) photocurrent with a 150-deg field of view (FOV).
The background-limited performance (BLIP) temperature was measured to be
140 K for the 2.6 V < Vb < 3.7 V range. For 0 V < Vb < 2 V, the dark
current did not show any increase from T ¼ 30 K to T ¼ 140 K. At Vb ¼ 1
V, dark current increased less than two orders of magnitude from T ¼ 30 K to
120 K. Positive threshold voltages seemed to exist. For T ¼ 30, 50, 77, 95, 120,
and 140 K, the threshold voltages were 4.8, 4.2, 3.2, 2.5, 2.1, and 1.7 V,
respectively. Above threshold voltages, dark current increased exponentially.
This behavior is similar to the dark current shown in bound-to-bound QWIPs
and may be due to the complex tunneling process caused by the high-field
domain formation.15 Overall, very low dark current was observed for this
QDIP. At a bias of 0.1 V and T ¼ 120 K, dark current of 0.98 pA was
observed, which corresponded to a current density of 6  10 10 A/cm2. A very
asymmetric I-V relationship was also observed in this QDIP, especially at
lower temperature ranges. At T ¼ 77 K and bias of 2 V, the Id was 5.1 pA,
while the Id was five orders of magnitude higher at bias of 2 V (0.43 mA). The
properties observed in our QDIP were very different from the dark-current

Figure 19.16 Dark current measured as a function of bias for an InGaAs/InGaP QDIP at
different temperatures (reprinted from Ref. 19).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 459

characteristics of QDIPs reported by other groups.40 According to Ryzhii’s


QDIP dark-current model, the dark current increases rapidly with temperature
due to its exponential dependence on temperature.17,39 Except for the existence
of a threshold voltage, which was predicted in Ryzhii’s model, the dark-current
behavior of our QDIP was not in agreement with Ryzhii’s model. At
temperatures below 140 K, the major contributor to the dark current seems to
be from tunneling current instead of thermionic emission current. In addition,
the strong asymmetry of the dark current for our QDIP also does not agree with
Ryzhii’s model due to several factors. First, the asymmetry of the device
heterostructure (different bottom and top thick InGaP barrier thickness)
contributed in part to the asymmetry of the dark current. Second, the dopant
diffusion in the QD layer during the material growth created a small built-in
electric field. Third, the metal contact for this QDIP might not be ideally ohmic.
This factor was eliminated after we verified the I-V curve of metal contact,
which showed very good ohmic behavior.
The QDIP photoresponse was measured and showed a peak at 4.7 mm with a
cutoff at 5.2 mm. The spectral width (Dl/lpeak) was 14%, which originated from
the bound-to-bound ISB absorption (see Fig. 19.17). The shape, peak, and cutoff
of this QDIP showed negligible change with varying temperature (from T ¼ 30 K
to T ¼ 160 K) and bias (from Vb ¼ 1 V to Vb ¼ 3 V). At a bias of zero volts and
T ¼ 95 K, a nonzero peak responsivity of 24 mA/W was observed, which further
proved that a built-in electric field exists in our QDIP structure (see Fig. 19.18).
Interestingly, our QDIP showed higher peak responsivity at T ¼ 95 K than that
where T ¼ 77 K in almost the entire bias range ( 5 V < Vb < þ5 V). At T ¼ 95 K

Figure 19.17 Relative spectral response of the InGaAs/InGaP QDIP structure (reprinted
from Ref. 19).
460 Chapter 19

Figure 19.18 Peak responsivity as a function of bias for a QDIP at T ¼ 77 K and T ¼ 95 K


(reprinted from Ref. 19).

and bias of 5 V, very high peak responsivity of 3.1 A/W was observed for our
QDIP. Due to the low dark current, photoresponse with a peak responsivity of
6.7mA/W was observed at a temperature of T ¼ 200 K with Vb ¼ 0.7 V.
After measuring the noise current, the detectivity of our QDIP as a function
of bias was measured at both T ¼ 77 K and T ¼ 95 K and is shown in Fig. 19.19.
The highest detectivities of our QDIP were 3.67  1010 cmHz1/2/W at bias 1.6 V
and 3.62  1010 cmHz1/2/W at bias 1.4 V for T ¼ 77 K and T ¼ 95 K,

Figure 19.19 Peak detectivity as a function of bias for a QDIP at T ¼ 77 K and T ¼ 95 K


(reprinted from Ref. 24).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 461

respectively. Figure 19.19 shows that our QDIP performance did not degrade
from 77 K to 95 K. At T ¼ 95 K and bias 1.6 V, g was found to be 823, and h was
found to be 2.0  10 4. The very high gain value can be attributed to the very long
lifetime of the photocarriers inside QDs.41 The very low QE for our QDIP was
due to the smaller fill factor of QDs across a single epitaxial layer. In addition, the
electrons are better confined in dots than in QWs.
After taking the single-element QDIP detector measurement described
above, a 256  256 detector array was fabricated from the same wafer. Our
first InGaAs/InGaP QDIP FPA gave acceptable imaging with 90% of the
pixels being operational. The measured NEDT was 509 mK at an operating
temperature of 77 K and bias of 1.6 V. The higher NEDT shown in the
actual FPA might be due to nonuniformity of the array pixels.15 Since only
2-mm-tall indium bumps were used for the QDIP array pixels, some pixels
did not have a good connection with the readout units during the flip-chip
bonding (with a bonding pressure of 12 kg). This resulted in about 10%
nonoperational pixels. In addition, since only mechanical polishing was used
for the FPA substrate thinning, some pits and defects on the surface might
create scattering centers that reduce the photoresponse of QDIP pixels. Like
QWIPs, our QDIPs are also high-impedance devices. They yield a very high
current-injection efficiency; based on the QDIP test mesa, the current
injection efficiency was calculated to be 90% at a bias of 1.6 V, temperature
of 77 K, and frame rate of 35 Hz.
Due to the high-temperature performance of our QDIPs, thermal imaging
can be achieved at temperatures as high as 120 K. Figure 19.20 shows a

Figure 19.20 Thermal image of a hot soldering iron head taken by a QDIP FPA camera
operating at T ¼ 120 K (reprinted from Ref. 42).
462 Chapter 19

thermal image of a hot soldering iron head that was taken at T ¼ 120 K
without bad pixel replacement or two-point correction.
It should be noted that our first GaInAs/GaInP QDIP FPA has not been
fully optimized. First, the Litton ROIC with direct-injection readout was
designed for MWIR InSb photodiode FPAs, so this ROIC is definitely not the
best choice for our QDIP FPA. Second, no antireflection coating was used on
the backside of FPA substrate (GaAs), resulting in about a 30% reflection
loss. Third, no passivation layer was used for the QDIP detector pixels.

19.5.3 Two-temperature barrier growth for morphology


improvement
One of the challenges faced while developing the InGaP/InGaAs/GaAs QDIP
system was maintaining a smooth surface morphology over several stacks of
QD layers. When the QDs were covered by InGaP grown at the same
temperature as the QDs, the morphology of the surface after the deposition of
the InGaP material was generally poor [see Fig. 19.21(b)]; thus, the roughness
of the layers kept increasing after each period of the multistack device structure.
Although a detector grown in such conditions had a good performance, as
previously demonstrated,43 successive QD layers of the structure are not grown
in the same conditions, and the final roughness of the device would make it
difficult to fabricate a resonant cavity if one desired to increase the QE of such a
device. To improve the morphology, one can cover the QDs with a 6-nm InGaP
layer at 440 8C, and then increase the growth temperature up to 480 8C to
provide better growth conditions for the remainder of the barrier. In this
way, the roughness of the surface after the barrier growth can be reduced from

Figure 19.21 (a) 11 mm2 AFM of a single layer of uncapped InGaAs QDs on an InGaP
matrix, showing a dot density of about 3  1010 cm2. (b) and (c) 5  5 mm2 AFM images of
the surface in (a) when the InGaAs QDs were capped (b) with a 35-nm InGaP layer grown at
440 8C and (c) with a 6-nm-thick InGaP layer grown at 440 8C and then 29 nm of InGaP layer
grown at 480 8C. The root-mean-square (RMS) roughness of the surfaces of (b) and (c) are
6.0 nm and 1.9 nm, respectively (reprinted from Ref. 42). (See color plate section.)
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 463

6.0 nm (when the entire barrier was grown at low temperature) to 1.9 nm [see
Figs. 19.21(b) and (c)].
Photoluminescence (PL) measurements can provide useful information on
the interband transitions in the QDs, although it does not reveal any
information about the ISB transitions, which are directly related to the QDIP
operation. However, the PL data can be used to check the optical quality of
the buried QDs. Room-temperature measurements were performed on the
capped samples described in Figs. 19.21(b) and (c). The peak luminescence
wavelength was the same for both samples, meaning that the two-step barrier
growth technique does not have a dramatic effect on the size or In
composition of the QDs, possibly resulting from an enhancement of In–Ga
intermixing. However, when the InGaP barrier was grown at two different
temperatures, the intensity of the PL spectrum was two times higher than for
the single, low-temperature barrier sample, confirming that the growth of the
upper part of the barrier at a higher temperature improved the material
quality (see Fig. 19.22).
To test the effect of this growth technique on the device performance, two
QDIP devices were grown and based on the two dot and barrier growth
conditions described in Fig. 19.21(b) and (c). A 0.5-mm-thick GaAs:Si (n ¼
5  1017 cm 3) bottom contact layer was initially deposited at 480 8C on a
semi-insulating GaAs (100) substrate, followed by a 100-nm-thick In0.49
Ga0.51P layer lattice matched to the GaAs bottom contact layer. The active
region consisted of ten In0.68Ga0.32As QD layers separated by 35-nm-thick
In0.49Ga0.51P barriers. Finally, an InGaP layer followed by a top GaAs:Si
contact layer identical to the bottom ones were deposited at 480 8C to
complete the structure. The InGaP barriers of device A [see Fig. 19.23(a)]

Figure 19.22 PL spectra of the two samples described in Figs. 19.21(b) and (c), whose
upper InGaP barrier was grown (a) at 400 8C (dashed line) and (b) at 2 different
temperatures: 440 and 480 8C (continuous line) (reprinted from Ref. 42).
464 Chapter 19

Figure 19.23 Schematic diagram of QDIP structures with an active region grown by
(a) single-step barrier growth and (b) two-step barrier growth. AFM images of the surfaces of
the first period of a QD layer capped with the InGaP barrier and top surface of the devices
are shown to the right of the diagrams (reprinted from Ref. 42).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 465

were grown at the same temperature as the QDs themselves (440 8C), by what
is called ‘single-step barrier growth.’ In device B [see Fig. 19.23(b)], 6 nm of
InGaP material was deposited at 440 8C, followed by 29 nm of InGaP grown
at 480 8C, by ‘two-step barrier growth.’ The morphology of the samples was
investigated with a Dimension 3100 AFM operating in ambient conditions
and tapping mode. Figure 19.23 shows the schematics of the device structures
and AFM images of the surfaces of the first period of a QD layer capped with
the InGaP barrier and top surface of the two devices.
The spectral response and peak responsivity measured at 120 K are
shown in Fig. 19.24 for the two devices. Both devices had a response peak
around 4.7 mm, which was consistent with the fact that the QDs
were always directly covered by an InGaP cap layer deposited at low
temperature. The spectral width Dl/lpeak was 7% and 19% for devices A
and B, respectively. This increase was most probably related to the higher
temperatures used for the second part of the InGaP barrier of device B. The
peak responsivities at 120 K are also shown in Fig. 19.24. At low bias, the
signal varies by several orders of magnitude, consistent with a bound-to-
bound transition and with the extraction of the photoexcited carriers out of
the QDs by voltage-assisted tunneling. Device B exhibited a much higher
responsivity than device A at positive bias. Under such experimental
conditions, the photoexcited electrons escape from the QDs into the upper
barriers that are of better quality in devices B as a consequence of the
higher growth temperature.
The noise of the dark current was measured at 120 K and is shown in
Fig. 19.25. A floor around 4  10 4 A was observed in all of the curves and
was due to the instrumental limitations of the experimental setup. At negative
bias, the dark current and noise of device B were significantly lower than those

Figure 19.24 (a) Normalized spectral response of QDIP devices A and B at 120 K.
(b) Peak responsivity of the QDIPs as a function of bias voltage at 120 K (reprinted from
Ref. 42).
466 Chapter 19

Figure 19.25 (a) Noise of the dark current as a function of bias voltage at 120 K. At
low bias, the signal is limited by the experimental setup around 4  1014 A. (b) Specific
peak detectivity of the QDIPs as a function of bias voltage at 77 K and 120 K (reprinted from
Ref. 42). (See color plate section.)

of device A, at both 77 K (not shown here) and 120 K. The noise of device A
takes off from the floor around 0.5 V, whereas the noise of device B starts to
increase only below 1.5 V.
The noise and detectivity are shown in Fig. 19.25 for devices A and B at
77 K and 120 K. It is worth noting that, in this kind of device, the maximum
peak detectivity value always corresponds to the onset of the noise floor
because it was at that point that the ratio of the peak responsivity over the
noise was maximized. The highest peak detectivity of sample B at 77 K was
3.35  1012 cmHz1/2/W with responsivity of 3.4 A/W at 1.9 V, which was
three times higher than 1.13  1012 cmHz1/2/W with responsivity of 1.15 A/W at
0.9 V of sample A. The improvements can be seen more clearly in higher-
temperature tests because we can leave the instrument-limited regime. The
highest peak detectivity at 120 K is still 4.5  1011 cmHz1/2/W with responsivity
of 0.53 A/W at 1.5 V of sample B. This was more than one order of
magnitude higher than 5.75  1010 cmHz1/2/W, 186 mA/W at 0.6 V of sample
A. The high detectivity was attributed to the lower noise in high-bias regions
brought by the double-barrier structure.
The BLIP temperature of the QDIP was found to be 220 K under a FOV
of 45 deg by comparing temperature-dependent dark-current measurements19
with the photocurrent generated by a 300-K background, as shown in
Fig. 19.26.
The QE h was determined to be 1.0% at 77 K. The QE of QDIPs is known
to be low, but it is generally compensated by a very high photoconductive gain.
Such low QE is generally attributed to the small fill factor and to the low
oscillator strength of the principal ISB transition involved in the optical process.
However, it could potentially be considerably improved by incorporating the
QDIP structure into a resonant cavity.
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 467

Figure 19.26 Dark current at various temperatures and 300-K background photocurrent
(reprinted from Ref. 21). (See color plate section.)

QDIPs are expected to exhibit very good performance at high temperature,


as predicted theoretically. Although the detectivity of the present QDIP is still
4.5  1011 cmHz1/2/W at 120 K, as the temperature increases, the detectivity
decreases rapidly due to the increase of the dark current (and consequently of
the noise) and to the decrease of the photocurrent (responsivity). The reason
that the photocurrent decreases with increasing temperature is due to the
relatively large volume and flat lens-like shape of the QDs obtained by self-
assembly that have many electronic energy levels in the conduction band and
therefore whose spacing can be very small. If the energy spacing is not different
from the LO phonon energy in the QDs, the relaxation rate to lower energy
states can be dramatically increased by multiphonon emission as the
temperature increases. This relaxation rate competes against the escape rate
of the photoexcited electrons that generate the photocurrent. Above a certain
temperature, the fast relaxation process starts to dominate the escape process
and leads to the dramatic decrease of the photocurrent.
In conclusion, we found that growing the barrier in two different steps
improved both the morphology and performance of our QDIP devices.

19.6 Indium-Phosphide-based QD Detectors


MWIR and LWIR QDIPs based on the InAs/GaAs system have been
reported by several groups. These QDIP structures were usually grown by a
self-assembled method via the MBE technique.44 47 Compared to the GaAs
system, only a limited amount of work has been done on QDIP grown on InP
substrates, and no device detectivity results have been reported.48,49 The study
468 Chapter 19

of the InAs/InP QDs will expand our understanding of the basic mechanisms
of dot formation, enrich the existing QD systems such as InAs/GaAs and Si/
Ge,50 and will enable devices with new applications or better performances.
The lattice mismatch between the QD material and the substrate—a critical
parameter in determining the formation of the QDs—is only roughly half
(3.2%) that of the InAs/GaAs system (7%). Different matrix materials such as
InP, InGaAs, and AlGaAs can be used to modify the dot formation and
engineer the bandgap.51 In the case of QWIPs, high-performance devices have
been demonstrated with the InGaAs/InP system grown on InP substrates
using MOCVD.52,53 The high mobilities and low effective masses of the
InGaAs/InP system give rise to high responsivity and long-wavelength
devices. Additionally, when compared to MBE, MOCVD has advantages
such as relative simplicity, high adaptability to industrial fabrication, and
lower cost.54

19.6.1 InAs/InP QDIP


An Emcore LP-MOCVD reactor was used to grow the InAs QDs on
semi-insulating (100) InP substrate. First, a 0.5-mm undoped InP buffer
was grown at 590 oC followed by a 0.5-mm bottom InP contact layer doped
with dilute SiH4 to n ¼ 1  1018 cm 3. Next, the active region was grown at
500 oC. The active region consisted of 10 periods of the following structure:
400-Å InP barrier, 10-Å GaAs, InAs QDs, and 30-Å Al0.48In0.52As current
blocking layer (CBL). The InAs QDs were formed on top of the strained
GaAs/InP matrix (barrier) by a self-assembly method that is based on the
SK epitaxial growth mode. For InAs QDs, the nominal growth rate was
0.42 ML/s, and the growth time was 12 s. After the QD layer was deposited,
60 s of ripening time was given with dilute AsH3 flowing. The InAs
QDs were doped with dilute SiH4 (200 ppm) with a flow rate of 35 sccm.
Finally, a 0.2-mm n-type InP (n ¼ 1  1018 cm 3) top contact layer was
grown at 590 oC.
Single-layer and multistack QDs had been characterized and optimized
before the QDIP device growth. It was found that the InAs QD density and
uniformity were improved by inserting a thin (10-Å) strained GaAs layer between
the InP barrier and the InAs QDs. A 10-Å lattice-matched In0.53Ga0.47As layer
has also been used and compared to the results with a GaAs layer. Inserting the
GaAs layer produced both a higher dot density and better uniformity. It has been
shown that a thin GaAs layer can improve the uniformity and the PL intensity
from InAs QDs grown on an InGaAs/InP matrix.55 The thin GaAs layer
prevented In migration from the InGaAs layer to the InAs QDs. AFM was used
for the structural characterizations (see Fig. 19.27). At the optimum growth
conditions, the dot density was about 4  1010 cm2. The InAs QDs had a lens
form with a typical base diameter of 50 nm and a height of 5 nm.
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 469

Figure 19.27 AFM image (1 mm  1 mm) of a single layer of InAs QDs on GaAs/InP
matrix. The scan shows a dot density of about 4  1010 cm2 (reprinted from Ref. 21).

The dark current Id of a QDIP mesa was measured as a function of bias Vb


at different temperatures, as shown in Fig. 19.28. Also shown in Fig. 19.28 is
the 300-K background photocurrent with a 45-deg FOV. The BLIP was
obtained at 100 K for the range of 1.9 V < Vb < 3.7 V. A very low dark
current was observed for this QDIP due to the Al0.48In0.52As CBL. At T ¼ 77 K,

Figure 19.28 Dark current measured as a function of bias for an InAs/GaAs/AlInAs/InP


QDIP at different temperatures. Also shown is the 300-K background photocurrent with a
150-deg FOV (dashed line) (reprinted from Ref. 21). (See color plate section.)
470 Chapter 19

a dark current below the pico-ampere range was observed between 0.8 V
and 1.8 V. An asymmetric I-V relationship was also observed in this QDIP,
especially at lower temperatures. The asymmetry of the dark current for
our QDIP can be attributed to several factors. First, it could be due to
the asymmetry of the device structure, as every GaAs/InAs/AlInAs/InP period
of the active region is asymmetric. Secondly, the InAs QD has a lens
shape that is not symmetric in the growth direction. Thirdly, dopant diffusion
into the QD layer during the material growth might create a small built-in
electric field.
The spectral response of the QDIP peaked at 6.4 mm with a cutoff at
6.6 mm (see the inset in Fig. 19.29). The spectral width Dl/lpeak was 12%,
which indicated bound-to-bound ISB absorption. The shape, peak, and cutoff
of this QDIP showed negligible change with varying temperature (from T ¼
77 K to T ¼ 160 K) and bias (from Vb ¼ 1 V to Vb ¼ 3 V). The absolute
magnitude of the blackbody responsivity Rbb was determined by measuring
the photocurrent Ip with a calibrated blackbody source at 800 8C. The test
mesa was illuminated from the top of the mesa with normally incident
infrared radiation. The peak-responsivity Rp results at both 77 K and 100 K
are shown in Fig. 19.29. An asymmetry was also observed for the peak
responsivity, at both 77 K and 100 K. The asymmetry of the responsivity was
caused by the asymmetry of the potential in the QD itself. At T ¼ 77 K and
bias of 5 V, a peak responsivity of 1.0 A/W was observed for our QDIP.
The noise current In was measured at both T ¼ 77 K and T ¼ 100 K. As
shown in Fig. 19.30(a), very low noise was observed and was almost constant

Figure 19.29 Peak responsivity as a function of bias for the QDIP at 77 K and 100 K. The
inset shows the relative spectral response measured at bias of 1 V and temperature of 77 K
(reprinted from Ref. 21).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 471

Figure 19.30 (a) Noise current as a function of bias at 77 K and 100 K. (b) Peak detectivity
as a function of bias at 77 K and 100 K (reprinted from Ref. 57).

for biases 1.0 V < Vb < 2.5 V at 77 K and 0.8 V < Vb < 2.3 V at 100 K.
Beyond these ranges, the noise was dominated by G-R noise of the dark
current.34 Based on the measured noise current and dark current Id, we
extracted the gain g of the device, which strongly depends on the bias and
increases from 5 to 5000 when the bias changes from 1.2 V to 3.5 V. The
high value of the gain in a given voltage range was a unique feature of this
QDIP technology. The gain in our QDIP devices was much higher than the
gain measured in typical QW infrared detectors. The detectivities of our QDIP
as a function of bias at both T ¼ 77 K and T ¼ 100 K are shown in Fig. 19.30(b).
The highest detectivities of our QDIP were 1.0  1010 cmHz1/2/W at a bias of
1.1 V and 2.3  109 cmHz1/2/W at a bias of 0.9 V for T ¼ 77 K and T ¼ 100 K,
respectively. The internal QE h of our QDIP was less than 0.1%. The very low
472 Chapter 19

QE was due to the low oscillator strength for s-polarized light and was less-than-
unity fill factor of the QD layer. A low oscillator strength must be expected for
s-polarized light in a flat (50 nm by 5 nm) lens-like QD at this wavelength. The QE
can be improved by increasing dot density, optimizing dot shape, size, and
uniformity,29 and by using a resonant cavity.56

19.6.2 Detection wavelength tuning using QD engineering


The device just discussed showed the potential of InP-based QDIP devices;
however, the detection wavelength of that device was centered at 6.4 mm,
outside the MWIR (3  5 mm) or LWIR (8  12 mm) window where there is
low absorption in the atmosphere. To shift the detection wavelength into the
MWIR window, QD engineering was used to tune the peak detection
wavelength.
In order to tune the QDIP detection wavelength to a shorter wavelength,
smaller dot sizes were needed. The dot size directly affects the detection
wavelength by determining the energy levels in the conduction band (for
n-type) of the QD, where the photoexcited ISB transitions between those levels
correspond to the detection of infrared light. The detector’s performance is
also dependent on the dot density and uniformity. In other words, in order to
have a QDIP working at the preferred wavelength with a desirable
performance, QDs with high density, high uniformity, and a specific size
needed to be formed. The formation of self-assembled QDs is determined by
the growth parameters such as growth temperature, growth rate, V/III ratio,
and ripening time. The matrix material on which the QDs are formed also
affects dot formation. We have found that a thin (10 Å) strained GaAs layer
inserted between the InP barrier and InAs QDs improves the uniformity and
PL intensity of the InAs QDs compared with those grown on InGaAs/InP or
directly on the InP matrix.21 Such a GaAs layer was used for all of the
growths discussed below.
First, the influence of growth temperature was studied. Growths of
single-layer InAs QDs were performed on the GaAs/InP matrix with a
growth temperature of 520, 500, 480, 460 and 440 8C. All the other growth
conditions were kept the same. AFM was used for the structural
characterizations, including the dot size, shape, density, and uniformity.
At 520 8C, the QDs have a low dot density with a large dot size. With the
decrease in growth temperature, the dot density increased, while the dot sizes
decreased. Beyond a certain point, the dot density stopped increasing.
However, the dot size still changed. For example, the QDs at 500 and 440 8C
have similar average dot diameter, which was 50 nm; however, the height of
the dots grown at 440 8C was smaller, and dot height can affect device
performance, as will be shown later.
The growth rate was also optimized by varying the flow rate of
trimethlyindium (TMIn) during the QD growth while keeping the other
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 473

conditions the same. 50, 100, and 150 sccm flow rates of TMIn were used. The
dot density was checked with AFM. Although 150 sccm gave slightly higher
dot density, PL showed that 100 sccm has better quality. Thus 100sccm was
used in the following optimization steps.
Next, the V/III ratio was optimized by varying the flow rate of dilute
arsine. Flow rates of 25, 50, 75, 100 and 200 sccm were used. 100 sccm was
used for TMIn, as previously determined. 50 sccm of dilute arsine gave the
highest dot density and was chosen for the next growth.
The ripening time is the wait time after the deposition of the dot material
but before capping is carried out. It was used to allow the dot to fully form, or
to “ripen.” 60-, 30-, 10-, and 0-s ripening times were used to study the effect on
dot formation. For this optimization, the above single-layer QD growth
cannot be used because during the cooling down after growth, the QD on the
surface may still change. As a result, AFM is no longer a feasible
characterization technique anymore. Instead, the QDs were capped and then
studied using PL. From the room-temperature PL of these samples, as shown
in Fig. 19.31, it was seen that the ripening time had a dramatic effect on the
QDs. With decreased ripening time, there was a blue shift of the peak
wavelength (indicating smaller dots), reduced FWHM (indicating improved
uniformity), and higher intensity (indicating higher dot density.)
While PL provides useful information on the effect of ripening time on
the interband transitions in the QDs, it does not reveal information about the
ISB transitions, which are directly related to QDIP operation. Instead, the
measurement of absorption was done by an FTIR spectrometer. QDIPs with
peak detection wavelengths from 4 to 6.4 mm were obtained by choosing the

Figure 19.31 Room-temperature (RT) PL from a single layer of InAs QDs grown and capped
with InP at 440 8C with different ripening times (reprinted from Ref. 57). (See color plate section.)
474 Chapter 19

growth temperature and ripening time. At 500 8C, the minimum wavelength
that could be reached was around 6 mm, even with a 0-s ripening time. At
440 8C, 4 and 5 mm QDIPs were obtained with 10 and 60 s ripening times,
respectively. Therefore, to obtain MWIR QDIPs, at least the QD and its
adjacent layers had to be grown at 440 8C.
Finally, with all of the growth parameters of QDs optimized, a single-
layer InAs QDs on top of the GaAs/InP was obtained with a dot density of
about 3–5  1010 cm2. The InAs QDs had a lens shape with a typical base
diameter of 50 nm and a height of 5 nm for the QDs grown at 500 8C, and a
height of 4 nm for the QDs grown at 440 8C.
A QDIP device structure will consist of multiple layers of QDs. In order to
guarantee the uniformity of the QDs in the different layers as well as improve
the quality of the barrier material, a two-step growth of the InP barrier after
each QD layer was used: first the InAs QDs were covered with 10 nm of InP
grown at the same temperature as the QDs, then the temperature was increased
to grow the rest of the barrier. AFM showed that the InP barrier surface was
much improved, with clear atomic steps, while PL showed no change of peak
wavelength or FWHM, which indicates that this process did not affect the QDs.
We compared three devices (here denoted as A, B, and C) with different
QD growth conditions and different structures. The typical device structures
were as follows. First, a 0.5-mm-thick undoped InP buffer layer was grown at
590 oC, followed by a 0.5-mm bottom InP contact layer doped with dilute
SiH4 to n ¼ 1  1018 cm 3, followed by the active region with 10 QD
layers separated by InP barriers, and finishing with a 0.2-mm-thick top
Ga0.47In0.53As contact layer doped with dilute SiH4 to n ¼ 5  1017 cm 3. The
difference between these three devices was only in the active region, as shown
in Fig. 19.32. Device A was based on our previous 6.4-mm QDIP and acts as a
reference. Its entire active region was grown at 500 8C. The detailed structure
of device A is described in Ref. 21. The active regions of devices B and C were

Figure 19.32 Schematic diagram of the three QDIP structures with different active regions
(reprinted from Ref. 57).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 475

grown at two different temperatures. The InAs QDs of devices B and C


were grown at 440 8C. The nominal growth rate was 0.84 monolayers per
second (ML/s), and the growth time was 6 s. The InAs QDs of device B were
grown on InP barriers that were grown at 590 8C. On the other hand, the QDs
of device C were grown on 3-nm Al0.48In0.52As/InP barriers that were grown
at 590 8C. As mentioned above, before the deposition of the InAs layers in
device B and C, thin 1-nm GaAs layers were inserted on the InP or
Al0.48In0.52As surfaces in order to produce more-uniform QDs as in device
A.55 The growth-interruption time (ripening time) after the QD growth was
30 s under dilute AsH3 flow. After the 30-s interruption, the first 10 nm of the
InP capping layers were grown at 440 8C, and the remaining 30-nm InP
barriers were then deposited at 590 8C.
The spectral response of the QDIPs was tested by FTIR with normally
incident source light. The bias was applied to the top contact, and the bottom
contact was always grounded. As shown in Fig. 19.33, the photoresponse of
device A peaked at 6.5 mm (190 meV) at 77 K with a bias of 0.4 V, and the
half width Dl=lpeak was only 18%. The narrow photoresponse was a strong
indication of a bound-to-bound transition between the QD energy levels. On
the other hand, the photoresponses from devices B and C had peaks centered
at 5.5 mm (224 meV) and 4.7 mm (266 meV), respectively. The halfwidths of
devices B and C were 46% and 57%, respectively. These broad responses were
very different from those of device A. Multiple peaks appeared on the high-
energy side above 0.23 eV for device C.
In order to explain this change in the optical transition scheme from
device A to device C, we used the effective mass embedding method to

Figure 19.33 Normalized spectral response of QDIP devices A, B, and C (reprinted from
Ref. 57).
476 Chapter 19

calculate the QD energy levels.58 The main phototransition of device A was


identified to be one from a bound state to a higher bound state (bound-to-
bound); therefore, a fairly narrow response was expected. When the height of
the QDs decreases, as from the QDs of device A to those of device B, the
spatial confinement becomes stronger and pushes the ground state upward
toward the bandedge of the barrier. Our calculation showed that the energy
level configuration had changed. As a result, the most probable phototransi-
tion changed from a bound-to-bound transition to a bound-to-continuum
transition. In device B, the main transition was from the ground state to the
continuum state of the barrier. For device C, the Al0.48In0.52As layer below
the QDs increased the electron-confining potential by 300 meV in our
calculation,59 thus pushing the ground state slightly downward, when
compared to device B. In the spectral response of device C (see Fig. 19.33),
multiple peaks were seen and were believed to be due to transitions from the
ground state of the QDs to the minibands formed by the thin Al0.48In0.52As
layers and InP barriers. Note that all of the transitions were broadened by the
inhomogeneous size distribution of the self-assembled QDs. In summary, we
were able to shift the peak detection wavelengths of QDIPs from 6.5 to 4.7 mm
by changing the QD growth conditions and the confinement potential
generated by the material surrounding the QD layers.
The blackbody responsivities Rbb of devices A, B, and C were calculated
by measuring the photocurrent Ip with a calibrated blackbody source at
800 8C. At T ¼ 77 K and a bias of 1.5 V, peak responsivities Rp of 77 and
65 mA/W were obtained in device B and device C, respectively (see
Fig. 19.34). We believe that the reason for the lower responsivity of device
B and C compared to that of device A was that the optical transition was

Figure 19.34 Peak responsivities as a function of bias at 77 K (reprinted from Ref. 60).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 477

changing from a sharp bound-to-bound transition with a relatively high


oscillator strength, to a much more diffuse bound-to-continuum transition.
Once the noise currents In were measured at T ¼ 77 K, the peak
detectivities D were calculated. The peak detectivity of devices B and C,
which are MWIR QDIPs, was 1.0  109 cmHz1/2/W at 77 K and bias of 0.2 V,
while it was 1.0  1010 cmHz1/2/W at the same temperature but with a bias of
0.4 V for device A. The lower detectivity of devices B and C was mainly due to
their lower peak responsivity compared to that of device A.
The performances of our QDIPs were still inferior to what we might have
expected. One reason was the low QE. For example, the 6.4-mm QDIP with a
detectivity of 1.0  1010 cmHz1/2/W at T ¼ 77 K only had a QE of 0.1%. The
very low QE may first be attributed to the nature of the ISB transitions when
compared with the interband transitions. Secondly, compared with QWIPs,
QDIPs have a less-than-unity fill factor. In theory, the internal QE can be
increased by increasing the number of QD layers. However, in reality, it was
limited by the growth of a thick, highly strained QD structure. Also, our
calculations show that the oscillator strength of the ISB transition was small
due to the “flat” geometry of our QDs. The QE can be improved by increasing
the dot density, optimizing the dot shape, size, and uniformity,29 and by
growing a thicker active region without degrading the quality. All of this
requires further optimization of the growth conditions. On the other hand, it
might be possible to improve the QE by using an external enhancement
structure such as a grating or a resonant cavity.56 The effects of the cavity
include wavelength selectivity and a large enhancement of the resonant optical
field. The increased optical field allows the detector active region to remain
thin and therefore fast, while simultaneously increasing the QE at the resonant
wavelengths.
The effects of the growth parameters including the growth temperature,
growth rate, V/III ratio, and ripening time were thoroughly studied. As a
result, InAs/InP QDs with high density and uniformity and preferred size were
obtained, leading to an MWIR InAs/InP QDIP. The change of the peak
wavelength and shape of spectral response were explained.

19.6.3 High-operating-temperature QD detector and FPA


Achieving higher operating temperatures will reduce the cost and
complexity of detector and imaging systems by reducing the cooling
requirements normally associated with cryogenically cooled detector
systems. Additionally, in the specific application of high-temperature
imaging, a low dark current is important to avoid saturation of the
readout circuitry. Many of the QDIPs reported so far in the literature
have been working at temperatures in the range of 77 K  200 K.19 22
We developed a high-performance, room-temperature-operating MWIR
photodetector based on InAs QDs grown on Al0.48In0.52As barriers and
478 Chapter 19

capped by Ga0.47In0.53As QWs grown on top of an InP substrate.


A similar detector structure was also applied to a 320  256 FPA that
operated at temperatures up to 200 K.
The QD and device growth was carried out by LP-MOCVP in an
EMCORE Discovery reactor. The material system investigated here was
self-assembled InAs QDs grown directly on an Al0.48In0.52As matrix. The
QD self-assembly was based on the SK growth mode. The InAs QDs were
grown at a nominal growth rate of 0.64 ML/s for 3.6 s. The QDs were grown
at the same temperature, 590 8C, as the matrix and cap layer. For the QD
growth, 5% dilute arsine was used as the group-V source. Based on AFM
measurements, the QDs were around 50 nm in diameter and 4 nm in height.
The dot density was around 4  109 cm 3. For the actual device structure,
the dots were capped with a 3.5-nm-thick Ga0.47In0.53As layer without any
interruption time between the end of the dot growth and the start of the cap
layer growth.
After finding suitable QD growth conditions, we grew a photoconductive
device structure, shown in Fig. 19.35, based on the QD/QW structure.
A 1-mm-thick n-doped InP layer was grown for the bottom contact. Then, the
active region was grown, consisting of 25 stacks of InAs QD/GaInAs QW
layers with 29-nm AlInAs barrier layers. The 3.5-nm GaInAs QW layer
capping each QD layer was doped to a level of n ¼ 1  1018 cm 3. After the
final AlInAs barrier layer was grown, a 0.5-mm-thick n-doped InP layer was
grown for the top contact.
We measured the spectral response of the detector at several
temperatures and applied biases, in the normal-incidence configuration,

Figure 19.35 Schematic of the detector device structure (reprinted from Ref. 61).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 479

without any optical coupling structures. In this device structure, both the
InAs QD layers and GaInAs QW layers were involved in the infrared
absorption process. The coupling of QDs and QWs has been used in other
QDIP device structures, such as dot-in-a-well (DWELL),20,62 where the
ISB transition occurred between the hybrid states of the QD and the QW.
The device here differed from the typical DWELL design in that the QW
was only on the top of the QDs, as opposed to the dot being surrounded by
the QW. Figure 19.36 shows the photocurrent spectra of the device at
various operating temperatures. The spectrum was clearly measurable at
280 K. For applied bias of smaller than 2 V, there were two peaks in the
detection spectrum, around 3.2 and 4.0 mm. Both peaks were visible in the
two high-temperature spectra in Fig. 19.36. The two peaks were present at
lower temperatures as well, but in the spectra in Fig. 19.36 the more-
intense longer-wavelength peak drowned out the shorter-wavelength peak.
Also, measurements showed that the intensity of the peak near 3.2 mm did
not increase significantly as the temperature increases. The peak around
3.2 mm came from a bound-to-continuum transition where the electrons
are photoexcited from the ground state to a continuum state. That was
the reason that the increase in temperature does not improve the
photoresponse around 3.2 mm. On the other hand, the photoresponse
around 4.0 mm increased significantly with the temperature because it
came from a bound-to-bound transition in the InAs QD/GaInAs QW

Figure 19.36 Normalized temperature-dependent photocurrent spectrum. At higher


temperatures the increased dark current and noise required operation at lower bias
(reprinted from Ref. 61).
480 Chapter 19

hybrid states; thus, the temperature can help the photoexcited electrons
escape to the continuum. Both the temperature dependence and the bias
sensitivity of the peak near 4.0 mm indicated that the transition of the
photoexcited electrons took place between bound states of the QD/QW
hybrid. Looking more closely at the 4.0-mm peak, it showed a red shift and
broadening with increased operating temperature. This behavior was similar to
that observed in QWIP structures, as documented in Ref. 63. A model of the
QD/QW hybrid system is required to gain an understanding of where this
effect comes from and whether the causes are similar to those in QWIP
structures.
The peak responsivity Rp was measured as a function of bias and
temperature and is shown in Fig. 19.37. The responsivity increased with
temperature from 77 to 200 K and started decreasing above 200 K. The
highest measured peak responsivity was 778 mA/W at 150 K and 5 V.
The peak responsivity at 250 K was 54 mA/W at 2 V. In QDIPs or QWIPs,
the photocurrent can increase or decrease with the temperature, depending
on the balance of the competition between phonon-induced relaxation of the
photoexcited carrier and temperature-aided escape of the photoexcited carrier
to the continuum state. Above a certain temperature, the adverse thermal
increase of the relaxation of the photoexcited electrons back to the lower
state dominates any improvement in escape created by the temperature.58
In the device, the data showed that turnover took place around 200 K,
above which the responsivity started decreasing with increasing temperature.

Figure 19.37 Peak responsivity as a function of bias at several operating temperatures


(reprinted from Ref. 61). (See color plate section.)
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 481

The responsivity increased with operating temperature for temperatures up to


200 K. Above 200 K, the responsivity decreased with temperature.
The dark-current density of this device was measured as functions of
bias and temperature, as shown in Fig. 19.38. A low dark-current density
was obtained in this device. At 200 K and 5 V, the dark-current density was
measured to be 128 mA/cm2. High dark current can limit the capability for
high-temperature operation in photoconductors. Therefore, it is crucial to
achieve a low dark current with a reasonable photocurrent at high
temperature. In QDIPs, low dark currents have been engineered by
introducing CBLs.64 However, CBLs will also decrease the photocurrent
because the dark current and photocurrent follow the same transport path. In
our device, the QD layers decrease the dark current without significantly
compromising the photocurrent.
The highest detectivity at each measured temperature is shown in
Fig. 19.39. The detectivity decreased with increasing temperature due to the
constantly increasing noise. The 77-K and 120-K measurements showed
similar D because the noise level was below the system limit. A maximum D
of 2.3  1011 cmHz1/2/W was measured at 120 K. The detectivity at 250 K
was 1.7  108 cmHz1/2/W.
A very high QE of 48% was obtained in this device for normal incidence at
150 K and 5 V. This was a significant improvement over our previous result of
35% for our earlier design of a similar device.65 The primary change for this
device was improved optimization of the QD growth conditions.

Figure 19.38 Dark current as a function of bias at several temperatures (reprinted from
Ref. 61).
482 Chapter 19

Figure 19.39 Detectivity as a function of temperature (reprinted from Ref. 61).

19.6.4 High-operating-temperature FPA


An FPA was fabricated based on an earlier version of the QDWIP device
structure discussed earlier. The format of the FPA was 320  256 with a 30-mm
pitch and 25  25 mm2 detector size. The ROIC used was an Indigo 9705.
The FPA was tested using a CamIRaTM FPA testing system from SE-IR Corp.
The imaging system cryostat was equipped with a Ge window with 94%
transmission and a MWIR f/2 Asio series lens from Janos Technology with 90%
transmission. All imaging and measurements were taken with a 300-K background.
Images obtained from the FPA are shown in Fig. 19.40. During testing,
imaging was achieved at operating temperatures from 77 to 200 K. The

Figure 19.40 FPA imaging at an operating temperature of (a) 130 K and (b) 200 K
(reprinted from Ref. 61).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 483

imaging tests were carried out at a fixed frame rate of 32.64 Hz and
depending on the operating temperature with the bias varying from 1 V to
around 3 V and integration times from 0.34 ms up to 30.41 ms. Two-point
nonuniformity correction was used for the imaging. Low-contrast imaging
of human targets was possible up to around 150 K, and a soldering iron
could be imaged up to 200 K. Above 200 K, the dark current of the detector
became too high for any imaging. While the single-detector measurements
showed that the responsivity was still appreciable at temperatures up to
room temperature, the dark current set the upper limit on the operating
temperature because at temperatures above 200 K the dark current saturated
the ROIC for any integration times long enough to collect any appreciable
photocurrent signal. The capacity of the ROIC used was 18 million electrons
at its lowest gain setting. In order to operate the FPA at even higher
temperatures, the detector dark current should be further reduced or an
ROIC with a larger electron capacity could be used.
The FPA photocurrent was measured using an extended-area blackbody
source from CI Systems. The photocurrent of the FPA was measured with the
extended-area blackbody set at 35 8C. The responsivity and conversion
efficiency could then be calculated by dividing the measured photocurrent by
the appropriate optical input from the system radiometry. The mean
responsivity and mean conversion efficiency of the FPA were 34 mA/W and
1.1%, respectively. The temporal noise of the FPA was measured by taking
the standard deviation of the FPA signal. At 120 K, the average NEDT was
344 mK and the percentage of connected pixels was greater than 99%. The
histogram of the NEDT is shown in Fig. 19.41. The number of pixels with
NEDT within 2 standard deviations of the mean NEDT was 91%. It should be
noted that the NEDT measurements were taken without two-point non-
uniformity correction. The injection efficiency was 99% at 120 K. At 200 K, the
injection efficiency was still 98% due to the high differential resistance, even at
high temperature.
The imaging quality in terms of contrast and uniformity for this FPA is
not yet comparable to that of high-quality QWIPs. From the single-device
measurement, the optimum bias condition for this detector was at (or beyond)
the limit of the test setup’s biasing capability: 5 V. However, since the ROIC
cannot apply more than 3 V nominally, the detector had to be operated
under conditions where the photocurrent was at such a low level that it was
barely detectable by the camera system. The same low photocurrent limitation
also meant that operating the FPA at 77 K actually gave lower performance
compared to 120 K because the detector’s responsivity decreases with
temperatures below 180 K. This low responsivity was the reason that the
NEDT was 344 mK even though the corresponding single-detector D was
greater than 1010 cmHz1/2/W under similar operating conditions. The detector
properties were no longer dominant, and any noise and nonuniformity in the
484 Chapter 19

Figure 19.41 Histogram of the FPA NEDT at an operating temperature of 120 K (reprinted
from Ref. 61).

ROIC and the camera system itself will be more significant at these low signal
levels. Of course, any nonuniformities in the detector material and processing
will also still factor in. As a result, one important step for the application of
this detector structure to FPAs will be optimizing the device to run at a lower
bias without sacrificing its high SNR and QE. The new single-device results
reported here still require a high operating bias; thus, the device is not suitable
for our particular imaging application.
We have demonstrated a high-performance InAs QD mid-infrared
photodetector grown on InP substrate that operates up to room temperature.
The peak detection wavelength was observed at 4.0 mm. The peak responsivity
and the specific detectivity at 150 K were 778 mA/W and 6  1010 cmHz1/2/W,
respectively. Low dark-current density and a high QE of 48% were obtained in
this device. A high-temperature FPA was also demonstrated. Imaging was
achieved at temperatures up to 200 K, with human body imaging up to 150 K.
The very low dark current of the device enabled the high-temperature imaging
capability.

19.7 Conclusion
QDs are extremely interesting nanostructures to study both from a basic
physics standpoint and a device technology standpoint. In infrared detector
applications in particular, QDs show great potential to enable the next
generation of devices with high QE and high operating temperature.
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 485

References
1. M. Razeghi, Fundamentals of Solid State Engineering, Springer, New York
(2010).
2. M. Razeghi, W. Zhang, H. Lim, and S. Tsao, “Quantum Dot Infrared
Photodetectors by Metal-Organic Chemical Vapour Deposition,” in Hand-
book of Self-Assembled Semiconductor Nanostructures for Novel Devices in
Photonics and Electronics, M. Henini, Ed., Elsevier, Amsterdam, p. 621 (2011).
3. K. Mukai and M. Sugawara, Semiconductors and Semimetals 60,
Academic Press, San Francisco, Chapter 5 (1999).
4. L. Goldstein, F. Glas, J. Y. Marzin, et al., “Growth by molecular beam
epitaxy and characterization of InAs/GaAs strained-layer superlattices,”
Appl. Phys. Lett. 47(10), 1099–1101 (1985).
5. Y. Arakawa and H. Sakaki, “Multidimensional quantum well laser and
temperature dependence of its threshold current,” Appl. Phys. Lett. 40,
939–941 (1982).
6. M. Asada, Y. Miyamoto, and Y. Suematsu, “Gain and the threshold of
three-dimensional quantum-box lasers,” IEEE J. Quantum Electron. 22,
1915–1921 (1986).
7. M. Razeghi, Technology of Quantum Devices, Springer, New York (2009).
8. A. Rogalski, Infrared Detectors, CRC Press, Boca Raton, FL (2010).
9. P. Martyniuk and A. Rogalski, “Quantum-dot infrared photodetectors:
Status and outlook,” Prog. Quantum Electron. 32, 89–120 (2008).
10. H. Schneider and H. C. Liu, Quantum Well Infrared Photodetectors:
Physics and Applications, Springer Series in Optical Sciences 126,
Springer-Verlag, Berlin Heidelberg, p. 46 (2007).
11. E. L. Dereniak and G. D. Boreman, Infrared Detectors and Systems,
Wiley-Interscience, New York (1996).
12. A. Rogalski, “Infrared detectors: status and trends,” Prog. Quantum
Electron. 27(2–3), 59–210 (2003).
13. A. Piotrowski, P. Madejczyk, W. Gawron, et al., “MOCVD HgCdTe
heterostructures for uncooled infrared photodetectors,” Proc. SPIE 5732,
273–284 (2005) [doi: 10.1117/12.593337].
14. A. Rogalski, “Quantum well photoconductors,” J. Appl. Phys 93(8), 4355
(2003).
15. B. F. Levine, “Quantum-well infrared photodetectors,” J. Appl. Phys.
74(8), R1–R81 (1993).
16. S. D. Gunapala, S. V. Bandara, J. K. Liu, et al., “1024  1024 pixel mid-
wavelength and long-wavelength infrared QWIP focal plane arrays for
imaging applications,” Semicon. Sci. Technol. 20, 473–480 (2005).
486 Chapter 19

17. V. Ryzhii, “The theory of quantum-dot infrared phototransistors,”


Semicon. Sci. Tech. 11, 759–765 (1996).
18. S. Chakrabarti, A. D. Stiff-Roberts, and P. Bhattacharya, “ Contribution
of field-assisted tunneling emission to dark current in InAs-GaAs quantum
dot infrared detectors,” IEEE Photon. Technol. Lett. 16, 867 (2004).
19. J. Jiang, S. Tsao, T. O. Sullivan, et al., “High detectivity InGaAs/InGaP
quantum-dot infrared photodetectors grown by low pressure metalorganic
chemical vapor deposition,” Appl. Phys. Lett. 84(12), 2166–2168 (2004).
20. E.-T. Kim, A. Madhukar, Z. Ye, et al., “High detectivity InAs quantum
dot infrared photodetectors,” Appl. Phys. Lett. 84(17), 3277–3279 (2004).
21. W. Zhang, H. Lim, M. Taguchi, S. Tsao, B. Movaghar, and M. Razeghi,
“High-detectivity InAs quantum-dot infrared photodetectors grown on
InP by metal-organic chemical-vapor deposition,” Appl. Phys. Lett. 86,
191103 (2005).
22. X. Lu, J. Vaillancourt, and M. J. Meisner, “Temperature-independent
photoresponsivity and high-temperature (190 K) operation of a quantum
dot infrared photodetector,” Appl. Phys. Lett. 91, 051115 (2007).
23. J. Shao, T. E. Vandervelde, A. Barve, et al., “Enhanced normal incidence
photocurrent in quantum dot infrared photodetectors,” J. Vac. Sci
Technol. B 29(3), 03C123-6 (2011).
24. J. Jiang, K. Mi, S. Tsao, et al., “Demonstration of a 256  256 middle-
wavelength infrared focal plane array based on InGaAs/InGaP quantum
dot infrared photodetectors,” Appl. Phys. Lett. 84(13), 2232–2234 (2004).
25. S. Krishna, D. Forman, S. Annamalai, et al., “Demonstration of a
320256 two-color focal plane array using InAs/InGaAs quantum dots in
well detectors,” Appl. Phys. Lett. 86, 193501 (2005).
26. S.-F. Tang, C.-D. Chiang, P.-K. Weng, et al., “High-temperature
operation normal incident 256  256 InAs-GaAs quantum-dot infrared
photodetector focal plane array,” IEEE Photon. Technol. Lett. 18(8),
986–988 (2006).
27. E. Varley, M. Lenz, S. J. Lee, et al., “Single bump, two-color quantum dot
camera,” Appl. Phys. Lett. 91, 081120 (2007).
28. P. Martyniuk, S. Krishna, and A. Rogalski, “Assessment of quantum dot
infrared photodetectors for high temperature operation,” J. Appl. Phys.
104(3), 034314 (2008).
29. J. Phillips, “Evaluation of the fundamental properties of quantum dot
infrared detectors,” J. Appl. Phys. 91(7), 4590–4594 (2002).
30. U. Bockelmann and G. Bastard, “Phonon scattering and energy relaxation
in two-, one-, and zero-dimensional electron gases,” Phys Rev. B 42(14),
8947–8951 (1990).
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 487

31. D. Bimberg, M. Grundmann, and N. N. Ledenstov, Quantum Dot


Heterostructures, John Wiley & Sons Ltd., Chichester, p. 6 (1999).
32. V. Ryzhii, K. Irina, R. Maxim, et al., “Why QDIPs are still inferior to
QWIPs: Theoretical analysis,” Proc. SPIE, 4288, 396–403 (2001) [doi:
10.1117/12.429435].
33. R. Notzel, “Self-organized growth of quantum-dot structures,” Semicon.
Sci.Technol. 11, 1365–1379 (1996).
34. Z. Ye, J. C. Campbell, Z. Chen, et al., “Noise and photoconductive gain
in InAs quantum-dot infrared photodetectors,” Appl. Phys. Lett. 83(6),
1234–1236 (2003).
35. S. Kim, H. Mohseni, M. Erdtmann, E. Michel, C. Jenle, and M. Razeghi,
“Growth and characterization of InGaAs/InGaP quantum dots for mid-
infrared photoconductive detector,” Appl. Phys. Lett. 73(7), 963–965 (1998).
36. D. Pan, Y. P. Zeng, M. Y. Kong, et al., “Normal incident infrared
absorption from InGaAs/GaAs quantum dot superlattice,” Electron. Lett.
32(18), 1726 (1996).
37. A. D. Stiff-Roberts, S. Chakrabarti, S. Pradhan, et al., “Raster-scan
imaging with normal-incidence, midinfrared InAs/GaAs quantum dot
infrared photodetectors,” Appl. Phys. Lett. 80(18), 3265–3267 (2002).
38. J. Jiang, K. Mi, R. McClintock, et al., “Demonstration of 256  256 focal
plane array based on Al-free GaInAs-InP QWIP,” IEEE Photon. Technol.
Lett. 15(9), 1273–1275 (2003).
39. V. Ryzhii, V. Pipa, I. Khmyrova, et al., “Dark current in quantum dot
infrared photodetectors,” Japan. J. Appl. Phys. Part 2: Lett. 39(12B),
L1283–L1285 (2000).
40. S. J. Xu, S. J. Chua, T. Mei, et al., “Characteristics of InGaAs quantum dot
infrared photodetectors,” Appl. Phys. Lett. 73(21), 3153–3155 (1998).
41. H. Jiang and J. Singh, “Low-dimensional systems and nanostructures:
Radiative and non-radiative inter-subband transition in self assembled
quantum dots,” Physica E, 2(1–4), 720–724 (1998).
42. M. Razeghi, H.-C. Lim, S. Tsao, M. Taguchi, W. Zhang, and A. A. Quivy,
“Quantum dot infrared photodetectors and focal plane arrays,” Proc. SPIE
6206, 620601 (2006) [doi: 10.1117/12.6611175].
43. J. Szafraniec, S. Tsao, W. Zhang, et al., “High-detectivity quantum-dot
infrared photodetectors grown by metalorganic chemical-vapor depos-
ition,” Appl. Phys. Lett. 88(12), 121102–3 (2006).
44. S. Raghavan, P. Rotella, A. Stintz, B. Fuchs, S. Krishna, C. Moraht, D.
A. Cardimona, and S. W. Kennerly, “High-responsivity, normal-
incidence long-wave infrared (l  7.2 mm) InAs/In0.15Ga0.85As dots-in-
a-well detector,” Appl. Phys. Lett. 81(8), 1369–1371 (2002).
488 Chapter 19

45. L. Jiang, S. S. Li, N.-T. Yeh, et al., “In0.6Ga0.4As/GaAs quantum-dot


infrared photodetector with operating temperature up to 260 K,” Appl.
Phys. Lett. 82(12), 1986–1988 (2003).
46. D. Pan, E. Towe, and S. Kennerly, “Normal-incidence intersubband
(In, Ga)As/GaAs quantum dot infrared photodetectors,” Appl. Phys. Lett.
73(14), 1937–1939 (1998).
47. Z. Chen, E.-T. Kim, and A. Madhukar, “Normal-incidence voltage-
tunable middle- and long-wavelength infrared photoresponse in self-
assembled InAs quantum dots,” Appl. Phys. Lett. 80(14), 2490–2492
(2002).
48. E. Finkman, S. Maimon, V. Immer, G. Bahir, S. E. Schacham, F. Fossard,
F. H. Julien, J. Brault, and M. Gendry, “Polarized front illumination
response in intraband quantum dot infrared photodetectors with back-
ground limited performance at 77K,” Phys. Rev. B 63(4), 045323 (2001).
49. H. Hwang, K. Park, S. Yoon, et al., “Growth and in situ analysis of InAs/
inP quantum dot stack and its far infrared absorption properties,” Proc.
SPIE 4999, 229–237 (2003) [doi: 10.1117/12.482480].
50. Y. W. Mo, D. E. Savage, B. S. Swartzentruber, et al., “Kinetic pathway in
Stranski-Krastanov growth of Ge on Si(001),” Phys. Rev. Lett. 65(8),
1020–1020 (1990).
51. V. M. Ustinov, E. R. Weber, S. Ruvimov, et al., “Effect of matrix on InAs
self-organized quantum dots on InP substrate,” Appl. Phys. Lett. 72(3),
362–364 (1998).
52. M. Erdtmann, A. W. Matlis, C. L. Jelen, M. Razeghi, and G. J. Brown,
“High responsivity GaInAs/InP quantum well infrared photodetectors
grown by low-pressure metalorganic chemical vapor deposition,” Proc.
SPIE 3948, 220–226 (2000) [doi: 10.1117/ 12.382122].
53. J. Jiang, K. Mi, R. McClintock, et al., “Demonstration of 26  256 focal
plane arrays based on Al-free GaInAs/InP QWIP,” IEEE Photon.
Technol. Lett. 15, 1273 (2003).
54. M. Razeghi, The MOCVD Challenge, Institute of Physics Publishing,
Bristol and Philadelphia, p. 12 (1995).
55. Y. M. Qiu and D. Uhl, “Effect of thin GaAs interface layer on InAs
quantum dots grown on InGaAs/InP using metalorganic vapor phase
epitaxy,” J. Crystal Growth 257, 225 (2003).
56. M. S. Ünlü and S. Strite, “Resonant cavity enhanced photonic devices,”
J. Appl. Phys. 78(2), 607–639 (1995).
57. W. Zhang, H. Lim, M. Taguchi, A. Quivy, and M. Razeghi, “InAs
quantum dot infrared photodetectors on InP by MOCVD,” Proc. SPIE
6127, 61270M (2006) [doi: 10.1117/12.659051].
Quantum Dots for Infrared Focal Plane Arrays Grown by MOCVD 489

58. H. Lim, W. Zhang, S. Tsao, et al., “Quantum dot infrared photodetectors:


Comparison of experiment and theory,” Phys. Rev. B 72, (2005).
59. M. Allovon and M. Quillec, “Interest in AlGaInAs on InP for
optoelectronic applications,” IEE Proc. J: Optoelectron. 139, 148 (1992).
60. S. Tsao, A. Myzaferi, and M. Razeghi, “High performance quantum dot
duantum well infrared focal plane arrays,” Proc. SPIE 7605, 76050J
(2010) [doi: 10.1117/12.846252].
61. S. Tsao, H. Lim, H. Seo, W. Zhang, and M. Razeghi, “InP-based
quantum-dot infrared photodetectors with high quantum efficiency and
high-temperature imaging,” IEEE Sensors J. 8(6), 936–941 (2008).
62. S. Krishna, “Quantum dots-in-a-well infrared photodetectors,” J. Phys.
D: Appl. Phys. 38, 2142–2150 (2005).
63. X. L. Huang, Y. G. Shin, E.-K. Suh, et al., “Temperature induced blue
shift and broadening of intersubband absorption and photocurrent spectra
in GaAs/Al0.30Ga0.70As multiple quantum wells,” J. Appl. Phys. 82(9),
4394–4399 (1997).
64. S. Y. Wang, S. D. Lin, H. W. Wu, et al., “Low dark current quantum-dot
infrared photodetectors with an AlGaAs current blocking layer,” Appl.
Phys. Lett. 78(8), 1023–1025 (2000).
65. H. Lim, S. Tsao, W. Zhang, et al., “High-performance InAs quantum-dot
infrared photodetectors grown on InP substrate operating at room
temperature,” Appl. Phys. Lett. 90(13), 131112–3 (2007).

Manijeh Razeghi received the Doctorat d'État ès


Sciences Physiques from the Université de Paris,
France, in 1980. After heading the Exploratory
Materials Lab at Thomson-CSF (France), she joined
Northwestern University, Evanston, Illinois, as a
Walter P. Murphy Professor and Director of the Center
for Quantum Devices in fall 1991, where she created the
undergraduate and graduate program in solid state
engineering. She is one of the leading scientists in the field of semiconductor
science and technology, pioneering the development and implementation of
major modern epitaxial techniques such as MOCVD, VPE, gas MBE, and
MOMBE for the growth of entire compositional ranges of III-V compound
semiconductors. She is on the editorial board of many journals such as
Journal of Nanotechnology, and Journal of Nanoscience and Nanotechnology,
and is an Associate Editor of Opto-Electronics Review. She is on the
International Advisory Board for the Polish Committee of Science and is an
490 Chapter 19

Adjunct Professor at the College of Optical Sciences of the University of


Arizona, Tucson, Arizona. She has authored or coauthored more than 1000
papers, more than 30 book chapters, and fifteen books, including the
textbooks Technology of Quantum Devices, Springer Science þ Business
Media (2010) and Fundamentals of Solid State Engineering, 3rd Edition,
Springer Science þ Business Media (2009). Two of her books, MOCVD
Challenge Vol. 1, IOP Publishing Ltd. (1989) and MOCVD Challenge Vol. 2,
IOP Publishing Ltd. (1995), discuss some of her pioneering work in
InP-GaInAsP and GaAs-GaInAsP based systems. The MOCVD Challenge,
Second ed., Taylor & Francis/CRC Press (2010) represents the combined
updated version of Volumes 1 and 2. She holds 50 U.S. patents and has given
more than 1000 invited and plenary talks. Her current research interest is in
nanoscale optoelectronic quantum devices.
Dr. Razeghi is a Fellow of MRS, IOP, IEEE, APS, SPIE, OSA, a Fellow
and Life Member of the Society of Women Engineers (SWE), a Fellow of
the International Engineering Consortium (IEC), and a member of the
Electrochemical Society, ACS, AAAS, and the French Academy of Sciences
and Technology. She received the IBM Europe Science and Technology Prize
in 1987, the Achievement Award from the SWE in 1995, the R. F. Bunshah
Award in 2004, and many best-paper awards.

Stanley Tsao received his Ph.D. in electrical engineering


from the Center for Quantum Devices at Northwestern
University. He has worked on the development of
III-V-based quantum-dot infrared detectors for focal
plane arrays, specializing in MOCVD growth of
quantum dots and detector structures. He also has
experience with the fabrication and testing of infrared
detectors and focal plane arrays.
Chapter 20
Near-Infrared Light Detection
using CMOS Silicon Avalanche
Photodiodes (SiAPDs)
Ehsan Kamrani, Frédéric Lesage, and Mohamad Sawan
Department of Electrical Engineering, École Polytechnique de Montréal,
Québec, Canada

20.1 Introduction
20.2 Background Theory: How SiAPDs Work
20.3 Design Challenges of NIR Detectors
20.3.1 Modeling and simulation
20.3.2 Fabrication: standard and dedicated CMOS process
20.3.3 Premature edge breakdown (PEB) effects
20.3.4 APD structure
20.4 SiAPDs Circuitry Design
20.4.1 Circuitry required for SiAPD based front ends
20.4.2 Linear mode SiAPD front end
20.4.3 Geiger mode SiAPD front end
20.5 Optimally Adaptive Control for Low Noise, Low Power, and Fast Photodetection
20.6 Conclusion
Acknowledgment
References

20.1 Introduction
Infrared sensors have been available since the 1940s to detect, measure, and
monitor the thermal radiation emitted by objects.1,2 Silicon avalanche
photodiodes (SiAPDs) are a potential candidate for low-level light detection,
especially in the visible and near-infrared (NIR) regions due to their bias-
dependent internal gain and their ability to amplify the photogenerated
signal by avalanche multiplication. SiAPDs became popular for several
491
492 Chapter 20

applications including light detection and ranging (LIDAR),3 military,4


astronomy,5 photon counting,6 and fiber optic communication.7 They are
potential candidates for applications such as quantum cryptography,8,9
profilometry of remote objects,10 fluorescence spectroscopy,11 and biomedi-
cal imaging systems such as positron emission tomography (PET),12 single-
photon emission computed tomography (SPECT),13 and NIR spectroscopy
(NIRS)14 as a functional and noninvasive tool for brain monitoring and
imaging.15 In all of these applications, SiAPD plays a critical role, affecting
the overall performance and functionality of the device. As an example, in
NIRS, the brain tissue is illuminated by NIR radiation, and the reflected
signal is observed to investigate the brain’s function. In the NIR range (650–
950 nm), water has relatively low absorption, while oxy- and deoxy-
hemoglobin have high absorption. Due to these properties, NIR light can
penetrate biological tissues in the range of 0.5–3 cm, allowing investigation
of relatively deep brain tissue and a potential to differentiate between
healthy and diseased tissues.15 A critical element for NIRS front-end
receivers includes a low-noise, sensitive photodetector to ensure maximum
detection of the reflected NIR light that is strongly attenuated (seven to nine
orders of magnitude) by the biological tissues.
A minimal signal-to-noise ratio (SNR) of 40 dB is usually needed for
low-intensity light-detection application. SiAPDs with dark current in the
nano-ampere range, and the generated photocurrent in the hundreds of
micro-ampere range confirms SNR of much higher than 40 dB. SiAPDs
have been commercially available for more than 30 years, usually built with
a dedicated process, which does not allow monolithic integration with other
electronic circuitry. The main characteristics of the most common
photodetectors are summarized and compared in Table 20.1.16,17 As shown
in this table, the SiAPD offers good characteristics for NIR light-detection
applications. The silicon-based APD as an indirect-bandgap semiconductor
(in contrast to the direct-bandgap semiconductors such as GaAs) has
emerged as a versatile and easy-to-use detector when compared to other
available detectors. Optical sensors for extremely low-level-light conditions
must convert each incoming photon into a measurable electrical signal.
Single-photon detectors, as these sensors are called, can be employed in
vision systems with 3D imaging and ranging capability, for sensing at night
or in caves, for low-data-rate intra- and inter-platform communications, and
for molecular sensing in bio-analytical fluorescence imaging. Geiger-mode
SiAPDs (GM-SiAPDs) have been developed in a variety of processes and for
many different applications. The first generation of CMOS SiAPD devices
use a large-feature-size CMOS process to maximize the silicon die area for a
low-cost solution, but the use of a highly doped layer at the GM-SiAPD
device surface limits the performance of the SiAPDs, particularly in optical
detection efficiency and dark-noise response.16
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 493

Table 20.1 Performance comparison of current solid state photon detectors [HV – high
voltage, FPN – fixed pattern noise (defined as variation of the pixel response at one moment
of an image sensor in the dark), EMI – electromagnetic interference, PDE – photon detection
efficiency, ph.e. – number of photoelectrons].

PMT CCD Image Sensor SiAPD HPD SiPM

Pixel size Bulky Small Compact Very Small Small Small


(prevent use
in dense
arrays)
Fill factor High High very Moderate
high high
Noise Low Low FPN Moderate High High
(no FPN) high
Detection speed High <1 ns Slow Low High very High High
pulses (Serial moderate high >20 ns
readout) or <1 ns
pulses
Power High Low Low Moderate Moderate
Voltage HV High Low 10 500 V 20 kV 25 V
(1 2 kVdc)
Gain Very high High 103 106
(100 10,000 k) 10 300 k
Dynamic range 106 Medium Large Large 103/mm2
Excess noise factor <2 2
Operating 240 K 240 300 K
temperature
Afterpulsing No Only in GM
Wavelength 1.6 mm 1 2 mm
EMI susceptibility Yes No No No
Parasitic Low
capacitance
Reliability <1000 h High High
(>1000 h)
in linear
mode
Threshold sensitivity 1 ph.e. 10 ph.e. 1 ph.e. 1 ph.e.
SNR  1
Timing /10 ph.e. 100 ps A few 100 ps 30 ps
nanoseconds
Process uniformity No Yes Yes
Complexity High (vacuum, Low Medium Very high Relatively
HV) (low noise low
electronics)
Crosstalk N/A Poor in GM
Sensitivity Highest High Low moder High
ate
PDE @ Blue Low (10 20%) 50% 20% 12%
PDE @ Green A few % 60 70% A few % 15%
PDE @ Red <1% 80% <1% 15%
Cost High High Low Low High High
moderate
CMOS No No (difficult) Yes Yes Yes Yes
compatibility (easy to
integrate)
494 Chapter 20

When compared to alternatives, SiAPDs have some advantages. The


photomultiplier tubes (PMTs) are bulky, subtle, sensitive to magnetic
fields, and require a high voltage supply. With their bias-dependent
internal gain and photogenerated signal amplification (avalanche
multiplication) characteristics, SiAPDs offer high fill-factor (FF), high
dynamic range, and in-pixel circuit integration. They offer higher
detection rate and lower power consumption compared to charge-
coupled devices (CCDs).18 They are more compact with higher dynamic
range and sensitivity compared to the complementary metal-oxide-
semiconductor (CMOS) image sensors. Compared to hybrid photode-
tectors (HPDs) 19 and silicon photomultipliers (SiPMs), 20 the SiAPD is
also less expensive and more compact with less required operation
power. The fabrication of SiAPDs in standard CMOS technology
permits fabrication of both the photodetector and the necessary
peripheral circuits on the same chip for an integrated system. However,
it is challenging to make SiAPDs in standard CMOS technology due to a
lack of specialized fabrication steps. Commercial high-voltage CMOS
processes suffer from several salient reliability issues that impede the
progress of the technology to feature sizes competitive with standard
low-voltage CMOS,7 thus, limiting the ultimate density of single-photon
avalanche diode (SPAD) arrays fabricated in these processes. Fabrica-
tion steps that guarantee high breakdown voltages and eliminate
latch-up often introduce leakage problems at crucial device interfaces. 21
To date, the only GM-SiAPD reported in a non-high-voltage process is
the shallow-trench isolated structure described in Ref. 8. This structure
has a very high dark-current/count, most likely a result of its use of an
isolation oxide (which, unfortunately, is available only in a limited
number of standard fabrication processes) to form the guard ring,
creating a poor material interface at a crucial location inside the
device. 21
Here we introduced the basic characteristics of the SiAPDs and reviewed
the most popular applied techniques for APD fabrication using standard
CMOS technology. After explaining the general characteristics of SiAPDs,
the main challenges in their design and fabrication are described, and several
new developed SiAPDs in different CMOS technologies are characterized.
New APD structures are proposed and implemented using relatively low-
doped layers available in standard CMOS process technology. These
structures are modified to reach maximum efficiency for low-noise, low-
intensity light detection in the NIR wavelength region to be applied in
different NIR light-detection applications. The circuitry front-ends that
operate SiAPDs in different modes of operation are also described, and new
circuits to efficiently operate SiAPD-based NIR detectors are reported and
evaluated.
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 495

20.2 Background Theory: How SiAPDs Work


Semiconductor materials are used to fabricate photodetectors in order to
detect photons (light) through their photosensitive characteristics and by
converting light into electricity. A photodiode is a reverse-biased p–n
junction, in which photons are absorbed everywhere, and an electron–hole
pair is generated.22 The p–n junction normally operates in the photodiode
mode to serve the need of a pixel or an image sensor. When the photodiode
is in darkness, the net current (owing from p-type to n-type region) is
negative but very close to zero. This current is primarily the thermally
activated diffusion current of the junction and is called the dark current.
When the photodiode is illuminated, the absolute net current becomes larger
because of the photocurrent Iph, which is subject to change with different
numbers of electron–hole pairs (EHPs) generated and separated by the
built-in potential.22
Common silicon photodetectors are essentially p–i–n diodes in which the
incident light generates EHPs in the depletion region, contributing to
the reverse current. The increase of the diode current is proportional to the
incident light intensity. A PIN diode, as shown in Fig. 20.1(a), is a p–n
junction with a wide intrinsic (lightly doped) layer sandwiched between p
and n layers. The p-type and n-type regions are typically heavily doped
because they are used for ohmic contacts. The wide intrinsic region is in
contrast to an ordinary p–n diode and makes the PIN diode an inferior
rectifier, which is one typical function of a diode. However, its wide intrinsic
region makes the p–i–n diode suitable for attenuators, fast switches,
photodetectors, and high-voltage-power electronics applications. Using the
intrinsic region increases the depletion width, which increases the photon

Figure 20.1 Structure of (a) a p–i–n diode and (b) a reach-through SiAPD. Their charge
densities and electric field distributions are included below each diagram.
496 Chapter 20

absorption depth, thus improving the absorption in the red and IR regions of
light. It also decreases the junction capacitance, thereby reducing the
resistor-capacitor (RC) delay constant.
The transit time of carriers drifting across the depletion region along with
the RC time response are the main sources of the response time of photodiode
detectors. The response time of p–i–n photodiodes can be as low as a few
hundred picoseconds.23 It should be noted that in the case of silicon, the
maximum responsivity occurs on wavelengths that are substantially shorter
than the bandgap wavelength. This is due to the indirect-gap nature of silicon,
where the photon absorption transitions typically take place from valence- to
conduction-band states that are above the conduction-band edge.24 The APD
can be considered as a modified p–i–n junction, as depicted in Fig. 20.1(b).
The basic structure of an APD contains two regions: the photon absorption
region and the multiplication region. The photon absorption region absorbs
incoming photons and converts them to EHPs. Then, the generated primary
charge carriers travel to the multiplication region, which is usually formed by
a p–n or a p–i–n junction.
The APD must be inversely biased. This creates a depletion region and
therefore a high electric field across the junction (due to the space charge). The
electric field in the multiplication region will accelerate any primary charge
carrier that enters this region and will increase its kinetic energy. If this energy
is high enough, the accelerated carriers (electron or hole) can excite new EHPs
by transferring a part of their energy into the lattice. The newly generated
EHPs also will be accelerated due to the electric field of the multiplication
region and will create more and more EHPs in this region. This impact
ionization process constructs the internal avalanche gain property and causes
a single photon to be multiplied, introducing an avalanche current.
The photogenerated EHPs can be generated due to two different processes:
(1) the band-to-band transitions, which are called intrinsic excitation, or
(2) the forbidden-gap energy-level transitions, which are called extrinsic
excitation. Intrinsic excitation is the desired process and uses well-controlled
growth processes that are the dominant mechanism contributing to the
photon absorption process.25 27
The incoming photons, whose energy is higher than the semiconductor
bandgap energy, can excite the electron to be transferred from the valence
band to the conduction band and introduce photogenerated electrical current.
The relation between the semiconductor bandgap energy (Eg) and the long
cutoff wavelength (lmax) can be defined as: Eg (eV) ¼ 1.24/ lmax (mm). For
APDs, internal avalanche gain is generated through the impact ionization
process or the so-called avalanche multiplication process. When a free charge
carrier enters the high field multiplication region, with a certain probability, it
initiates the avalanche process, during which the primary carrier can be
multiplied. Then, at a certain point, the ionization chain breaks as the last free
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 497

Figure 20.2 Operation principle of an APD and avalanche multiplication.

carrier exits the multiplication region without exciting subsequent new EHPs
due to statistical fluctuation of the impact ionization process. For an
avalanche initiated by a single carrier, multiplication gain M is defined as the
total number of EHPs generated during the multiplication process.
APDs have a bias-dependent internal gain, which makes them compatible
for low-level light detection in the visible and NIR regions. The bias of an
APD just near but below a breakdown voltage Vbr is referred to as being in
linear mode (LM) operation. At this bias voltage, the gain is high, and the
output signal is proportional to the amount of scintillation light interacting in
the APD. A schematic illustration of avalanche multiplication is depicted in
Fig. 20.2. In linear mode, only one type of carrier (electron or hole) with a
higher ionization coefficient takes part in the avalanche process. In Geiger
mode, both electrons and holes produce new EHPs that take part in the
avalanche process and in promoting a self-sustained avalanche.26 28

20.3 Design Challenges of NIR Detectors


20.3.1 Modeling and simulation
Several equivalent-circuit models of the photodiode have been discussed in the
literature for use in photodetector simulation circuits.29 32 In order to simulate
498 Chapter 20

Figure 20.3 Proposed photodiode model.

the behavior of photodiodes in our designs, we used the equivalent-circuit


model shown in Fig. 20.3. This circuit is based on the circuit that is proposed
in Ref. 30. The conventional p–n-junction diode model is used for the core of
the photodiode model. R1 represents the shunt resistance, and C is the
depletion capacitance of the diode in the reverse-bias condition. C affects the
speed and noise performance of the amplified photodetector. R2 and R3
model the series resistance at the cathode and anode side of the diode,
respectively, and significantly affect detection SNR. To account for the parasitic
inductances associated with interconnection lines, L1 and L2 are added in series
with R2 and R3 on the cathode and anode sides, respectively. Here, we also used
the thermistor and a control block to take into account the variation in APD
parameters according to temperature, noise, and bias variations.
Several tools are currently used for modeling and simulation of the APDs
in different technologies. These tools include COMSOL Multiphysics®,33
technology computer-aided design (TCAD),34 37 MATLAB®,38 Crosslight
Advanced Physical Models of Semiconductor Devices (APSYS),39 and Silvaco
TCAD.40,41 We used CADENCE® Schematic Editor and Virtuoso® Layout
Editor to obtain optimal design and simulation of the APD and peripheral
circuitries. Optimization of the SiAPD performance was achieved by device-
level simulation using Synopsys® TCAD Sentaurus software (Fig. 20.4).

Figure 20.4 Device simulation of the SiAPD under reverse bias: (a) the electric distribution
without a ring guard. (b) Using a ring guard, the maximum electric field distribution is mainly
located at the active region of the device under reverse bias. (See color plate section.)
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 499

Using these tools, we designed and developed several miniaturized, low-noise,


high-gain CMOS photodiodes with high detection efficiency and low
breakdown voltage using standard CMOS technology.15,42 These SiAPDs were
developed using pþ/n-well topology with a guard ring realized by a low-doped p
well around a pþ active area and low-doped n ring, using the relatively low-
doped layers available in standard CMOS technology. The general character-
istics of these SiAPDs are shown in Table 20.2. A significant discrepancy in
photon detection probability (PDP) and noise factor (F) was observed in
simulations using Spectra, TCAD (T) and 3DTCAD (3DT). However, the
extracted results from 3DTCAD were more reliable and close to the
measurement results described below compared to the Spectra and TCAD
results. Since the doping concentrations for the layers are fixed for standard
CMOS technology, implementation of the predefined optimal doping profiles
(extracted from analytical calculation and simulation) is not possible precisely
in standard CMOS technology. Thus, as expected, the measurement results of
the fabricated APDs did not exactly match the simulations. Other sources of
this mismatch were the effects of the bonding pad parasitic capacitance and the
passivation layer imposed by fabrication on the performance of the designed
APDs. The mismatch might be due to the limited accuracy of the test setup used
to obtain these values.
It is important to note that although the efficiency of the APDs can be
accurately verified by simulation before fabrication, unfortunately, some
discrepancies may be observed between the simulated and measured
parameters. These discrepancies occur in the photon density efficiency
(PDE) and dark-current values43 and could be due to the inadequacy of the

Table 20.2 Simulated characteristics of the proposed SiAPDs using TCAD and 3DTCAD.

Reference SiAPD

Parameter p well p substrate

Rectangular Rectangular Rectangular Octagonal

Guard ring n tub n tub p well p well


Depletion layer >10 mm >10 mm >40 mm >10 mm
thickness
Linear gain >100 100 200 100
Area ( mm2) 100  100 400  400 100  100 100  100
PDE @ 700 nm 85% 85% 95% 75%
PDE @ T 30% 30% 40% 20%
900 nm 3DT 5% 45% 25% 2%
Vbr 9V 9V 6V 6V
Impedance (V) 600 600 0.5 0.5
Capacitance 1 pF 32 pF 1 pF 1 pF
F@ T 70 @ 800 nm 45 @ 800 nm 50 @ 800 nm 140 @ 800 nm
M 20 3DT 74 @ 800 nm 50 @ 800 nm 60 @ 800 nm 150 @ 800 nm
500 Chapter 20

applied device simulation tool and the inflexibility of the standard CMOS
technology in precisely simulating and implementing the desired character-
istics. The inefficiency of the applied modeling techniques along with the
complexity of the fabrication process can lead to a considerable number of
nonfunctional APDs after fabrication (e.g., only 28% functional APDs
according to Ref. 24).

20.3.2 Fabrication: standard and dedicated CMOS process


One of the main difficulties in achieving optimal CMOS APD design and
fabrication is the technological constraints imposed by CMOS chip
manufactures, such as AMS, IBM, and TSMC. Manufacturers do not
provide doping profile information for their technologies. Referring to the
applied technology layer doping and depth characteristics, we can point out
the weak depths and the high doping values applied in the technology. The
quality metrics used in the design of a high-performance image sensor for low-
level light detection depend on both the pixel architecture and the
photosensitive structure. Several parameters of photosensing using junction
diodes such as photocurrent, dark current, junction capacitance, breakdown
voltage, thermal noise, and shot noise depend on the SiAPD structure and the
type of junction. In addition to the junction characteristics, the location of the
junction also contributes to spectral sensitivity. SiAPDs fabricated using
highly optimized dedicated processes to achieve excellent device performance
can have low-doped p and n layers, resulting in a wide depletion region
extending from the cathode to the anode. Due to the availability the of wide
depletion region, SiAPDs are efficient for absorption of red and NIR photons.
Nevertheless, the SiAPDs fabricated in a dedicated process have two
major disadvantages: the very high production cost of a specialized
fabrication process and the impossibility of integrating electronic circuits on
the same chip. During the previous decade, several dedicated SiAPD
fabrication technologies were proposed44 46 that are compatible with the
fabrication of CMOS circuits; therefore, monolithic integration of APD
devices and CMOS circuits became possible. However, optimizing the
performance of both the CMOS devices and the SiAPD is difficult.
To overcome these problems, researchers have investigated the design and
fabrication of SiAPDs in a standard CMOS process to reduce cost and to
maximize miniaturization.47,48 The fabrication of SiAPDs in standard CMOS
technology permits having both the photodetector and the necessary
peripheral circuits on the same chip as an integrated system. However, it is
challenging to make SiAPDs in standard CMOS technology due to lack of
specialized fabrication steps. Furthermore, the realization of the APD must be
compatible with the CMOS process characteristics, and the APD needs to
operate with a voltage sufficient to allow for avalanche mode without
destroying the device, particularly at the peripheral junction in the presence of
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 501

punch through, high tunneling, and premature-edge-breakdown (PEB)


effects.34 The punch-through effect, which is frequently observed where the
n wells cannot join each other in the second family of structures (p-substrate
guard-ring-based structures), is due to the fact that the doping profiles and
distances between junctions cause the depletion regions of two superimposed
layers to touch, thus causing an ohmic resistance that effectively short circuits
the cathode and anode.49 Also, due to elevated doping profiles, tunneling
dominates, thus preventing control over the dark-count/current rate (DCR)
and causing the APD to operate as a Zener diode.

20.3.3 Premature-edge-breakdown (PEB) effects


CMOS SiAPDs work in avalanche mode, which necessitates application of
high voltage across the device. As such, there is a risk of premature
breakdown of the device, particularly at the junction peripheral edges6 since
higher electric field exists at the periphery. In this case, most of the charge
multiplication events will be triggered by thermal generation, which gives rise
to large values of dark count and prevents light detection. Therefore, a
circular shape is desired in order for APDs to reduce the possibility of corner
breakdown; however, this might not be implementable in standard CMOS
technologies because the layout rules for some standard technologies do not
allow for a circular shape. The more popular solution for PEB prevention
(PEBP) is using a guard ring, as is considered in this chapter. To avoid the risk
of device PEB, generally, a guard-ring structure is implemented where the
peripheral junction has a slightly lighter-doped region than the active junction
because a low-doped region has a lower electric field compared to a heavily
doped one. Guard rings have been used for decades to achieve several goals,
including dark-current reduction in photodiodes, 1/f noise reduction in
oscillators, etc. Primarily, the guard ring was implemented by cleaving the
junction so that only the planar junction surface remains.7
This technique cannot be used as a standard process for a large number of
pixels and cannot be included in CMOS standard processes. A diffused-guard-
ring technique extends the region across which the electric field develops,
thereby decreasing it at the edges. This technique is compatible with standard
processing steps, but it occupies large space and lowers the fill factor.4,8 In the
mesa guard ring,8 etching and subsequent filling with a dielectric physically
planarizes and isolates adjacent junctions. Arrays of devices using this
technique are demonstrated, where fill factor and pitch are adversely
impacted.8 Field-limiting rings are used in high-voltage devices to prevent
PEB in curved junction regions.9 PEBP is accomplished by extending the
depletion region to edges where the most hot spots for electric fields are
expected to be located. For some previously reported CMOS APDs applied in
Geiger mode,10,11 the guard rings are realized with a p well, invariably with
high DCR. Some of them have a buried n-type isolation layer that prevents a
502 Chapter 20

punch through of the p-well guard ring to the p substrate.11 A similar guard-
ring structure can also be created from the substrate doping by bringing rings
of n well into close proximity of each other.5 This device cannot be scaled
much below a 5-mm diameter10 because the p-well regions become so close
that the active area of the APD is almost fully depleted. The highest electric
field no longer occurs at the pþ/n-well interface, and the APD performs as a
planar p-well n-well diode. APDs with guard rings formed by either n-well
spacing in p substrate or p-well implants in high-voltage wells were successful
at providing low DCR.5
Another approach is to use triple-well process steps and shallow-trench
isolation (STI) as a guard ring to withstand the high electric fields between the
anode and cathode.12 Here, the edges of the drain implant are confined by the
oxide trench, and formation of the curved edges is prevented. As a result, a
uniform field is achieved more compactly than with a diffused p-well ring.
However, STI dramatically increases the density of deep-level carrier
generation centers at its interface.8 Since the active region of the SiAPD is
in direct contact with the isolated trench, the injection of free carriers into the
sensitive region of the detector results in a very high (1 MHz) DCR, due to
the traps at the Si–SiO2 interface, and degrades the performance of the
SiAPD. Even by considering the PEB effect and applying different PEBP
techniques, only a few percent of fabricated APDs using standard CMOS
technology are functional, even with proper design specifications interpreted
from theory and device simulation results obtained before fabrication.49,50
In Ref. 34 we studied the most commonly applied PEBP techniques as
well as techniques for APD fabrication using standard CMOS technology and
proposed a new, practical, efficient design technique for fabricating a
functional APD based on our simulation and fabrication experiences.34,51
Inefficiency of the applied PEBP techniques and insufficiency of device
simulation results can lead to a low performance or nonfunctional SiAPD and
a significant discrepancy between design simulation and measurement
results.34 In addition to the doping profile and electric field distribution in
the SiAPD structure, the punch-through, high-tunneling, and PEB effects
need to be considered to design a high-quality SiAPD using a standard CMOS
process. The sharpness of the transition between band-to-band tunneling and
the avalanche is reported as a critical design criterion.
New APD structures are proposed and implemented using the relatively
low-doped layers available in standard CMOS process technology. These
structures are modified to have a most efficient PEBP to reach maximum
efficiency for low-noise and low-intensity light detection in the NIR
wavelength region to be applied in medical imaging applications such as
functional near-infrared spectroscopy (fNIRS). In order to boost the quality
of the APDs, we need to apply a wavelength-specific SiAPD design
procedure. CMOS SiAPDs with wide depletion region (>10 mm) are
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 503

appropriate for NIR light absorption, which necessitates designing the


SiAPDs with low-doped layers.

20.3.4 APD structure


APDs can be obtained by implementing two possible approximations that
produce two different structures with differentiated capabilities. On one hand,
thin SiAPDs52 are devices with a depletion layer of few micrometers and low
breakdown voltages. They present good detection efficiency and time
resolution. As in planar APDs, it is important to avoid the possibility of edge
breakdown of the sensor. Thus, many different terminations have been
proposed, generating a variety of planar-CMOS-compatible devices. On the
other hand, thick APDs53 are devices with a depletion layer of some tens of
micrometers that work at high breakdown voltage; they have good detection
efficiency but moderate time resolution. These components are fabricated in
dedicated technologies, increasing their cost.26
We designed a pþ/n-well SiAPD with two different guard rings (p well
and p substrate) as shown in Figs. 20.5(a) and (b) in two different square

Figure 20.5 Cross section (first row), electric-field distribution (second row), and I-V
characteristics (third row) of the implemented (a) p-well, (b) p-sub, and (c) n-well SiAPDs
(second-row figures adapted from Ref. 34). (See color plate section.)
504 Chapter 20

and octagonal shapes. These provide a tradeoff between fill factor and
angularity and a feasible way to validate the efficiency of the applied
guard rings. The p-well APD is a pþ/n-well APD developed in square
and octagonal shape with a p-well guard ring to prevent PEB. The guard
ring is realized by low-doped p well around a pþ active area with 100-mm
diameter. In p-substrate (p-sub)-based guard-ring APDs, no explicit guard
ring is added. In other words, spacing between the active region and n well is
achieved by substrate intrinsic doping. The n well is cleaved into two n tubs
separated by a small interval (d  0. 9 mm) constituting the guard ring. This
structure is based on connecting n wells surrounding an island of substrate
as a guard ring. Deep n wells can connect two n wells and isolate the guard
ring between the n wells. By careful positioning of doping profiles, two
separate n wells can also be connected directly without using deep n wells.
We developed this APD with two different active areas of 100 mm  100 mm
and 400 mm   400 mm.
The device simulations are performed using TCAD and Spectra imaging
to find the best dimensional values that minimize PEB. In order to analyze
APD performance, we considered both the extension of p/nþ in the guard
ring (active diffusion in the guard ring) for PEBP and the polysilicon width
to control the separation between the active region and the guard ring.
Electric field distributions show the maximum electric field occurring in the
active regions, a necessity for GM operation. The electric field distribution
of the device under reverse bias was verified. The simulation results also
show that the maximum electric field (160 kV/cm) appeared in the active
pþ/deep-n-well junction and that the device is able to withstand the electric
field without breakdown. In order to prevent the high electric field at the
connection between n wells, an occurrence that can cause edge breakdown,
we modified the doping profile in these regions. These APDs are fabricated
by TSMC (Taiwan Semiconductor Manufacturing Co.) via CMC (Canadian
Microelectronics Corp.) microsystems using standard CMOS 0.35-mm
technology.
Here, with an n-well PEBP technique, we designed another new APD
that is an nþ/p-sub SiAPD. It uses the connection between the highly doped n
region and the substrate as the active region. Figure 20.5(c) shows the cross
section of an n-well-based guard-ring APD in 350-nm technology.
The electric field distribution strength in the cross section of the APD
(when Ve ¼ 1 V) at different depths in the absence of any radiation is
depicted in Fig. 20.5(c). The figure shows that the guard ring is most
effective near the surface of the APD, where most of the photon absorption
takes place, and the maximum electric field (750 kV/cm) is in the active
region, so the device is able to endure the electric field without breakdown.
In order to avoid high DCR, the excess bias Vex must be kept sufficiently
low, at the cost of a lower PDP. Furthermore, high tunneling is expected in
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 505

Figure 20.6 I-V characteristics of the proposed SiAPDs. The n well2 in (b) is gained with
higher doping in thicker epitaxial compared to the n well. (See color plate section.)

high Vex values due to the applied relatively highly doped substrate in the
APD. This APD suffers from a relatively high dark current (120 nA,
generated by tunneling and Shockley–Read–Hall processes), which may
impose an insignificant power consumption increase in high illuminations.
The sharp transition between the band-to-band tunneling region and the
avalanche region makes the n-well APD suitable for GM operation (Fig. 20.6).
Figure 20.7(a) depicts more clearly the quantified values of electric field
distribution of the n-well guard-ring-based APD. Figure 20.7(b) shows the
DCR versus excess bias voltage in different temperatures of the n-well APD.
The PDP versus excess voltage and in different wavelengths are compared for
all proposed APDs. Among the three families of structures (p-well, p-substrate,
and n-well guard ring), only the APD with an n-well-based guard-ring structure
could be biased in GM because the other structures suffer from drawbacks such
as punch through, high tunneling, and PEB. We also examined the effect of
doping and scale variations in order to optimize the APD performance.

Figure 20.7 (a) Electric field distribution and (b) DCR of the n-well SiAPD (reprinted from
Ref. 51).
506 Chapter 20

Figure 20.8 Comparison of (a) the PDP of SiAPDs and (b) PDP versus Vex in 650 nm
(reprinted from Ref. 51).

However, the thickness of the n wells is constrained by design rule check


(DRC) from 350 nm to 10 mm. Our investigations based on the simulated and
implemented structures show that the thicker and deeper guard rings show
better performance. Moreover, the deep n well with low doping concentration
plays an important role in DCR reduction of the proposed APD. The PDP of
different SiAPDs and their PDP variation with Vex in 650 nm are compared in
Figs. 20.8(a) and (b). By implementing different APD structures, we studied
the geometric trade-offs involved in the design of deep-submicron APDs. The
p-well and p-substrate structures suffer from punch through, high tunneling,
and PEB. The APD with n-well-based guard-ring structures had the highest
sensitivity and PDP-to-DCR-ratio characteristics and can be biased properly
in GM. It exhibits a dark count rate of 1 kHz (with 0.5-V excess bias at room
temperature), a maximum PDP of 70% at maximum excess bias, and 9-V
breakdown voltage.

20.4 SiAPD Circuitry Design


20.4.1 Circuitry required for SiAPD-based front ends
In order to properly bias and utilize SiAPDs in practice, several peripheral
circuitries have to be used based on the application and mode of operation.
One of the main advantages of using standard CMOS technology is the
ability to integrate the SiAPD with these peripheral circuitries. Several
circuitries have been developed to be integrated with APD for bias, filtering
and noise reduction, amplification, control and tuning, signal processing,
etc. These circuits can be divided to two distinct categories imposed by the
SiAPD mode of operation. As previously mentioned, APDs are operated in
two different modes: linear (LM-SiAPD) and Geiger (GM-SiAPD). In LM-
SiAPDs, the APD is followed by a transimpedance amplifier (TIA) front
end, which amplifies and converts the photogenerated current into voltage.
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 507

Figure 20.9 Block diagram of the integrated circuit developed for GM and LM SiAPD
operation.

Some other components may be added to amplify, filter, and enhance the
process, but, in general, the linear mode circuitry (the TIA front end) is not
complicated and can be simply a TIA. The circuitries required to operate the
SiAPDs in GM are more complicated and need more dedicated analog and
digital components.
Due to the self-sustained behavior of the avalanche process in GM
(which is also called single-photon counting or SPAD mode), a quench
circuit must be used to suppress the avalanche current. A reset circuit is
also required to prepare the APD for the subsequent avalanche and for
counting the subsequent photons. The quench-reset front end can include
additional active and passive timing control and enhancement components
for different applications. For example, in order to measure the arrival time
of photons, readout systems such as time-to-digital converters or time-to-
amplitude converters are needed. Different active and passive quench-reset
techniques have also been developed for this mode of operation. In active
mode, active circuitries are used to control the process; however, in passive
mode, the avalanche current passively controls the process by way of a
ballast resistance device. In order to achieve the best performance from our
design, the sensitivity of each component presented in the design needs to
be analyzed, and the best values for optimal sizing selected. A high-gain,
low-power, narrow-band, low-noise circuit in LM and a fast, low-noise,
high-efficiency circuit in GM are developed in Refs. 15, 43, 54, and 55. The
block diagram of this integrated circuit and its components are shown in
Fig. 20.9.

20.4.2 Linear-mode SiAPD front end


Based on the mode of operation, we need amplifiers and processing blocks
with differing capabilities to operate the photodetector.53,56,57 For LM
operation, the SiAPD requires a TIA to amplify and convert the input
508 Chapter 20

photocurrent into a voltage signal.14,54,58 Due to the ultralow level and usually
high source impedance of input signals, this amplifier should be established to
meet certain basic requirements and must cope with various challenges in
order to detect and extract the required signals. The challenges of designing
such a TIA for portable biomedical imaging systems are: high common mode
rejection ratio to reject interference,59 high-pass-filter characteristics for
filtering differential dc offset,60 low noise for high signal quality,61,62 ultralow-
power dissipation (<50 mW) for long-term power autonomy,63,64 configur-
able gain and filter characteristics that suit the needs of different biopotential
signals and different applications, high transimpedance gain (>1 kV),65,66
narrow bandwidth (around 100 kHz), high-output swing, wide dynamic
range, ambient light rejection, and low-voltage operation.67 By adopting a
different topology for the gain stage, the stability of the preamplifier can be
greatly enhanced and the preamplifier circuit significantly simplified.
Searching for a TIA that can be efficiently applied for LM-SiAPD operation,
one finds three prominent TIA structures reported in literature: common-gate
TIA (CG-TIA), resistive-feedback TIA (RF-TIA), and capacitive-feedback
TIA (CF-TIA), as shown in Fig. 20.10.
A new structure that combines a CG-TIA and an RF-TIA is introduced and
developed in Refs. 43 and 54. This new structure offers a low-noise, high-gain,
high-bandwidth (BW) TIA. Furthermore, using a dynamic-threshold voltage
MOSFET in this TIA structure enhances the differential input common-mode
range. The design consists of a current amplifier implemented in a transimpe-
dance configuration. In this circuit [Fig. 20.11(a)], the combination of two
transistors (M6 and M7) works as a feedback resistor to minimize the output
ripple and omit the extra drawn current. The dc transimpedance gain is given by
Vout gM5 Rf 1
¼ , ð20:1Þ
Iin gM4 þ gM5
where gM4 and gM5 are the transconductance of transistors M4 and M5,
respectively, and Rf is the feedback resistance implemented by M6 and M7

Figure 20.10 Different TIA structures: (a) common gate, (b) resistive feedback, and
(c) capacitive feedback (reprinted from Ref. 47).
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 509

Figure 20.11 Schematic of the proposed TIA front ends: (a) TIA1 and (b) TIA2 (OTA ¼
operational transconductance amplifier; LA ¼ limiting amplifier) (reprinted from Ref. 14).

biased in the linear region. The frequency where the loop gain of the TIA is
unity is
A gm1 R1
vt   , ð20:2Þ
Rf CD Rf CD
where CD is the photodiode capacitance. The closed-loop bandwidth of the
TIA is approximately equal to the unity-gain frequency:
1 þ A gm1 R1
BW    vt : ð20:3Þ
Rf CD Rf CD
The bandwidth of the TIA increases by decreasing CD. We used CD ¼
1 pF in our simulations, as this is the commonly reported value.47,68 In order
to boost the voltage swing and match the output impedance to drive the
photoreceiver output (usually a demultiplexer or demux), we design a
limiting amplifier (LA)69 and an operational transconductance amplifier
(OTA) to be added to the output of the TIA. The OTA used in the proposed
front-end amplifier design is a current-mirror OTA, which is modified from
the OTA reported in Ref. 70. The performance of this OTA largely depends
on the bias current Ibias and the sizing of the transistors. Therefore, we
considered these two parameters in order to attain the best performance.
510 Chapter 20

To increase the maximum output swing and improve the stability of the
circuit, we also used a filtering block followed by the TIA and the LA.
Because one of the main requirements of biosignal amplifiers is to have a
wide dynamic range, we considered the proposed photoreceiver circuit by
adding the ability of parameter tuning. Using the constant applied voltage
Vconst of 0 V < Vconst < 2 V, the gain, bandwidth, power consumption, and
dynamic range of the output could be changed in a desirable wide output
range. Figure 20.11(a) shows the layout of the currently fabricated circuit
using 0.35-mm CMOS technology. Measurements on this integrated circuit
show that the input noise is very low compared to that of the existing TIAs.
p
The measured output noise is 1.8 mV/ Hz. The power consumption of the
front-end circuit is also very low. The TIA has high transimpedance gain and
high bandwidth.
By varying the Vconst in the proposed variable-gain front end between 0
and 1.5 V, we reached the very high and fixed value of 45  109 for the gain–
bandwidth product (GBW). This value is tunable between 10 M and 45 G
for various applications. We can reach the transimpedance gain in the range
of 2–400 MV/A and bandwidth in the range of 1.7–5 MHz using this
configuration. The power consumption of this circuit is in the range of
0–3 mW, which is very convenient for biomedical wireless and portable
applications. The input noise of the proposed design is one of the lowest
reported compared to all similar photoreceiver amplifiers. The measured
p
output noise is also 1.8 mV/ Hz. The output pulse with the maximum swing
of 3.3 V is also one of the best indices to show the appropriateness of the
proposed design. In order to verify the effect of the supply voltage, we also
tested the tunable-gain configuration by increasing the supply voltage to 1 V.
Another TIA structure has been also proposed in Ref. 14 as a four-stage
TIA, as is depicted in Fig. 20.11(b). For cascade TIAs, in order to reduce the
relative noise contribution of the subsequent amplifier stages, the SNR of
the first stage should be tuned to be as large as possible so that the feedback
transistor Mf is placed in series with feedback resistor Rf to reduce the input
noise of the TIA by applying different control voltages via the constant
applied voltage Vconst. One of the main characteristics of biomedical
amplifiers is their ability to be used in an extended dynamic range. Using
Vconst at each stage, the gain, bandwidth, power consumption, and dynamic
range of the output can be changed in a desirable wide output range. The dc
gain of a single stage is
gm1 þ gm2
Ai  , ð20:4Þ
gm3
and the bandwidth is calculated by
1 þ Ai
BW ¼ , ð20:5Þ
2pRC
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 511

where A is the gain, and C is the input capacitance of each stage. The
equivalent thermal noise of each stage is
4 KTA2
2
diin ¼ : ð20:6Þ
3 gm R2
In order to achieve better performance, we selected the width-to-
length ratio (W/L) for M2, M4, M5, M7, M8 high and for M3, M6,
and M9 low. The W2 /W1, W5/W4, and W8/W7 are also considered to be
high. The sensitivity of each component presented in this design was
analyzed, and the best values for optimal sizing were selected. This
TIA front end was fabricated using CMOS 0.35-mm technology.
The microphotograph of the fabricated integrated circuit is shown in
Fig. 20.11(b). The transimpedance gain of the proposed circuit is
tunable between 10 KV/A and 250 MV/A, and the bandwidth is also
tunable from dc to 100 KHz and 1 MHz by varying the control voltage
and based on the frequency of the p noise. The total power consumption is
4 mW. The input noise is 200 fA/ Hz at 1 kHz, and the maximum output
swing is 3 V.
Now we will introduce a state-of-the-art logarithmic TIA (LogTIA)
with automatic gain control (AGC) and ambient light rejection for low-
intensity-light detection applications. 71 The LogTIA is practical in
systems that need scale-invariant and wide-dynamic-range operation.
Its sensitivity to the contrast (ac/dc) of the input and its scale-invariant
fractional amplification are beneficial in several applications where
percentage changes rather than absolute changes carry information. This
photoreceptor was inspired by the operation of biological photoreceptors
in turtle cones and has many of the properties of these photoreceptors,
including higher ac gain than dc gain, a contrast-sensitive response, and a
relatively wide dynamic range of operation. Unfortunately, the merit
characteristics of this amplifier, especially for biomedical imaging and
optoelectronics circuits and systems, are not well introduced, and only a
limited application of LogTIA in photodetectors implementation is
reported. In this chapter we introduce the unique characteristics of the
LogTIA as a state-of-the-art front-end circuit for photodetection,
especially in the NIR region of the light spectrum. We have designed
and implemented a new LogTIA to be applied in the fNIRS photodetec-
tor front end. This is the first proposed and successfully designed and
implemented application of LogTIA in a NIR photodetector front end
and in a fNIRS application.
In this proposed circuit (see Fig. 20.12), M1 acts as the logarithmic
amplifier transistor, and M6-M7 provides the feedback resistor. M9 acts as
a feedback transistor placed directly across the input and output terminals
of the current mirror. This direct-feedback topology decreases the input
512 Chapter 20

Figure 20.12 Proposed LogTIA with ambient light rejection and AGC loops (reprinted from
Ref. 15).

impedance seen by the photodiode and improves the speed of the circuit, at
the cost of a lower output swing. Using the logarithmic amplifier also
makes the response to a fixed image contrast invariant to absolute light
intensity and improves the dynamic range of the photodetector. The N1
transistor at the output of the TIA causes the circuit to act as a cascade
current mirror, reducing the output voltage variation by boosting the
output impedance and reducing the VDS-mismatch effect. Using AGC and
dc-rejection feedback, we increased the sensitivity and bandwidth. The
transimpedance gain of the linear TIA increases the sensing speed by
decreasing the time constant such that the rapid changes in the input are not
filtered at the output.
The input voltage Vin is kept at a virtual reference value Vref by the
feedback loop such that it does not change with variation in the input current;
thus, the current variations due to the Vin variation (e.g., due to early effects and
other sensor effects) are minimized. By increasing the power supply, the
dynamic range of the output voltage can be maximized while maintaining the
APD breakdown voltage at the input. In contrast, the LogTIA shown in
Fig. 20.12 uses a subthreshold transistor as the feedback element with an
exponential parameter of ks (the sub-threshold exponential coefficient of Mf)
and a pre-exponential constant of IOS. The key motivation for using logarithmic
instead of linear sensing is that the logarithmic amplifier is inherently sensitive
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 513

to the contrast (ac/dc) of the input photocurrent signal. By assuming Vref and
considering that iin and vout are the small changes in the operating-point current
Iin and voltage Vout , respectively, the output voltage of the LogTIA is
0 1
  A=ð1 þ AÞ
KT iin B C
vout ðsÞ ¼ @ sðC in =g f Þ A
q Iin 1þ
1þA
0 1
  A=ð1 þ AÞ  
KT DIin B C KT Iin
¼ @ sðC in =g f ÞA ¼ Vref þ ln :
q Iin 1þ qks IIos
1þA
   
dVout KT 1=IIos
vout ¼ iin ¼  iin
dIin qks Iin =IIos
       
KT iin KT iac, in
¼  ¼  : ð20:7Þ
qks Iin qks IDC, in

Thus, in LogTIA, the output voltage is proportional to the ac/dc


of the input current as expected. The output voltage converts the
small fractional changes in input (DIin =Iin ) into an output voltage while
increasing the speed of the input time constant by the factor of (1 þ A). In
contrast to the linear TIA, the time constant of the LogTIA depends on
the operating point and varies linearly with Iin (where gf depends on Iin).
The LogTIA provides a wide-dynamic-range operation with a moderate
power supply voltage. The minimum detectable contrast in a LogTIA is
not dependent on the input current intensity because the bandwidth and
therefore the integration interval of the system scale with the input
current so that a constant number of electrons is always gathered during
the sensing period. In linear TIAs, the bandwidth and subsequently the
integration intervals of the system are fixed such that the minimum
detectable contrast is worsened at low input intensities due to the
gathering of the more electrons. The LogTIA can be considered as a
linear TIA with a built-in gain controller, such that the feedback
resistance Rf varies with Iin to keep the IinRf fixed. In order to keep the
photodetector gain stable under temperature and ambient light varia-
tions, we developed an AGC mechanism and an ambient light rejection
circuit (including LogTIA, buffer, and M10) on our proposed TIA circuit
that also increases the input dynamic range.
The general characteristics of the proposed LogTIA are shown in Table 20.3.
For a more clear comparison, the characteristics of the three proposed TIAs
are summarized in Table 20.4, and their parameters are compared with those
in other currently proposed studies.
514 Chapter 20

Table 20.3 General characteristics of the proposed LogTIA (AGC – automatic gain control,
PRBS – pseudo-random binary sequence).

Parameter Value

LogTIA LogTIA þ AGC and


noise rejection

Fabrication technology CMOS 0.18 mm CMOS 0.18 mm


Supply voltage (V) 1.8 1.8
Maximum swing (V) 1.8 1.8
Maximum gain 220 M 300 M
Power dissipation (mW) 0.04 0.5
Bandwidth (MHz) p 0.1 1000 0.001 5000
Input Noise at 1 kHz (A/ Hz) 100 f <10 f
GBW/Power dissipation (GHzV/mW) 5.5 M 3G
Sensitivity (dBm) 32 42
Data rate (Gb/s) 3.04 3.4
Bit error rate (BER) 10 11 10 13
PRBS 231 1 233 1

Table 20.4 General characteristics of the proposed TIAs compared to those in other
referenced studies [p is pico (1012), f is femto (1015)].

Ref. Tech. Supply Power Max. BW Input Max. FOM* Control


voltage diss. gain (MHz) noise swing
(V) (mW) (V) at 1pkHz (V)
(A/ Hz)

72 0.8 8 150 k 120 1p No


73 0.35 3.3 17.78 k 70 Gain, BW
74 0.6 3 30 8.7 k 500 4.5 p 145 No
67 0.35 1 1 210 k 50 11 p 1 10500 No
75 0.18 2 19.5 12.6 k 2400 19 p 1549 No
76 0.18 2 170 22.4 k 7600 1001 No
69 0.5 5 4k 374 80 m No
77 0.18 2 70.2 1.12 k 7200 8.2 p 115 No
70 0.18 1 0.018 2.2 k 0.4 0.7 48.9 No
78 0.18 2 10.7 1.58 k 2000 4.2 p 296 No
BiCMOS
79 0.35 3.3 17 1.778 k 1900 9.7 p 198.72 No
80 0.5 5 53 398 532 22 p 3.995 Gain, BW
81 0.13 1.5 98 1.3 k 6000 79.59 No
82 0.13 1.5 47 1G 5950 125532 No
83 0.18 1.8 33.3 245 10500 77.252 BW
84 0.35 3.3 53.5 501 2750 12.76 p 25.752 No
85 0.35 2.5 0.14 1.49 k 110 Hz 0.001 BW
86 0.25 3.3 185 1744 3 Gain
BiCMOS
87 0.18 2 7.2 6.3 k 2500 <10 p 2190 Gain, BW

(continued on next page)


Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 515

Table 20.4 (continued )

Ref. Tech. Supply Power Max. BW Input Max. FOM* Control


voltage diss. gain (MHz) noise swing
(V) (mW) (V) at 1pkHz (V)
(A/ Hz)

TIA1
TIA 0.35 3 3.5 250 G 0.151 112 f 3 10785714 Gain, BW
TIAþLA 0.35 2 2 250 k 11.5 44.86 p 2 1437.5 Gain, BW
Power
TIAþ 0.35 1 1.5 90 M 0.072 59 f 1 4320 Gain, BW,
OTA þ Power
TIAþLA 3 0.8 400 M 1000 1f 3 500 M Gain, BW,
Power
TIA2 0.35 3 4 250 M 1 200 f 3 62500 Gain, BW
Log TIA 0.18 1 0.04 300 M 1K 5G <10 f 1 7200G Gain, BW
Power, Noise
G  BW
*FOM (figure of merit) ¼ [GHzV/mW].
ðpower dissipationÞ  noise

20.4.3 Geiger-mode SiAPD front end


As mentioned in Section 20.4.1, Geiger-mode SiAPDs or single-photon
avalanche diodes (SPADs) are modified p–n junctions that allow single-
photon detection. A SPAD produces a current flow when the active area of
the device senses a photon. The duration of the avalanche current must be as
short as possible because a long-duration avalanche current can lead to
excessive power dissipation and even destruction of the device. This is
generally accomplished via a quenching circuit. Moreover, in order to allow
the detection of other photons and minimize the dead time when the SPAD
cannot detect photons, the SPAD must be rapidly reset in its initial state. In
order to operate the SPAD in GM for single-photon counting, a quenching
circuit and a reset circuit must be designed to be used along with the
photodiode. The resistance and capacitance of the SiAPD, quenching circuit,
silicon substrate, and resistive load all affect the efficiency and response time
of the GM-SiAPD. The most prevalent available quench-reset techniques
include passive quenching circuits (PQCs), active quenching circuits (AQCs)
and mixed quenching circuits (MQCs).
The schematic of a PQC is shown in Figs. 20.13(a) and (b) in two possible
mode configurations: current and voltage. When a photon arrives, a
photogenerated current flows through the APD. As shown in Figs. 20.13(c)
and (d), the photocurrent flows through RL and causes the voltage in the
SPAD cathode (and therefore its bias voltage) to reduce and suppresses the
avalanche current. The PQC is the simplest quenching technique but suffers
from low speed and high power dissipation and is usually bulky due to its
high-value resistor RL.
516 Chapter 20

Figure 20.13 Schematic of the passive quench circuit in (a) voltage-mode and (b) current-
mode configurations. (c) The SPAD cathode voltage and (d) current plotted versus time in
response to single-photon arrival (reprinted from Ref. 15).

High power dissipation can drift the breakdown voltage and change the
SPAD response to detection efficiency and noise. Delayed release of trapped
charges due to the large charge trapping in SPADs can retrigger the detector
and cause false ignitions, called after-pulsing, which causes a nonlinear
distortion in photon counting.31 Decreasing the avalanche time duration
reduces the power dissipation, charge trapping, and optical crosstalk due to
the minimization of the hot-carrier photon emission.31,88 Because of the
complementary action of the active quenching in MQCs, there is more
flexibility in choosing the passively quenched load (RL) in order to further
suppress the initially passively quenched avalanche. Therefore, by reducing
the load resistor RL, one can achieve quicker detection of the photon.89 By
increasing the light intensity received by the SPAD, the current flow through
the diode and the series-connected resistor RL will also increase. The resulting
increase in voltage drop across the RL decreases the bias voltage across the
SPAD so that the gain of the SPAD is reduced. Therefore, the dynamic range
of the SPAD optical input is increased for a fixed dynamic range of electrical
output.90
In order to operate the SiAPD in GM for single-photon counting, a new
controllable MQC with the capability of real-time control of the hold-off time
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 517

in the photoreceptor front end was proposed.55,91 This MQC exhibits an


ultrafast quench time (<1 ns) with a low power consumption (4 mW) and less
complexity with more flexibility and dynamic range of operation by
incorporating an adaptive and fast hold-off time control on the available
traditional quench circuits. Using this MQC, faster quenching results in lower
power loss and hence less heating of the SPAD.
The circuit diagram of the MQC is shown in Fig. 20.14(a) in a modified
scheme compared to what was originally proposed in Ref. 92. Here, we have
implemented a fully transistor-based circuit using dynamic threshold metal-
oxide semiconductor field effect (DTMOS) transistors, including automatic
hold-off and reset control blocks. In quiescence condition, the cathode of the
SPAD is biased to Vdd (usually 5–10% above the breakdown voltage for
achieving higher sensitivity) through R1 and is ready to detect a photon. The
onset of the avalanche current starts a passive quenching action, and the
voltage drop across R1 reduces the voltage at the SPAD cathode. As such,
Ssense goes in deeper conduction, and the voltage drop caused by R3 turns the

Figure 20.14 Schematic diagram of (a) the controlled MQC and (b) the SPAD cathode
voltage in response to single-photon arrival and (c) multiple-photon arrivals between 35 and
80 ns (reprinted from Ref. 47).
518 Chapter 20

quench transistors (Squench1 and Squench2) ON via Sfeedback. This starts the
active quenching action by quickly pulling the SPAD cathode down to
ground. This brings the reverse bias of the SPAD below breakdown, and the
avalanche current quickly dissipates. The quench transistors (Squench1 and
Squench2) are then turned OFF, and the three parallel reset transistors (Sreset1,
Sreset2, and Sreset3) are turned ON. The reset transistors are activated by an
output pulse from the reset monostable, which triggers the end of the hold-off
period. These reset transistors are equivalent of the three low-resistance
transistors and reset the quiescent bias of the SPAD, bringing the SPAD
cathode voltage back to detect the next photon. The short duration of the
reset-time decreases the dead-time between photon counts.93 Figures 20.14(b)
and (c) show the SPAD cathode voltage in response to the single- and
multiple-photon arrivals. It is important to note that in this circuit the excess
voltage Vex is given by
Vex ¼ Vdd þ jVop j Vbr , ð20:8Þ
where Vop is the operating voltage.
The performance of the SPAD is usually related to the excess electric field
above the breakdown voltage,94 so the excess bias voltage has a significant
influence on detector performance. Therefore, the MQC can also improve the
performance comparing to the PQC. Using this circuit, faster quenching
results in lower power loss and hence less heating of the SPAD.

20.5 Optimally Adaptive Control for Low-Noise, Low-Power,


and Fast Photodetection
Traditional control systems for photodetection are based on automatic
gain, bias, or temperature control. These control blocks are off-chip and/or
have been designed to control over a limited range of temperature or gain
variations and require additional circuitry and techniques for providing
thermal stabilization to avoid full breakdown of APDs. The traditional
time-correlated single-photon counting (TCSPC) detectors95 that are
applied to tomography use digital circuitry that imposes more complexity,
lower speed, and higher cost. The image sensor and the photodetection
front-end circuitry in common detectors are developed in different packages
and are wired to be off-chip interconnected. Due to the ultralow intensity of
the detected light by the image sensor and its sensitivity to the ambient light
and peripheral noises, these systems suffer from low SNR and high power
consumption.
In order to overcome these limitations, we have designed and developed a
miniaturized, reconfigurable, low-noise, and fully analog-integrated NIR light
detector. This detector applies optimally adaptive control implemented in
CMOS technology to achieve a smart imaging sensor for several applications
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 519

by on-chip detection, amplification, filtering, monitoring, quenching, and


counting. This system is able to work as both a CW and a TCSPC
photodetector on the same platform. The variable and uncontrollable gain of
the APD can take it into full avalanche breakdown, which can cause
catastrophic and irreversible damage to the APD itself. The noise from
the APD is also an increasing function of gain, so it is desirable to operate
the APD such that the gain is just sufficient to bring the shot noise amplified
by the avalanche process to the level of the thermal noise of the preamplifier.
Furthermore, the background level variation changes the optimum value
of the gain. Therefore, maintaining an optimum gain and bias for operating
the APD is a critical challenge in designing photodetection systems based on
the APD.
The parameters for our proposed optimally adaptive integrated control
system for on-chip real-time monitoring and automatic control of the fNIRS
front end include: APD multiplication gain, bias, amplifier gain and
bandwidth, hold-off time, ambient light, and noise to be applied in an fNIRS
photodetection integrated system for real-time brain monitoring. The
schematic diagram of this circuit is shown in Fig. 20.15. The switch and
bias control block reject the ambient light, control the bias and emitter
power, control the excess bias based on the temperature variation, and

Figure 20.15 Schematic diagram of the proposed controlled MQC (CMQR), which
includes an on-chip signal processing unit for automatic control and tuning of the hold-off.
520 Chapter 20

switch between different modes of operation. The processing unit includes


an analog processing circuitry that calculates the ratio, and subtracts and
averages the fNIRS signals from the two photodetectors. We used this
information in order to calculate the avalanche gain, noise effects and
ambient light, dark current rate, and to remove the superficial signal effects.
Using this technique, the signal originating from the proximal receptors is
subtracted from the distal signals, thereby displaying only information from
the deeper part of the brain.
A new, smart TIA front end with AGC, ABC, and ambient light
rejection loops has also been developed, as shown in Fig. 20.16. This system
has the capability of real-time optimally adaptive control of avalanche gain,
avalanche bias, temperature, light power, light duration, detection
efficiency, depth and spatial resolution, amplification gain and bandwidth,
ambient light and dark current, quench-reset speed, hold-off time, and
detection timing all included in a single on-chip detector system. In order to
keep the APD gain stable under temperature variations, we developed an
automatic gain monitoring and control mechanism on our proposed TIA
circuit that also increases the input dynamic range. We also implemented an
adaptive automatic bias control (ABC) system for closed-loop optimally
adaptive control of the APD biasing over varying operating conditions such
as temperature or ambient illumination and changes in photodiode
parameters. We used an adaptive power supply to determine an optimum
bias voltage for the APD. This circuit measures the output noise level of the

Figure 20.16 Schematic diagram of the proposed TIA with AGC, ABC, and ambient-light
rejection loops.
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 521

APD and generates a control signal that feeds to a variable-voltage power


supply. This mechanism also controls the noise and eliminates the
requirement for thermal stabilization circuitry associated with APDs, thus
optimizing the operation of the APD and the entire photoreceiver front end.
In order to improve the intelligence of the control system, a theoretical
model of temperature and noise compensation can be implemented in the
control block for APD biasing.
An optimal control block in this technique automatically selects the
optimal hold-off time value corresponding to the minimization of after-pulse
effects in the GM-APD. The proposed TIA has a very low power consumption
(135 mW), high transimpedance gain (up to 300 MV/A), tunable bandwidth
p
(1 KHz to 1 GHz), and very low input and output noise (<10 fA/ Hz and
p
0.5m V/ Hz, respectively). The proposed system was designed and developed
in a Virtuoso and CADENCE environment, and its optical and electrical
characteristics were verified using MATLAB, COMSOL, and TCAD device
simulators. This design has been scheduled to be fabricated in CMOS 0.18-mm
technology at TSMC via CMC; the experimental in vivo measurement results
will be reported after device shipment.

20.6 Conclusion
SiAPDs can be applied as efficient, low-power, compact, safe, inexpensive,
and sensitive tools for NIR light detection. Proficient implementation
techniques for these photodetectors will promote their incorporation into
different science and technology applications. By overcoming some of the
difficulties encountered in their fabrication using standard CMOS process,
miniaturized, reconfigurable, low-noise light detectors can be designed
especially for high sensitivity in the NIR region of the light spectrum.
Developing high-gain, low-noise, low-power circuitry for LM-operation
SiAPDs, and ultrafast, low-power, low-noise quench-reset and control
circuitry for GM operation are the main challenges in SiAPD light detector
design and fabrication. TIA front ends implemented for LM-SiAPDs offer
high GBW, low power consumption, and ultralow input current noises. These
characteristics make them a qualified candidate for LM-SiAPD-based light-
detection applications such as CW fNIRS for biomedical monitoring of
ultralow intensity biosignals. A high-speed photon-counting system that
includes an ultrafast quench-reset circuit, pulse generator, monostable, and
counter with small quenching time and controllable reset time is also
implemented for GM-SiAPDs. Its high rate detection, low power, and
compact characteristics make it a good candidate to be applied in SiAPDs for
GM-SiAPD and single-photon counting applications. Its integration can
significantly improve the gain, noise, and power characteristics of the
detectors. This issue has been proved with on-chip integration of the SiAPDs
522 Chapter 20

and peripheral circuitry using different submicron standard CMOS technolo-


gies. All of the components have been designed and evaluated based on
simulation and layout implementation in CADENCE and TCAD. The
main characteristics of the APDs have also been validated, and the impact of
each parameter has been studied based on the simulation results. By
implementing different SPAD structures, we studied the geometric trade-offs
involved in the design of deep-submicron APDs. We studied the wavelength
dependency of the different APDs and selected the optimal scales for NIR-
sensitive APD design.
Based on our results, p-well and p-substrate structures suffer from punch
through, high tunneling, and PEB. The APD with an n-well-based guard-ring
structure had the highest sensitivity and PDP to DCR ratio characteristics and
could be biased properly in GM. It exhibits a dark count rate of 1 kHz (with
0.5-V excess bias at room temperature), a maximum PDP of 70% at maximum
excess bias, and 9-V breakdown voltage. New smart controllable quench-reset
circuitries and SiAPDs with boosted quality and improved efficiency are also
currently fabricated in our lab. We are now working on test and measurement
of these components to be integrated with the proposed TIAs. The new chip is
now under measurement, and the results will be reported soon.

Acknowledgment
We gratefully acknowledge the financial support from the Canadian Institutes
of Health Research (CIHR), the Heart and Stroke Foundation of Canada,
and the Canada Research Chair in smart medical devices and CMC
Microsystems.

References
1. M. Bass, V. N. Mahajan, and E. Van Sryland, Eds., Handbook of Optics,
Third edition, Vol. II: Design, Fabrication, and Testing; Sources and
Detectors; Radiometry and Photometry, McGraw-Hill, New York (2010).
2. A. Rogalski, Fundamentals of Infrared Detector Technologies, CRC Press,
Boca Raton, FL (2010).
3. M. A. Itzler, M. Entwistle, M. Owens, K. Patel, X. Jiang, K. Slomkowski,
S. Rangwala, P. F. Zalud, T. Senko, and J. Tower, “Geiger-mode
avalanche photodiode focal plane arrays for three-dimensional imaging
LADAR,” Proc. SPIE 7808, 78080C (2010) [doi: 10.1117/12.861600].
4. W. L. Wolfe, Ed., Handbook of Military Infrared Technology, Office of
Naval Research, U.S. Govt. Printing Office (1965).
5. I. S. Glass, Handbook of Infrared Astronomy, Cambridge University Press,
Cambridge (1999).
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 523

6. H. Dautet, P. Deschamps, B. Dion, A. D. MacGregor, D. MacSween,


R. J. McIntyre, C. Trottier, and P. P. Webb, “Photon-counting techniques
with silicon avalanche photodiodes,” Appl. Opt. 32(21), 3894–3900 (1993).
7. A. N. Z. Rashed, “High reliability optical interconnections for short range
applications in high performance optical communication systems,” Opt.
Laser Technol. 48, 302–308 (2013).
8. R. J. Hughes, W. T. Buttler, P. G. Kwiat, G. G. Luther, G. L. Morgan,
J. E. Nordholt, C. G. Peterson, and C. M. Simmons, “Secure
communications using quantum cryptography,” Proc. SPIE 3076, 2–11
(1997) [doi: 10.1117/12.277644].
9. J. C. Campbell, “Recent advances in telecommunications avalanche
photodiodes,” J. Lightwave Technol. 25, 109–121 (2007).
10. L. Bartolini, A. Bordone, R. Fantoni, M. F. de Collibus, G. Fornetti,
C. Moriconi, and C. Poggi, “Development of a laser range finder for
the Antarctica Plateau,” in EARSeL Proc. Workshop on Lidar Remote
Sensing of Land and Sea, Dresden, Germany June, 2000, pp. 16–17
(2000).
11. S. Weiss, “Fluorescence spectroscopy of single biomolecules,” Science
283, 1676–1683 (1999).
12. C. Marriott, J. Cadorette, R. Lecomte, V. Scasnar, J. Rousseau, and J. Van
Lier, “High-resolution PET imaging and quantitation of pharmaceutical
biodistributions in a small animal using avalanche photodiode detectors,”
J. Nuclear Med. 35, 1390 (1994).
13. A. Saoudi and R. Lecomte, “A novel APD-based detector module for
multi-modality PET/SPECT/CT scanners,” IEEE Trans. Nuclear Science
46, 479–484 (1999).
14. E. Kamrani and M. Sawan, “Fully integrated CMOS avalanche
photodiode and distributed-gain TIA for CW-fNIRS,” IEEE Biomed.
Circuits Systems Conf. (BioCAS) 2011, pp. 317–320 (2011).
15. E. Kamrani, F. Lesage, and M. Sawan, “Fully on-chip integrated
photodetector front-end dedicated to real-time portable optical brain
imaging,” Opt. Photon. J. 2(4), 300–313 (2012).
16. X. J. Chen, E. B. Johnson, C. J. Stapels, C. Whitney, and J. F. Christian,
“Next generation CMOS SSPMs for scintillation detection applications,”
Proc. SPIE 8507, 850704 (2012) [doi: 10.1117/12.929841].
17. P. Buzhan, B. Dolgoshein, A. Ilyin, V. Kantserov, V. Kaplin, A. Karakash,
A. Pleshko et al., “An advanced study of silicon photomultiplier,” in ICFA
Instrumentation Bulletin 21, p. 28 (2001).
18. C. Damerell, “Charge-coupled devices as particle tracking detectors,”
Rev. Sci. Instrum. 69, pp. 1549–1573 (1998).
524 Chapter 20

19. G. Anzivino, H. Arnaudon, P. Baillon, P. Benetti, L. Boskma, P. Burger,


A. Contin, R. DeSalvo, P. Gorodetzky, and D. Grassi, “Review of the
hybrid photo diode tube (HPD) an advanced light detector for physics,”
Nucl. Instrum. Meth. A 365, 76–82 (1995).
20. P. Eckert, H. C. Schultz-Coulon, W. Shen, R. Stamen, and A. Tadday,
“Characterisation studies of silicon photomultipliers,” Nucl. Instrum.
Meth. A 620, 217–226 (2010).
21. M. A. Marwick and A. G. Andreou, “Fabrication and testing of single
photon avalanche detectors in the TSMC 0.18 mm CMOS technology,” in
The 41st Annual IEEE Conf. on Information Sciences and Systems
(CISS'07), 741–744 (2007).
22. T.-H. Tsai, “Analysis and Design of a Wide Dynamic Range Pulse-
Frequency Modulation CMOS Image Sensor,” Ph.D. thesis, York
University, Toronto, Ontario, Canada (2012).
23. O. Kharraz and D. Forsyth, “PIN and APD photodetector efficiencies in the
longer wavelength range 1300–1550 nm,” Optik-Int. J. Light Electron Opt. (2012).
24. M. A. Karami, “Deep-Submicron CMOS Single Photon Detectors and
Quantum Effects,” Ph.D. thesis, TU Delft (2011).
25. S. O. Kasap, Optoelectronics and Photonics: Principles and Practices,
Prentice Hall, Upper Saddle River, NJ (2001).
26. A. Vilà, A. Arbat, E. Vilella, and A. Dieguez, Eds., “Geiger-mode
avalanche photodiodes in standard CMOS technologies,” Ch. 9 in
Photodetectors, S. Gateva, Ed., InTech, March 23 (2012).
27. K. Zhao, “III-V Single Photon Avalanche Detector with Built-in Negative
Feedback for NIR Photon Detection,” Ph.D. thesis, University of
California, San Diego (2008).
28. D. Stoppa, L. Pancheri, and M. Perenzoni, “New challenges for CMOS
imagers: Time-resolved photon counting and multispectral detection,”
Presentation at Dimes Workshop, Smart Optical Sensors and Interfaces,
Dec. 2010, Netherlands (2010).
29. J. Biard and W. Shaunfield, Jr., “A model of the avalanche photodiode,”
IEEE Trans. Electron Devices 14, 233–238 (1967).
30. T. Swe and K. Yeo, “An accurate photodiode model for DC and high
frequency SPICE circuit simulation,” Technical Proc. 2001 Int. Conf. on
Modeling and Simulation of Microsystems, pp. 362–365 (2001).
31. F. Zappa, A. Tosi, A. D. Mora, and S. Tisa, “SPICE modeling of single photon
avalanche diodes,” Sensors Actuators A: Physical 153, 197–204 (2009).
32. A. Moloney, A. Morrison, J. Jackson, A. Mathewson, and P. Murphy,
“Small signal equivalent circuit for Geiger-mode avalanche photodiodes,”
Electron. Lett. 38, 285–286 (2002).
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 525

33. M. Hamady, E. Kamrani, and M. Sawan, “Modeling CMOS PIN


photodiode using COMSOL,” 24th IEEE Int. Conf. on Microelectronics
(ICM’12), 16–20 Dec., Algeria (2012).
34. E. Kamrani, F. Lesage, and M. Sawan, “Premature edge breakdown
prevention techniques in CMOS APD fabrication,” IEEE 10th Int. Conf.
New Circuits and Systems (NEWCAS 2012), pp. 345–348 (2012).
35. N. Serra, G. Giacomini, A. Piazza, C. Piemonte, A. Tarolli, and N. Zorzi,
“Experimental and TCAD study of breakdown voltage temperature
behavior in nþ/p type SiPMs, IEEE Trans. Nuclear Sci. 58, 1233–1240, (2011).
36. N. Serra, G. Giacomini, M. Melchiorri, A. Piazza, C. Piemonte, A.
Tarolli, and N. Zorzi, “TCAD simulation of avalanche breakdown
voltage in GM-APDs,” in 2010 IEEE Nuclear Sci. Symp. Conf. Record
(NSS/MIC), 253–259 (2010).
37. B. Jacob, B. Witzigmann, M. Klemenc, and C. Petit, “A TCAD
methodology for high-speed photodetectors,” Solid-state electron. 49,
1002–1008 (2005).
38. T. Cheng, J. Zhou, and W. Li, “Simulation of APD based on Matlab,”
Modern Electron. Technique 13, 054, (2006).
39. Y. G. Xiao, Z. Q. Li, and Z. M. Simon, “Modeling of avalanche
photodiodes by Crosslight APSYS,” Proc. SPIE 6294, 62940Z (2006)
[doi: 10.1117/12.681189].
40. F. Sun, H. Gu, Z. Wang, and L. Chen, “Simulation and parameters
optimization of high gain silicon micro-pixel avalanche photodiode,”
Proc. SPIE 8555, 855518 (2012) [doi: 10.1117/12.999907].
41. M. N. Akram, R. Schatz, and A. Nejim, “Transient simulations of edge
emitting Fabry-Perot InP/InGaAsP laser diode using Silvaco TCAD
tools,” Simulation Standard 14(8), 1–2 (2004).
42. E. Kamrani, S. H. A. Yun, F. Lesage, and M. Sawan, “Near-infrared
light detection using silicon avalanche photodiodes: Design challenges in
standard CMOS technology,” presented at MIOMD-XI: 11th Interna-
tional Conference on Infrared Optoelectronics: Materials and Devices,
Chicago, IL (2012).
43. E. Kamrani, F. Lesage, and M. Sawan, “Low-noise, high-gain TIA
integrated with CMOS APD for low-intensity light detection in near-
infrared spectroscopy,” IEEE Sensors J. [In Press] (2013).
44. A. Pauchard, P. A. Besse, M. Bartek, R. Wolffenbuttel, and R. Popovic,
“Ultraviolet-selective avalanche photodiode,” Sensors Actuators A:
Physical 82, 128–134 (2000).
45. B. E. A. Saleh and M. C. Teich, Fundamentals of Photonics, Second
edition, Wiley & Sons, New York (2007).
526 Chapter 20

46. H. W. Siesler, Y. Ozaki, S. Kawata, and H. M. Heise, Eds., Near-Infrared


Spectroscopy: Principles, Instruments, Applications: Wiley-VCH Verlag,
Weinheim, Germany (2002).
47. A. Sultana, E. Kamrani, and M. Sawan, “CMOS silicon avalanche
photodiodes for NIR light detection: a survey,” Analog Integr. Circuits
Signal Process. 70(1), 1–13 (2012).
48. B. Nakhkoob, S. Ray, and M. M. Hella, “High-speed photodiodes in
standard nanometer scale CMOS technology: A comparative study,” Opt.
Express 20, 11256–11270 (2012).
49. A. Rochas, A. R. Pauchard, P. A. Besse, D. Pantic, Z. Prijic, and R. S. Popovic,
“Low-noise silicon avalanche photodiodes fabricated in conventional CMOS
technologies,” IEEE Trans. Electron Devices 49, 387–394 (2002).
50. M. A. Karami, M. Gersbach, H. J. Yoon, and E. Charbon, “A new
single-photon avalanche diode in 90-nm standard CMOS technology,”
Opt. Express 18, 22158–22166 (2010).
51. E. Kamrani, F. Lesage, and M. Sawan, “Efficient premature edge
breakdown prevention technique for SiAPD fabrication using standard
CMOS process,” IOP Int. J. Semiconductor Sci. Technol. 28, 045008 (2013).
52. A. Lacaita, M. Ghioni, F. Zappa, G. Ripamonti, and S. Cova, “Recent
advances in the detection of optical photons with silicon photodiodes,”
Nuclear Instrum. Meth. Phys. Res. A: Accel., Spectrom., Detect. Assoc.
Equip. 326, 290–294 (1993).
53. S. Cova, M. Ghioni, A. Lotito, I. Rech, and F. Zappa, “Evolution and
prospects for single-photon avalanche diodes and quenching circuits,”
J. Modern Opt. 51, 1267–1288 (2004).
54. E. Kamrani, A. Sultana, and M. Sawan, “Tunable, low-power, high-gain
transimpedance amplifier for fNIRS photoreceiver front-end,” 2011 IEEE
54th Int. Midwest Symp. Circuits and Systems (MWSCAS), 1–4 (2011).
55. E. Kamrani, F. Lesage, and M. Sawan, “Fast photodetection in
functional near infra-red spectroscopy,” presented at MIOMD-XI: 11th
International Conference on Infrared Optoelectronics: Materials and
Devices, Chicago, IL (2012).
56. S. Cova, M. Ghioni, A. Lacaita, C. Samori, and F. Zappa, “Avalanche
photodiodes and quenching circuits for single-photon detection,” Appl.
Opt. 35, 1956–1976 (1996).
57. S. Tisa, F. Zappa, A. Tosi, and S. Cova, “Electronics for single photon
avalanche diode arrays,” Sensors Actuators A: Physical 140, 113–122 (2007).
58. M. Atef and H. Zimmermann, “Transimpedance Amplifier,”in Optical
Communication over Plastic Optical Fibers, Springer Series in Optical
Sciences 172, 69–86 (2013).
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 527

59. R. Martins, S. Selberherr, and F. Vaz, “A CMOS IC for portable EEG


acquisition systems,” IEEE. Proc. Instrum. Measurement Technol.
Conference 1998 (IMTC98), pp. 1406–1410 (1998).
60. H. Tran, F. Pera, D. S. McPherson, D. Viorel, and S. P. Voinigescu,
“6-kV 43-Gb/s differential transimpedance-limiting amplifier with auto-
zero feedback and high dynamic range,” IEEE J. Solid-State Circ. 39,
1680–1689 (2004).
61. S. Sharma and T. Ytterdal, “Low noise front-end amplifier design for
medical ultrasound imaging applications,” 2012 IEEE/IFIP 20th Int.
Conf. VLSI and System-on-Chip (VLSI-SoC), 12–17 (2012).
62. L. B. Oliveira, C. M. Leitão, and M. M. Silva, “Noise performance of a
regulated cascade transimpedance amplifier for radiation detectors,”
IEEE Trans. Circ. Syst. I: Reg. Papers 59, 1841–1848 (2012).
63. M. Tavakoli, L. Turicchia, and R. Sarpeshkar, “An ultra-low-power pulse
oximeter implemented with an energy-efficient transimpedance
amplifier,” IEEE Trans. Biomed. Circ. Systems 4, 27–38 (2010).
64. D. Guckenberger, J. D. Schaub, and K. T. Kornegay, “A DC-coupled
low-power transimpedance amplifier architecture for Gb/s communica-
tion system applications,” in 2004 IEEE Digest Papers Radio Frequency
Integrated Circ. (RFIC) Symposium, 515–518 (2004).
65. R. Howard, “Ultralow noise high gain transimpedance amplifier for
characterizing the low frequency noise of infrared detectors,” Rev.
Scientific Instrum. 70, 1860–1867 (1999).
66. J. Mullrich, H. Thurner, E. Mullner, J. F. Jensen, W. E. Stanchina, M. Kardos,
and H. M. Rein, “High-gain transimpedance amplifier in InP-based HBT
technology for the receiver in 40-Gb/s optical-fiber TDM links,” IEEE J. Solid-
State Circ. 35, 1260–1265 (2000).
67. K. Phang, “CMOS Optical Preamplifier Design Using Graphical Circuit
Analysis,”Ph.D. thesis, University of Toronto (2001).
68. K. Phang and D. A. Johns, “A 1-V 1-mW CMOS front-end with on-chip
dynamic gate biasing for a 75-Mb/s optical receiver,” in IEEE Digest
Technical Papers Int. Solid-State Circuits Conf. (ISSCC), 218–219, 449
(2001).
69. Q. Yang, “Design of Front-End Amplifier for Optical Receiver in
0.5 Micrometer CMOS Technology,” M.Sc. thesis, University of Hawai
(2005).
70. H. F. Achigui, M. Sawan, and C. J. B. Fayomi, “A monolithic based
NIRS front-end wireless sensor,” Microelectron. J. 39, 1209–1217 (2008).
71. E. Kamrani, S. H. A. Yun, F. Lesage, and M. Sawan, “State-of-the-art
logarithmic transimpedance amplifier with automatic gain control and
528 Chapter 20

ambient light rejection for fNIRS,” presented at MIOMD-XI: 11th


International Conference on Infrared Optoelectronics: Materials and
Devices, Chicago, IL (2012).
72. M. Ingels and M. S. J. Steyaert, “A 1-Gb/s, 0.7-mm CMOS optical
receiver with full rail-to-rail output swing,” IEEE J. Solid-State Circ. 34,
971–977 (1999).
73. K. Phang and D. A. Johns, “A CMOS optical preamplifier for wireless
infrared communications,” IEEE Trans. Circ. Systems II: Analog Digital
Signal Process. 46, 852–859 (1999).
p
74. B. Razavi, “A 622 Mb/s 4.5 pA/ Hz CMOS transimpedance amplifier
for optical receiver front-end,” IEEE Int. Digest Technical Papers Solid-
State Circuits Conference 2000 (ISSCC), 162–163, 453 (2000).
75. Y. Wang and R. Raut, “A 2.4 GHz 82 dBV fully differential CMOS
transimpedance amplifier for optical receiver based on wide-swing
cascode topology,” in IEEE Int. Symp. Circ. Systems 2005 (ISCAS),
1601–1605 (2005).
76. W. Z. Chen, Y. L. Cheng, and D. S. Lin, “A 1.8-V 10-Gb/s fully
integrated CMOS optical receiver analog front-end,” IEEE J. Solid-State
Circ. 40, 1388–1396 (2005).
77. C. H. Wu, C. H. Lee, W. S. Chen, and S. I. Liu, “CMOS wideband
amplifiers using multiple inductive-series peaking technique,” IEEE
J. Solid-State Circ. 40, 548–552 (2005).
78. A. Kopa and A. B. Apsel, “124dB  Hz ⅔ dynamic range
transimpedance amplifier for electronic-photonic channelizer,” in IEEE
Int. Symp. Circ. Systems 2008 (ISCAS),189–192 (2008).
79. H. Beiju, Z. Xu, and C. Hongda, “1-Gb/s zero-pole cancellation CMOS
transimpedance amplifier for gigabit ethernet applications,” J. Semicon.
30, 105005 (2009).
80. V. M. Joyner and Y. Zhang, “A CMOS analog front-end receiver with
desensitization to input capacitance for broadband optical wireless
communication,” J. Communic. 4, 572–581 (2009).
81. A. K. Y. Wong, K. N. Leung, K. P. Pun, and Y. T. Zhang, “A 0.5-Hz high-
pass cutoff dual-loop transimpedance amplifier for wearable NIR sensing
device,” IEEE Trans. Circ. Systems II: Express Briefs 57, 531–535 (2010).
82. O. Momeni, H. Hashemi, and E. Afshari, “A 10-Gb/s inductorless
transimpedance amplifier,” IEEE Trans. Circ. Systems II: Express Briefs
57, 926–930 (2010).
83. D. Lee, J. Han, G. Han, and S. M. Park, “An 8.5-Gb/s fully integrated
CMOS optoelectronic receiver using slope-detection adaptive equalizer,”
IEEE J. Solid-State Circ. 45, 2861–2873 (2010).
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 529

84. C.-K. Chien, H.-H. Hsieh, H.-S. Chen, and L.-H. Lu, “A transimpedance
amplifier with a tunable dandwidth in 0.18-mm CMOS,” IEEE Trans.
Microwave Theory Techniques 58, 498–505 (2010).
85. E. Hammoudi and A. Mokhtar, “2.75 GHz low noise 0.35 mm CMOS
transimpedance amplifier,” 2010 18th Mediterranean Conf. Control &
Automation (MED), 928–932 (2010).
86. S. H. Lee, J. Kim, Q. Le, M. Lee, H. Kim, and C. S. Park, “A single-chip
2.5-Gb/s burst-mode optical receiver with wide dynamic range,” IEEE
IEEE Photonics Technol. Lett. 23, 85–87 (2011).
87. H. M. Lavasani, W. Pan, B. Harrington, R. Abdolvand, and F. Ayazi, “A
76-dBV 1.7-GHz 0.18-mm CMOS tunable transimpedance amplifier using
broadband current pre-amplifier for high frequency lateral micromecha-
nical oscillators,” 2010 IEEE Int. Solid-State Circ. Conf. (ISSCC) Digest
of Tech. Papers, 318–319 (2010).
88. M. Ghioni, S. Cova, F. Zappa, and C. Samori, “Compact active
quenching circuit for fast photon counting with avalanche photodiodes,”
Rev. Scientific Instrum. 67, 3440–3448 (1996)
89. F. Zappa, M. Ghioni, S. Cova, C. Samori, and A. C. Giudice, “An
integrated active-quenching circuit for single-photon avalanche diodes,”
IEEE Trans. Instrument. Measur. 49, 1167–1175 (2000).
90. F. Guerrieri, S. Bellisai, A. Tosi, G. Padovini, F. Zappa, and S. Tisa,
“SPAD arrays for parallel photon counting and timing,” in 2010 23rd
Annual Meeting IEEE Photon. Soc., 355–356 (2010).
91. E. Kamrani, S. H. A. Yun, F. Lesage, and M. Sawan, “Optimal-adaptive
control system for low-noise, low-power and fast photodetection in
functional near infra-red spectroscopy,” presented at MIOMD-XI: 11th
International Conference on Infrared Optoelectronics: Materials and
Devices, Chicago, IL (2012).
92. F. Zappa, A. Giudice, M. Ghioni, and S. Cova, “Fully integrated active-
quenching circuit for single-photon detection,” Proc. 28th Eur. Solid-State
Circ. (ESSCIRC) Conf., 355–358 (2002).
93. D. Cronin, A. M. Moloney, and A. P. Morrison, “Simulated monolithi-
cally integrated single photon counter,” High Frequency Postgraduate
Student Colloquium 2004, 9–14 (2004).
94. G. F. Pulice, “Stabilization biasing circuit for avalanche photodiodes,”
U.S. Patent 5270533 (Dec. 14, 1993).
95. W. Becker, Ed. Advanced Photon Counting Techniques II, Proc. SPIE
6771(2007) [doi: 10.1117/12.774832].
530 Chapter 20

Ehsan Kamrani received his B.Sc. degree in biomedical


engineering from Shahid Beheshti University of Medi-
cal Sciences (SBMU), Tehran, Iran, in 2002 and his
Master’s degree in electrical and control engineering
from Tarbiat Modares University (TMU), Tehran, in
2005. From 2005 to 2009 he was an academic member-
instructor in the Department of Electrical and Elec-
tronics Engineering at Lorestan University, Tehran. His
expertise is in analog integrated circuits, smart CMOS image sensors, wireless
networked sensors, web-based control systems, and biomedical signal/image
processing. Since 2009 he has been earning his Ph.D. in biomedical
engineering at the Neurotechnology Laboratory (Polystim), École Polytech-
nique de Montréal, Canada. He is working on design and implementation of
bio-optoelectronic sensors and integrated fNIRS phototransceivers for real-
time brain monitoring. In March 2012, he joined Harvard Medical School
and Wellman Center for Photomedicine and Massachusetts General Hospital
in Boston, Massachussets, USA, where he is working on an active bio-optics
project for developing novel innovative technologies by integration of
photonics and biological systems for a novel diagnostic optical instrument
for medical applications. He is a member of IEEE, IOP, SPIE, AACC, and
IAENG and has won best paper awards at CFSC’03, ACFAS’12, and
MIOMD’12.

Frédéric Lesage obtained his B.Sc. in physics from


Université Laval, his Diploma of Advanced Studies in
applied mathematics and theoretical physics from
Cambridge University, and his Ph.D. in theoretical
physics from Université Paris-Sud. He has worked in
the industry at Lockheed-Martin Corp. and ARTAd-
vanced Research Technologies Inc. Since 2005 he has
been a professor at École Polytechnique de Montréal in
electrical/biomedical engineering. His research focuses on optical imaging
applied to neuronal activation and cardiovascular diseases. He currently leads
a group of 12 graduate students and 4 postdoctoral researchers.
Near-Infrared Light Detection using CMOS Silicon Avalanche Photodiodes (SiAPDs) 531

Mohamad Sawan received his Ph.D. degree in electrical


engineering from Université de Sherbrooke, Québec,
Canada, in 1990. He joined École Polytechnique de
Montréal in 1991, where he is currently a professor of
microelectronics and biomedical engineering. His scien-
tific interests are the design, integration, assembly, and
validation of mixed-signal (analog, digital, and RF)
circuits and systems for biomedical and telecommuni-
cations applications. He is a holder of a Canadian Research Chair in Smart
Medical Devices. He leads the Microelectronics Strategic Alliance of Quebec
(Regroupement stratégique en Microsystèmes du Québec – ReSMiQ). He has
published more than 350 papers in peer-reviewed journals and conference
proceedings and has been awarded six patents. Dr. Sawan is the Founder of
the International IEEE-NEWCAS conference. He is the editor of Mixed-
Signal Letters and President of the biomedical circuits and systems (BioCAS)
technical committee of the IEEE Circuits and Systems (CAS) Society. He
received the Barbara Turnbull 2003 award for spinal cord research, the Medal
of Merit from the Lebanese President, the Bombardier Medal of merit from
the French Canadian Association for the advancement of sciences, and The
American University of Science and Technology Achievement Award. He is a
Fellow of the Canadian Academy of Engineering and a Fellow of IEEE.
Chapter 21
Modulation-Doped AlGaAs/
InGaAs Thermopiles (H-PILEs)
for an Uncooled IR FPA Utilizing
Integrated HEMT-MEMS
Technology
Masayuki Abe
3D-bio Co., Ltd., Hadano, Kanagawa, Japan

Kian Siong Ang, Hong Wang, and Geok Ing Ng


NOVITAS-Nanoelectronics Center of Excellence, Nanyang Technological
University, Singapore

21.1 Introduction
21.2 Seebeck Effect Consideration
21.2.1 Seebeck coefficient diffusion component
21.2.2 Seebeck coefficient phonon drag component
21.3 Device Design Consideration
21.3.1 Performance of a thermoelectric sensor
21.3.2 AlGaAs/InGaAs thermopile design
21.3.2.1 H PILE structure
21.3.2.2 High sensitivity performance design (type A)
21.3.2.3 High speed performance design (type C)
21.3.3 Scaled down approach
21.4 Sensor Fabrication Technology
21.5 Measured Sensor Performance and Discussion
21.6 Conclusion and Future Prospects
Acknowledgments
References

533
534 Chapter 21

21.1 Introduction
Infrared image sensors are essential for automotive vehicle night vision, rescue
robot-eye vision, thermal imaging in biology and medicine, remote sensing in
security surveillance systems, and terahertz electronics applications. Two
different kinds of approaches are used to detect infrared radiation,
particularly for far-infrared radiation. These include very narrow-band
photon detectors and broad-band thermal detectors. Photon detectors are
used because of their high detectivity and high-speed performance. However,
they need cooling with liquid nitrogen and/or liquid helium and thus make the
system expensive and complex to handle. Based on the AlGaAs/GaAs
material system, quantum-well infrared photodetectors (QWIPs) at a
wavelength of 8.3 mm operating at 77 K,1 and multicolor QWIPs at
wavelengths of 8–9 mm and 10–15 mm operating at 40–70 K have been
realized.2 Conventional HgCdTe-based photodiodes can be used for the far-
infrared range up to 50 mm.3 On the other hand, uncooled broad-band
thermal detectors for detection of far-infrared radiation of around 10-mm
wavelength, either of pyroelectric, bolometric,4 or thermopile types,5 still
require high-speed operation as well as high-responsivity performances with
low cost. The thermoelectric approach offers a low-cost potential due to its
simple operation. This is because temperature stabilization takes place by the
Seebeck effect, and biasing with self-heating limitations such as those in the
bolometric type is not required. In addition, this approach has good
compatibility with integrated technology, unlike the pyroelectric discrete
approach. The polysilicon thermopile, which is compatible with complemen-
tary metal-oxide semiconductor (CMOS) technology, has served in low-cost
approaches up until now and led to the demonstration of an infrared image
sensor.5 However, it showed inferior performance compared to commercial
bolometric sensors.4 Heterostructure-based InGaAs/InP thermopiles6 and
modulation-doped heterostructure thermopiles (H-PILEs) were also
reported.7 11 However, as yet, their performance advantages have not been
demonstrated in detail.
In this chapter, the performance advantages of the modulation-doped
AlGaAs/InGaAs H-PILEs are presented based on demonstrated data. These
devices can achieve high performance due to the advantages of both superior
Seebeck coefficient and the exceedingly high mobility of 2D electron gas
(2DEG) and 2D hole gas (2DHG) at the heterojunction interface. This
chapter first describes the features of the Seebeck effect consideration and
device design considerations in Sections 21.2 and 21.3, respectively. The
fabrication technology for realizing infrared sensors and the measured
performances are presented in Sections 21.4 and 21.5, respectively. Finally,
the future prospects for uncooled infrared FPA image sensor applications is
discussed.
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 535

21.2 Seebeck Effect Consideration


21.2.1 Seebeck-coefficient diffusion component
The Seebeck coefficient consists of the diffusion component S0 and the phonon-
drag component Sph, such that S ¼ S0 þ Sph. The well-known model, valid for
nondegenerate semiconductors (where s ¼ 1/2 for the typical case of acoustic
phonon scattering of charge carrier) is given by the following equation:12
  
kB 5 Nc, v
S0n, p ¼  þ s þ ln , ð21:1Þ
q 2 n, p
where S0n,p is the Seebeck-coefficient diffusion component in n- and p-type
material, kB is the Boltzmann constant, q is the elementary charge, Nc,v is the
effective density of states in the conduction and the valence bands, and n and p
are the electron and hole concentrations, respectively. The sign of the Seebeck
coefficient is positive for hole transport or negative for electron transport. The
calculated Seebeck coefficients S0n and S0p of n- and p-In0.53Ga0.47As on an
InP substrate are 50 and 400 mV/K, respectively, at 1018 cm 3.6 Under the
high purity condition of 1014 cm 3, the values of S0n and S0p derived from
Eq. (21.1) are 850 and 1,200 mV/K, respectively. For n- and p-In0.25Ga0.75As
on a GaAs substrate in the following calculation, we assume that the In-mole
fraction differences between In0.25Ga0.75As and In0.53Ga0.47As are neglected,
although the difference would have some effect on the device fabrication and
performance seen in Sections 21.4 and 21.5.

21.2.2 Seebeck-coefficient phonon-drag component


The Seebeck-coefficient phonon-drag component Sph is proportional to lph/
lcarr, which is the ratio of phonon mean free path lph and carrier mean free
path lcarr, based on Herring theory.12 The lph, characterizing phonons, is
inversely proportional to the thermal resistivity W according to the molecular
kinetics expression W 1 ¼ CsVslph/3, where Cs is the specific heat capacity,
and Vs is the longitudinal sound velocity. Using the formula lcarr¼ meff mVe/q,
where meff is the effective mass of the carrier, Ve is the drift velocity, and m is
the carrier mobility, the following criterion is derived:
Sph  ðW mmeff Þ 1 : ð21:2Þ
This criterion is supported by experimental data, and mmeff is understood to
be close to the Sph value between n- and p-type materials.12
To define the Sph-dominated temperature range, Seebeck-coefficient diffu-
sion component S0 values are needed as reference. According to Velmre, Udal,
and Grivickas,12 the phonon-drag component Sph ¼ 500 mV/K for silicon
dominates at the temperature of 300 K. Therefore, the phonon-drag components
of alternative materials can be derived from Eqs. (21.2) based on Sph ¼ 500 mV/K
in the case of silicon. From Eq. (21.2) and using W ¼ 9.2 Kcm/W, the effective
536 Chapter 21

Figure 21.1 Temperature dependences of the Seebeck-coefficient phonon-drag compo-


nent for alternative heterostructure material candidates (reprinted from Ref. 15).

mass ratios of InGaAs/Si, g ¼ 0.063/0.19 ¼ 0.33 for an electron, and g ¼ 1 for a


hole, and the values Sphn,p of 20 and 50 mV/K for n-, p-AlGaAs/InGaAs
hetrostructures, are calculated, respectively. Figure 21.1 shows the temperature
dependence of the Seebeck-coefficient phonon-drag component compared with
silicon for reference.12 In conclusion, for the AlGaAs/InGaAs material system,
the total Seebeck coefficient is S ¼ Sp Sn ¼ 2120 mV/K, where Sn ¼ S0n þ Sphn ¼
(850 þ 20) ¼ 870 mV/K, and Sp ¼ S0p þ Sphp ¼ 1200 þ 50 ¼ 1250 mV/K.8
For n-AlGaAs/InGaAs, the figure of merit Z defined in the equation Z ¼ S2W/r,
where r is the electrical resistivity, is derived to be 1.1  10 2/K, where
Sn ¼ 870 mV/K, W ¼ 9.2 Kcm/W, and r ¼ 6.5  10 4 Vcm are used. For Z of
1.1  10 2/K, ZT is 3.3, over unity at T ¼ 300 K, around 5 times higher than that
of BiSbTe.

21.3 Device Design Consideration


21.3.1 Performance of a thermoelectric sensor
The sensor performance must be optimized in terms of responsivity, detectivity,
and response time, and taking into consideration the related materials and
related device parameters. The responsivity R (the ratio of output voltage to
incident radiation power) is derived from the equation6
X
R ¼ a N ðSp Rthp Sn Rthn Þ, ð21:3Þ
where a is the absorption coefficient, N is the number of a couple of p and n
thermopiles, Sp,n is the Seebeck coefficient of p and n thermopiles, and Rthp,n is
the thermal resistance of p and n thermopiles. The detectivity D (the inverse
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 537

noise-equivalent power relative to the square root of the detection area A) is


derived from the equation6
 1=2
 ADf
D ¼R , ð21:4Þ
4kB TRel
where A is the detection area of absorber, Df is the frequency band, kB is the
Boltzmann constant, T is the absolute temperature, and Rel is the electric
resistance of the thermopile. A model is assumed in which the temperature
fluctuation noises such as phonon, photon, and 1/f noise are not considered.
The response time t (the thermal time constant indicating the 3-dB response
time of the sensor) is derived from the equation
t ¼ Cheat Rth, total , ð21:5Þ
where Cheat is the heat capacitance, and Rth,total is the total thermal resistance
of the sensor.

21.3.2 AlGaAs/InGaAs thermopile design


21.3.2.1 H-PILE structure
The AlGaAs/InGaAs heterostructures fabricated by molecular beam epitaxy
(MBE)/metalorganic chemical vapor deposition (MOCVD) technology are
well established and cost effective in volume production.13,14 Figure 21.2
shows the schematic cross-sectional structure of the H-PILE and the energy
band diagram for modulation-doped Al0.25Ga0.75As/In0.25Ga0.75As on a
GaAs substrate.7 9,15 18 microelectromechanical systems (MEMS) technology
is also applied with selective surface etching to form the suspended diaphragm
of the pixel area and the deposition process of the absorber. Each pixel that is
integrated in a thermopile array detects the voltage signal for the temperature

Figure 21.2 Schematic cross-sectional structure and energy band diagram of the AlGaAs/
InGaAs H-PILE (reprinted from Ref. 18).
538 Chapter 21

difference between the highly thermally isolated absorbing area and the cold
pad of heat sink. MEMS technology allows the fabrication of highly
thermally isolated absorbing areas.

21.3.2.2 High-sensitivity performance design (type-A)


Figure 21.3 shows the layout pattern and size related to the pixel area for
infrared detection in the high-sensitivity-design approach (type-A).7 10
Responsivity R is calculated using Eq. (21.3), with the absorption coefficient
a ¼ 1, and the number of pile couples N ¼ 2. The thermal resistance Rthp,n of
each pile (p and n) is calculated as Rthp ¼ WL/wt ¼ 4.9  106, and Rthn ¼ 1.2
 107 K/W, respectively, where thermal resistivity W ¼ 9.2 for AlGaAs and
2.3 Kcm/W for GaAs, beam length L ¼ 137 mm, beam width w ¼ 3 mm, the
thickness of the InGaAs channel layer d ¼ 10 nm, and beam thickness t ¼ 0.34
mm for the n-type pile and 0.55 mm for the p-type pile.8 In the modulation-
doped heterostructure, thermal resistance in the carrier-supplying layer is
predominant. This is because the thickness of the high-purity channel layer
(less than 10 nm) is negligible compared with that of the carrier-supplying
layer of 100 nm. In this calculation, the thermal resistance for the thin InGaAs
channel layer and the nþ-GaAs ohmic layer are simplified as approximately
that of the AlGaAs layer. The thermal conduction of the electrical isolation
between the p and n thermopiles is assumed to be negligibly small in the
calculation. The mechanical stability of the AlGaAs/InGaAs pile may be the
same as that of the polysilicon pile.5 This is because the fracture toughness of
AlGaAs is about two times higher than that of GaAs and about half the value
of silicon. The calculated value R is 33,000 V/W, one order of magnitude
higher than that of polysilicon.5 The detectivity D is calculated using
Eq. (21.4) with the detection area A ¼ 50  50 mm2, the frequency band Df ¼
1 Hz, the Boltzmann constant kB, and the absolute temperature T ¼ 300 K.

Figure 21.3 Layout pattern of type-A H-PILE relating to pixel area for infrared detection.
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 539

The total electric resistance of the series pile couples (N ¼ 2) is Rel ¼ 240 kV,
where q is the elementary charge, m is the mobility of 8000 for electron and
320 cm2/Vs for hole, the sheet carrier concentration Ns ¼ 1.2  1012 cm 2 of
10-nm-thick 2DEG and/or 2DHG, and the electrical resistivities of the
InGaAs layer re ¼ 6.5  10 4 Vcm and rh ¼ 2.0  10 3 Vcm are calculated
for electron and hole, respectively. The calculated value D is 5.6  109
cmHz1/2/W, which is one order of magnitude higher than that of the
bolometer.4 Using Eq. (21.5), the response time t is calculated to be 8 ms, with
the heat capacitance of the sensor Cheat ¼ 4.2  10 9 J/K, and the total
thermal resistance of parallel pile couples (N ¼ 2) of Rth,total ¼ 1.8  106 K/W.
21.3.2.3 High-speed performance design (type-C)
Figure 21.4 shows the layout pattern and the size related to the pixel area for
infrared detection for the high-speed design approach (type-C).11,15 18 The
responsivity R is calculated using Eqs. (21.3), with the absorption coefficient
a ¼ 1, and the number of pile couples N ¼ 8. The thermal resistance Rthp,n of
each pile (p1, p2, n1, and n2) is calculated as Rthp1 ¼ 1.4  105, Rthp2 ¼ 2.1  105,
Rthn1 ¼ 5.4  105, and Rthn2 ¼ 3.6  105 K/W, respectively, where the thermal
resistivity W ¼ 9.2 for AlGaAs and 2.3 Kcm/W for GaAs.15 The device
parameters of the cross-sectional structure and the assumptions made for the
design calculations are the same as were presented in Section 21.3.2.2.
The calculated responsivity R is 4.900 V/W, almost comparable with polysilicon.5
The calculated detectivity D is 2.0  109 cmHz1/2/W, which is one order of
magnitude higher than that of a bolometer,4 where the total electric resistance of
series pile couples (N ¼ 8) is Rel ¼ 35 kV. The response time t is calculated to
be 110 ms [using Eq. (21.5)], with the heat capacitance of the sensor Cheat ¼
7.0  10 9 J/K, and the total thermal resistance of the parallel pile couples (N ¼ 8)
of Rth,total ¼ 1.5  104 K/W.

Figure 21.4 Layout pattern of type-C H-PILE relating to pixel area for infrared detection
(reprinted from Ref. 15).
540 Chapter 21

21.3.3 Scaled-down approach


High-speed performance based on the type-C H-PILE can be realized with a
scaled-down (from 2.0 to 0.25 mm) design rule approach of the established
conventional HEMT large-scale integration (LSI) technology.14 The perfor-
mance territory in responsivity R versus response time t is as shown in
Fig. 21.5. Responsivity R is 4,900 V/W, with t from 110 to 2 ms, scaled down
to 2.0 to 0.25 mm.17 R is unchanged in the scaled-down design due to the
constant scaling factor L/w for Rthp,n ¼ WL/wt, where t is constant. The
electric resistance Rel is also unchanged at 35 kV due to the constant scaling
factor L/w for Rel ¼ rehL/wt. The detection area of the absorber can be also
scaled down from 50  50 mm2 to 7  7 mm2. The design-rule dependence of
detectivity D and response time t are shown in Fig. 21.6. The pixel number
and pitch depending on design rule, with up to 640  480 VGA (video
graphics array) complexity is shown in Fig. 21.7.

Figure 21.5 Performance territory for responsivity R versus response time t, compared
with alternative uncooled infrared sensors.

Figure 21.6 Design-rule dependence of detectivity D* and response time t.


Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 541

Figure 21.7 Pixel number and pixel pitch depending on design rule.

21.4 Sensor Fabrication Technology


AlGaAs/InGaAs sensors have been fabricated utilizing the integrated HEMT-
MEMS technology.15 18 To realize p-/n-H-PILES in one epitaxial step on a
semi-insulating GaAs substrate, a stack of 200-nm-thick undoped i-Al0.6Ga0.4As
lateral etch-stop layers, a 100/10-nm-thick n-Al0.25Ga0.75As/i-In0.25Ga0.75As pile,
a 30-nm-thick nþ-GaAs ohmic layer, a 100-nm-thick i-GaAs isolation layer,
and a 100/10-nm-thick p-Al0.25Ga0.75As/i-In0.25Ga0.75As pile were grown by
MOCVD, as shown in Fig. 21.1. The p-Al0.25Ga0.75As layer is doped with Zn of
5  1018 cm 3, and the n-Al0.25Ga0.75As and nþ-GaAs ohmic layers are doped
with Si of 1  1018 cm 3 and 1  1019 cm 3, respectively. These parameters of
stacked epitaxial structure are guaranteed with secondary ion mass spectroscopy
(SIMS) measurement data, as shown in Fig. 21.8. The conventional HEMT LSI
fabrication process14 is applied to process steps as follows:
1. First, the mesa isolation between the p- and n-pile area is etched with
conventional H2SO4:H2O2:H2O mixed solution.
2. The beam mesa of the p/n pile is also etched with the same condition as
step 1.
3. The n-mesa beam area is formed with selective wet etching with the
H3PO4:H2O2:H2O mixed solution of the p-mesa beam area. The device is
then passivated with a plasma-enhanced chemical vapor deposition
(PECVD) SiN layer.
4. Si3N4 lithography and dry etching are performed.
5. Ni/Ge/Au/Ni/Au metals are evaporated for n-ohmic contacts with thermal
annealing.
6. Ti/Au metal is evaporated for p-ohmic contacts without thermal
annealing.
7. The cold pads of thermopiles are evaporated with a Ti/Au metal to make
an interconnection with the matrix array and bonding pads.
542 Chapter 21

Figure 21.8 Specified epitaxial structure analyzed by SIMS measurement (reprinted from
Ref. 18). (See color plate section.)

8. The Cr2O3-based metals are formed by conventional lift-off techniques as


the absorber for the incident infrared radiation.
9. Finally, the super-etching process, that is, the selective wet etching of
GaAs substrate to the AlGaAs lateral etch-stop layer is performed with a
C6H8O2:H2O2:H2O mixed solution. A 30-mm depth can be etched into
GaAs substrate under the absorber, with a selective ratio of more than 200
to the AlGaAs etch-stop layer.
Microphotographs of the completed structures of the 32  32 matrix arrays
are shown in Figs. 21.9(a) and (b). The type-A pixel (see structure in Fig. 21.3)
and type-C pixel (see structure in Fig. 21.4) are shown in Figs. 21.9(a) and (b),
respectively. The mechanical strength of each pixel is strong enough to have
large process allowance, resulting in high fabrication yield.

21.5 Measured Sensor Performance and Discussion


AlGaAs/InGaAs infrared sensors per pixel have been characterized at room
temperature.15 The sensor has been tested under the experimental measure-
ment system shown in Fig. 21.10. Based on the Stefan–Boltzmann law, the
incident radiated power Pin into the pixel of the AlGaAs/InGaAs pile from the
blackbody infrared radiator can be fit to the following equation:
Vout sðTb4 Ta4 ÞAb As ðMb Ma ÞAb As
Pin ¼ ¼ ¼ , ð21:6Þ
R pL2 pL2
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 543

Figure 21.9 Microphotographs of 32  32 matrix arrays: (a) type-A pixel pattern and
(b) type-C pixel pattern [part (b) reprinted from Ref. 16].

Figure 21.10 Experimental measurement system for sensor performance characterization


(reprinted from Ref. 15).

where Vout is the measured thermovoltage, R is the responsivity as defined by


Eq. (21.3), the Stefan–Boltzmann constant s ¼ 5.6703  10 8 W/m2K4, Tb is
the blackbody temperature, Ta is the ambient temperature, Ab is the aperture
area [p(d/2)2, where d is the aperture diameter], As is the absorber area of the
pixel, L is the distance between the source and the device under test (DUT),
Mb is the hemispherical radiation intensity at Tb, and Ma is the hemispherical
radiation intensity at Ta.
In this experimental setup, Pin ¼ 7.54  10 7 W was obtained under the
geometrical setup that includes: Mb ¼ 5.67  104 W/m2 at Tb ¼ 1000 K, Ma ¼
4.6  102 W/m2 at Ta ¼ 300 K, As ¼ 50  50 mm2, d ¼ 22 mm, and L ¼
150 mm. The temperature Tb is related to the wavelength lm of maximum
radiation intensity of the blackbody by Wien’s law:
lm Tb ¼ 2898 mmK: ð21:7Þ
Figure 21.11 shows the waveform of the output thermovoltage Vout for
(a) type-A with a 23-dB preamplifier and (b) type-C with a 40-dB preamplifier,
measured under the chopped incident power Pin signal with the frequency of
544 Chapter 21

Figure 21.11 Waveform of thermovoltage measured under 1-Hz chopped radiation signal
at Tb ¼ 1200 K: (a) type-A (23-dB preamplifier) and (b) type-C (40-dB preamplifier).

Figure 21.12 Thermovoltage and lTb-integral rate versus blackbody radiation temperature
(reprinted from Ref. 15). (See color plate section.)

1 Hz, from the blackbody radiator at Tb ¼ 1200 K. The thermovoltage Vout


versus blackbody radiation temperature Tb under such conditions is shown in
Fig. 21.12.15,16 Blackbody measurements were carried out both without a filter
(H, u, and <) and with the bandpass filter whose spectrum is shown in Fig.
21.13 (s, 14 > l > 8 mm) under normal atmospheric pressure. The measured
data (H) for type-A are plotted at Tb ¼ 1000–1200 K. The measured data (u,
<, and s) for type-C are plotted at Tb ¼ 800–1200 K. The calibrated data ( , 
c and 4) are derived from the measured plotted data (<) for type-C multiplied
by the lTb-integral rate,19 i.e., integrated over wavelength l for hemispherical
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 545

Figure 21.13 Spectrum of the bandpass filter used in the experiment (14 > l > 8 mm).

radiation intensity based on Planck’s equation for l > 3 mm, l > 5 mm (Ge-
window), and l > 8 mm, respectively. It is noted that the calibrated data (4) for
l > 8 mm are close to the measured data (s) with filter (14 > l > 8 mm). The
Tb dependence of Vout is also calculated from Eqs. (21.6), where R is assumed to
be from 1000 to 5000 V/W, as a parameter. The calibrated data for l > 5 mm
are close to R of 3000 V/W. The measured value for Rel is 2.8 MV, larger than
the designed value of 35 kV. This would be due to process-induced large
contact resistance of p and n electrodes. The detectivity D might be roughly
estimated to be 1.4  108 cmHz1/2/W, although D needs to be analyzed based
on the frequency dependence of the noise spectrum measurement.

21.6 Conclusion and Future Prospects


A novel thermopile based on a modulation-doped AlGaAs/InGaAs hetero-
structure, or H-PILE, is proposed and developed for the first time for
uncooled infrared FPA application. The n-AlGaAs/InGaAs thermopile
has a figure of merit Z of as large as 1.1  10 2/K (ZT ¼ 3.3 over unity at
T ¼ 300 K). A high responsivity R of 33,000 V/W with t of 8 ms and a high
detectivity D of 5.6  109 cmHz1/2/W are used in the design for the high-
sensitivity performance approach (type-A). For the high-speed performance
approach (type-C), an R of 4900 V/W with t of 110 ms and a high detectivity
D of 2.0  109 cmHz1/2/W are used in the design; higher-speed performance
from 110 to 2 ms can be realized based on a scaled-down (2.0 to 0.25 mm)
approach. The performance territory in responsivity versus response time for
Type-A7 10 and Type-C11,15 18 is shown in Fig. 21.14, and the detectivity
spectrum is shown in Fig. 21.15.10 Based on the integrated HEMT-MEMS
technology, AlGaAs/InGaAs H-PILEs with 32  32 matrix FPAs have been
fabricated to demonstrate the H-PILE’s enhanced performance by blackbody
546 Chapter 21

Figure 21.14 Performance territory for responsivity R versus response time t for different
uncooled infrared sensors (reprinted from Ref. 15).

Figure 21.15 Comparison of detectivity spectra for different infrared detectors, including
p-i-n photodiodes. The 300-K blackbody-radiation-limited D*, 300-K BLIP (background-limited
infrared photoconductance) limit is also included as a reference (reprinted from Ref. 8).

measurement. These devices are expected to be realized for high


performance due to the two advantages of a superior Seebeck coefficient
and the exceedingly high mobility of 2DEG and 2DHG in high-purity
channel layers at the heterojunction interface. The technology presented
here demonstrates the high potential for low-cost uncooled infrared FPA
image sensor application. The device can be also monolithically integrated
with other photon detectors such as InGaAs p-i-n photodiodes, as well as
HEMT functional integrated circuit devices, for high-value-added device
applications.
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 547

Acknowledgments
The authors would like to thank Prof. Masafumi Kimata, Ritsumeikan
University for his kindly offering an infrared sensor measurement system
and useful suggestions, Prof. Dimitris Pavlidis and Mr. Chong Jin,
Technische University of Darmstadt, and Mr. Joo-Shik Park, Mr. Saint
Woo, and Mr. Satoru Okubo, Eye View Tech Co., Ltd. for their continuous
encouragement and support.

References
1. B. F. Levine, C. G. Bethea, G. Hasnain, J. Walker, and R. J. Malk,
“High-detectivity D ¼ 1.0  1010 cm Hz1/2/W GaAs/AlGaAs multi-
quantum well l ¼ 8.3 mm infrared detector,” Appl. Phys. Lett. 53,
296–298 (1988).
2. S. D. Gunapala, S. V. Bandara, J. K. Liu, S. B. Rafol, and J. M. Mumolo,
“640  512 pixel long-wavelength infrared narrowband, multiband, and
broadband QWIP focal plane arrays,” IEEE Trans. Electron Devices 50,
2353–2360 (2003).
3. C. Lucas, “Infrared detection, some recent developments and future
trends,” Sens. Actuators A 25–27, 147–153 (1991).
4. InfraVision LSI, Inc., “Uncooled Bolometer FPA SpecSheet,” Quebec
City, Quebec, Canada (1998).
5. M. Hirota, Y. Nakajima, Y. Hirose, M. Saito, F. Satou, and M.
Uchiyama, “120  90 element thermoelectric infrared focal plane array
with precisely patterned Au-black absorber,” Proc. of 19th Sensor
Symposium, 117–121 (2002).
6. A. Dehe, D. Pavlidis, K. Hong, and H. L. Hartnagel, “InGaAs/InP
thermoelectric infrared sensor utilizing surface bulk micromachining
technology,” IEEE Trans. Electron Devices 44, 1052–1059 (1997).
7. M. Abe, “AlGaAs/InGaAs thermopile-based infrared image sensor
utilizing HEMT and MEMS process technology,” 8th Topical Workshop
on Heterostructure Microelectronics (TWHM), WeC-3, 42–43 (2009).
8. M. Abe, “Modulation doped heterostructure thermopiles for uncooled
infrared image-sensor application,” IEICE Trans. Electron. E93-C,
1302–1308 (2010).
9. C. Jin, K. Boye, M. Abe, and D. Pavlidis, “GaAs-based infrared detector
for array application,” 34th Workshop on Compound Semiconductor
Devices and Integrated Circuits (WOCSDICE) W6, 117–118 (2010).
10. M. Abe, “Modulation-doped AlGaN/GaN thermopiles for uncooled
infrared image sensor,” 34th Workshop on Compound Semiconductor
Devices and Integrated Circuits (WOCSDICE) W6, 115–116 (2010).
548 Chapter 21

11. M. Abe, “High-speed modulation-doped AlGaN/GaN thermopile for


uncooled infrared image sensor application,” 9th Topical Workshop on
Heterostructure Microelectronics (TWHM) 2–9, 19–20 (2011).
12. E. Velmre, A. Udal, and V. Grivickas, “High phonon-drag thermoelectric
efficiency of SiC at low temperatures,” Mater. Sci. Forum, 457–460,
693–696 (2004).
13. M. Abe, “A quarter century of HEMT device technology,” Proc. 21st
Symposium on Materials Science and Engineering, Research Center of Ion
Beam Technology, Hosei University, Japan, 7–14 (2002).
14. M. Abe, T. Mimura, N. Kobayashi, M. Suzuki, M. Kosugi, M. Nakayama,
K. Odani, and I. Hanyu, “Recent advances in ultrahigh-speed HEMT LSI
technology,” IEEE Trans. on Electron Devices 36, 2021–2031 (1989).
15. M. Abe, N. Kogushi, K. S. Ang, R. Hofstetter, K. Manoj, L. N. Retnam, H.
Wang, G. I. Ng, C. Jin, and D. Pavlidis, “High-performance modulation-
doped heterostructure-thermopiles for uncooled infrared image-sensor
application,” IEICE Trans. Electron. E95-C, 1354–1362 (2012).
16. M. Abe, Y. Abe, N. Kogushi, K. S. Ang, R. Hofstetter, H. Wang, and G.
I. Ng, “High-responsivity modulation-doped AlGaAs/InGaAs thermo-
piles for uncooled IR-FPA utilizing integrated HEMT-MEMS tech-
nology,” IEEE Electron Device Lett. 33, 1243–1245 (2012).
17. M. Abe, Y. Abe, N. Kogushi, K. S. Ang, R. Hofstetter, H. Wang, and G.
I. Ng, “High-performance modulation-doped AlGaAs/InGaAs thermo-
piles for uncooled infrared FPA application,” Quantum Structure Infrared
Photodetector International Conference (QSIP 2012), T–4, 39 (2012).
18. M. Abe, K. S. Ang, H. Wang, and G. I. Ng, “Modulation-doped AlGaAs/
InGaAs thermopiles for uncooled IR-FPA utilizing integrated HEMT-
MEMS technology,” Infrared Optoelectronics: Materials and Devices,
MIOMD-XI 5, 231–232 (2012).
19. Y. Kimura, “Fundamentals of far-infrared rays, JIRA Report 9, 18–23,
Japan Far-Infrared Rays Association (1988).

Masayuki Abe received B.E., M.E., and Ph.D. degrees


in electrical engineering from Osaka University, Osaka,
Japan, in 1967, 1969, and 1973, respectively. He is
currently President of HEMTCORE and 3D-bio Co.,
Ltd. In 1973, he joined Fujitsu Laboratories, Ltd.,
Japan, where he developed AlGaAs and InGaAsP
LEDs for fiber communications, microwave HEMTs
for DBS receivers, and high-speed HEMT LSIs for
supercomputers. Since 1998, he has been developing 10-kW-class high-power
h-GaN HEMTs for solar-cell and fuel-cell inverters of cogeneration systems,
Modulation-Doped AlGaAs/InGaAs Thermopiles (H-PILEs)… 549

high-power 3C-SiC vertical DMOSFETs and c-GaN HEMTs for EV/HEV


inverters, modulation-doped heterostructure thermopiles (H-PILEs) for
uncooled infrared image sensors at KRI Inc. and 3D-bio Co., Ltd. He has
authored more than 200 scientific publications and 11 books.
Dr. Abe served as Overseas Advisor for the IEEE GaAs IC Symposium
(1983–1985), Guest Editor of the IEEE Transactions on Electron Devices for
the May 1986 Special Issue on Heterojunction Field-Effect Transistors
(HFET), and a lecturer for a short course at the 1990 IEEE GaAs IC
Symposium. He served as a technical committee member of MITI(METI) of
Japan for many national R&D projects on GaAs- and HEMT-related
technology (1981–1996). He is a Life Fellow of IEEE.

Kian Siong Ang received B.Eng. and M.Eng. degrees from


the Nanyang Technological University (NTU), Singapore,
in 1997 and 1999, respectively. From 1998 to 1999, for his
M.Eng. degree, he worked on 0.25-mm GaAs-based
PHEMT for low-noise, high-power MMIC applications.
From 2001 to 2002, he joined Denselight Semiconductor
Pte Ltd. where he successfully developed InP-based HBT
MMIC for ultrahigh-speed wireless and optical products.
Intermittently, between 1999 and 2009, he held both technical and management
positions at Chartered Semiconductor Manufacturing, including serving as the
lead for the F2 Lithography Process for more than three years. He received many
awards for his contribution to Chartered Semiconductor Manufacturing. He
joined Nanyang Technological University in 2009, as the MTDC Lab Manager.
Dr. Ang has authored and coauthored numerous technical papers and patents.
His current research interests include III-V compound semiconductor fabrication
technology and characterization (e.g., GaAs-based MMIC, CMOS compatible
GaN-on-Si HEMT & MMIC, InP-based photodetectors and transistors, ceramic
thin film fabrication, thermopile IR sensors, PCSS- and GaN-based solar blind)

Hong Wang received the B.Eng. degree from Zhejiang


University, China, in 1988, and the M.Eng. and Ph.D.
degrees from the Nanyang Technological University,
Singapore, in 1998 and 2001, respectively. From 1988
to 1994, he was with the Institute of Semiconductors,
Chinese Academy of Sciences. From 1994 to 1995, he
was a Royal Research Fellow with British Telecommu-
nications Laboratories, Ipswich, U.K., where he devel-
oped InP-based HFETs using e-beam lithography. Since 1996, he has been
with Nanyang Technological University, where he is currently an Associate
550 Chapter 21

Professor, and Director of Nanyang NanoFabrication Centre (N2FC). He has


authored or coauthored more than 170 technical papers. Prof. Wang was a
recipient of the 2007 Defense Technology Prize, Ministry of Defense,
Singapore. He served as a session chair and as a subcommittee member for
IEDM in 2009 and 2010.

Geok Ing Ng received his Ph.D. degree in electrical


engineering from the University of Michigan, Ann
Arbor, in 1990. From 1991 to 1993, he was a Research
Fellow at the Center for Space Terahertz Technology at
the University of Michigan, working on microwave/
millimeter-wave semiconductor devices and MMICs. In
1993, he joined TRW Inc. in Space Park, California,
where he worked on GaAs- and InP-based HEMTs for
high-frequency, low-noise, low-power MMIC applications. In 1995, he joined
Nanyang Technological University, Singapore. He currently heads the
Microelectronics Division and is the Director of NOVITAS – Nanoelectronics
Centre of Excellence in the School of Electrical and Electronic Engineering.
He is also the Director of the Silicon Technologies Centre of Excellence (Si
COE), jointly established by the Science and Engineering Research Council
(SERC) and NTU.
Dr. Ng has authored and coauthored more than 200 technical
publications. His current research interests include device physics, fabrication,
and characterization of microwave devices with different III-V materials, and
systems for low-noise, low-power, and MMIC applications. In 1990, he was
awarded the European Microwave Prize for his work on InP-based
heterostructure monolithic amplifiers. In 2007, he was awarded the prestigious
Singapore’s Defense Technology Prize for his outstanding technological
contributions in MMIC R&D.
Chapter 22
Spin–Orbit Engineering
of Semiconductor
Heterostructures
Henri-Jean Drouhin
École Polytechnique, LSI, CNRS and CEA/DSM/IRAMIS, Palaiseau,
France

Federico Bottegoni and Alberto Ferrari


Dipartimento di Fisica, Politecnico di Milano, Milano, Italy

T. L. Hoai Nguyen
Institute of Physics, Vietnam Academy of Science and Technology,
Hanoi, Vietnam

Jean-Eric Wegrowe
École Polytechnique, LSI, CNRS and CEA/DSM/IRAMIS, Palaiseau, France

Guy Fishman
Université Paris-Sud, IEF, CNRS, Orsay, France

22.1 Introduction
22.2 General Definition of Current Operators
22.2.1 Current associated with a quantum mechanical operator
22.2.2 Symmetry properties of current operators
22.3 Probability Current Related to an Effective Hamiltonian
22.3.1 The general nth order Hamiltonian
22.3.2 Velocity operator in the presence of spin orbit interaction
22.3.3 Velocity and probability current operators in effective Hamiltonian formalism
22.4 Spin Current Operator
22.5 BenDaniel Duke like Formulation and Boundary Conditions

551
552 Chapter 22

22.6 Spin Split Evanescent States in III V Semiconductors


22.6.1 Evanescent states
22.6.2 The [110] direction
22.6.3 Constant g case: solution to the tunneling problem
22.6.4 Matching conditions
22.7 Conclusion
Appendix
22.A Complete Derivation of the Current Operator Jb
22.B Evanescent Bands in the [110] Direction
22.C Standard Tunneling Case
References

22.1 Introduction
Spin transport and dynamics is one of the crucial issues of spintronics,
regardless of whether the system of interest is magnetic or nonmagnetic:1,2
semiconductors are of special interest because in such systems the charge
carrier dynamics can be accurately treated through single-particle approxi-
mation, making use of the precise knowledge of wavefunctions. Here the
single-electron, possibly spin-dependent wavefunction is well suited to provide
reliable fully quantum mechanical models, or to derive semiclassical
theoretical models, which eventually can be extended to other kinds of
systems. In this context transport operators play a key role and, in particular,
the probability current is a fundamental concept in quantum mechanics,
which connects the wave-like description of a quasi-particle to the notion of
transport current. When we consider a general Schrödinger problem where the
Hamiltonian is

b0 ¼ bp þ UðrÞ,
2
H ð22:1Þ
2m
where UðrÞ is the real periodic potential in a crystalline solid, and m is the free-
electron mass, we are led to the basic definition of the free-electron current
probability:3
 
b
p ħ
J ½c ¼ Re c
f
c ¼ Im½c rc: ð22:2Þ
m m

However, spin–orbit interaction (SOI) Hamiltonian is nonreal, so a


redefinition of the probability current is mandatory. A debated example of
this subtle point is provided by semiconductor-based systems, whose proper
treatment requires consideration of the Hamiltonian:

b¼H
H b0 þ H
bSO , ð22:3Þ
Spin–Orbit Engineering of Semiconductor Heterostructures 553

with

bSO ¼ ħ
H ðrU bp Þ bs : ð22:4Þ
4m2 c2
Following the arguments developed by Nguyen et al.,4 it is reasonable to
express the full Hamiltonian, involving SOI terms, as an effective
Hamiltonian that consists of momentum operator bp power series expansion:
indeed, besides the kinetic energy, which is quadratic in bp , the SOI provides
leading terms that are linear and cubic in bp , known respectively as Bychkov–
Rashba5,6 and Dresselhaus7 or D’yakonov–Perel’ (DP)8 terms. Then, since the
SOI potential is nonreal, a more general definition of the probability current
J½c should be taken into account.9 Considering interactions that include
higher-order polynomial terms in the Hamiltonian, we have to deal with an
effective Hamiltonian of order n.
Furthermore, an open question, strictly linked to the one above, concerns
the definition of spin current (SC). Indeed, in semiconductor physics that
provides paradigmatic systems for spintronics, it is known that the SC
standard definition, used by many authors,10 15 can be suitably applied to 2D
systems with Rashba SOI but fails to describe spin-dependent transport
phenomena in bulk cubic semiconductors, where SOI induces a DP term in
the conduction band. The existence of extra-current terms was pointed out in
Ref. 16, and Drouhin et al.17 have shown that a redefinition of SC is
mandatory to obtain a unified treatment, enlightening the fact that a properly
symmetrized spin-current operator bJ"ð#Þ ½c, where " ð#Þ refers to up- (down-)
spin channel, gives unexpected results when applied to tunneling through
evanescent states in GaAs barriers.
As pointed out by Rashba in Ref. 10, there are still concerns about the
fact that a consistent theory of spin transport current has not been
formulated yet. From a general point of view, this means that we cannot
immediately approach such a topic in terms of nonequilibrium thermody-
namics. In fact, a difficulty is related to the definition of system in order
to formulate relevant balance equations as well as the boundary terms
that should be possibly included in the effective Hamiltonian. Shi et al.18
have proposed an alternative spin-current operator, satisfying the continu-
ity equation, that allegedly supports important conclusions concerning
conservation of spin currents,19 21 but that appears to rely on nonexplicit
assumptions (see Section 22.2).
The inclusion of SOI in the Hamiltonian of a system has direct and
practical consequences in heterostructures, where a consistent analysis of
the tunneling phenomena is required. The pragmatic BenDaniel–Duke
(BDD) approach,22 which works when dealing with quadratic Hamilto-
nians under effective-mass approximation, cannot be straightforwardly
extended because it is not always possible to ensure both the continuity of
554 Chapter 22

the envelope function and the conservation of the probability current, a


condition mandatory under steady state regimes. Then it is necessary to
revisit both the probability-current expression and the boundary condi-
tions.26 This is in line with the ideas of Harrison.27
In the following, we present a systematic construction of the probability-
current operator bJ, based on an effective Hamiltonian written as a bp power
series expansion. We show the relation between the velocity operator bv and
the current operator, evidencing the simple structure of the extra terms. This
yields easy and compact calculations, whereas explicit treatments in
particular cases resulted in lengthy calculations.16 The current operator
can be subsequently used to build the SC operator according to the
procedure described in Ref. 17. Then, we introduce proper matching
conditions at the boundaries that generalize the BDD procedure, the
simplest efficient way to deal with semiconductor heterostructures. Finally,
we illustrate our method on three examples: the case of a quadratic
Hamiltonian, where we recover the usual situation (continuity of the
envelope function and of the velocity), the case where a Rashba term is
added as a perturbation to the BDD Hamiltonian (there we find that
the situation is as usual), and the case where a cubic DP term is added to the
BDD Hamiltonian (where we prove that the envelope function cannot be
continuous).
The layout of the chapter is as follows: In Section 22.2, we give a general
construction of current operators and a derivation of local properties.
In Section 22.3, we introduce a general Hamiltonian H b ðnÞ as a nth -degree
homogenous function of momentum-operator coordinates; we derive the
velocity operator and we show that a proper symmetrization yields
the Hermitian current operator bJ . In Section 22.4, we show how to extend
ðnÞ

the construction procedure to the spin-current operator. In Section 22.5, we


propose boundary conditions that are suitable to deal with heterostructures.
The application of our method to electron tunneling through a ½110-oriented
GaAs barrier is presented in Section 22.6. Finally, the conclusions and some
perspectives are given in Section 22.7.

22.2 General Definition of Current Operators


22.2.1 Current associated with a quantum-mechanical operator
A difficulty that arises when a current operator is taken into account relies on
the correct definition of the system and of its boundaries; in fact, considering
the density r of a physical quantity, we need to satisfy the continuity equation
of J, defining a source term G so that
@r
þ r  J ¼ G: ð22:5Þ
@t
Spin–Orbit Engineering of Semiconductor Heterostructures 555

As pointed out by Shi et al. in Ref. 18, the continuity of J can be ensured
by introducing a general source term G, as in Eq. (22.5), but the source term
is not uniquely defined, and this leads to possible confusion when
considering the conservation laws in terms of nonequilibrium thermody-
namic equations. In any case, we first need to clearly state the local
properties of a current operator, postponing the analysis of its global
properties. For this purpose, we consider a linear operator Ab that does not
explicitly depend on time and acts over a generic state c. In the following we
adopt the notation ð Ab Þc ¼ ðcj Ab cÞ ¼ c† Ab c used in Ref. 4. The general
Schrödinger equation reads
@ b c,
c¼H
iħ ð22:6Þ
@t
where H b denotes the Hamiltonian in general form. For example, H b may equal
b b
H [defined in Eq. (22.3)] or H eff [defined below in Eq. (22.36)]. The derivative
of ð Ab Þc with respect to time is

@ b @  † b  @  † b @
ð A Þc ¼ c Ac ¼ c A c þ c† Ab c : ð22:7Þ
@t @t @t @t
Using Eq. (22.6) we obtain
 
@ b 1  b † b 1 † b b  1 † b b 
bc
†
b :
ð A Þc ¼ Hc Acþ c A Hc ¼ c AHc H Ac
@t iħ iħ iħ
ð22:8Þ
If Ab is an Hermitian matrix (its elements are complex numbers but not
differential operators), i.e.,
 †  
Hb c Ab c ¼ c† Ab H
bc , ð22:9Þ

then we can rewrite Eq. (22.8) in a more suitable way that we refer to as the
local form of the Ehrenfest theorem:
@ b 2  
ð A Þc ¼ Im c† Ab H bc : ð22:10Þ
@t ħ


A thermodynamic model only based on the continuity equation Eq. (22.5) cannot take into
account the exchange of energy e with the environment introduced by the current generator and
the SOI. Indeed, the consequence of the Gibbs equation, de=dt ¼ T@s=@t þ m@r=@t (where s is
the entropy, T the temperature, and m is the chemical potential), is that the continuity equation
of the density of carriers r is necessarily determined by the power dissipated at the boundaries.
At zero temperature, the source term is equal to the power dissipated divided by the chemical
potential @r=@t ¼ ð1=mÞ de=dt. This is the reason that the decomposition between the
divergence term and the source term G cannot be unique without specifying the boundary
conditions.
556 Chapter 22

The integration over the whole space leads to the well-known Ehrenfest
theorem, whose global form is valid for any (possibly differential) Hermitian
operator A: b
@ D

E 1 hD

b b

E D b

Ei 1 D

h b b i

E
cA c ¼ c AH c Hc A c ¼ c
A, H
c : ð22:11Þ
@t iħ iħ
We can write
@  b 1  n b bo  1  †h b bi 
A ¼ Im c† A, H c þ Im c A, H c , ð22:12Þ
@t c ħ ħ
with fba ,bbg ¼ ba bb þ bbba , and, by integration over the whole space, we get
Z  n o 
bH
d 3 r Im c† A, b c ¼ 0: ð22:13Þ

The time derivative of ð Ab Þc is composed of two parts, concerning two


different physical processes: we respectively recognize in Eq. (22.12) the
divergence of the current and the source term G associated with A: b
1  †n b bo  1  †n b b o 
r  JA ¼ Im c A, H c ¼ Im c A, H U c : ð22:14Þ
ħ ħ
Here any real potential U vanishes when taking the imaginary part of the
anticommutator, and
1  h b bi 
G ¼ Im c† A, H c : ð22:15Þ
ħ
The above procedure has two advantages: first, we expressed in a general
form all of the quantities entering Eq. (22.5) through commutators and
anticommutators; then we related the probability-current expression directly
to the local properties of its corresponding operator, without taking into
account a closed system (obviously, such a procedure does not imply that the
integral of r  JA over a subsystem is zero). It has to be noted that it is always
possible to include the source G term in the form of a current JG , G ¼ r  JG
so that the conservation equation becomes
@  b @  b
A þ r  ðJA JG Þ ¼ A þ r  J ¼ 0, ð22:16Þ
@t c @t c

where J ¼ JA JG is divergence free in the steady state regime. For instance,


if we look for JG ¼ rUG , the potential UG is a solution of the Laplacian
problem DUG ¼ G. Moreover, adding to JG the term r  AG , where AG is an
arbitrary vector field, does not affect the conservation equation. At this stage,
the boundary conditions are not under control. Shi et al.18 observe that it
might often happen that
Z
d 3 r G ¼ 0, ð22:17Þ
V
Spin–Orbit Engineering of Semiconductor Heterostructures 557

when the integration is performed over the volume of the system ðVÞ. Then,
Z Z Z
d r G ¼ d r r  JG ¼ JG  ds ¼ 0,
3 3
ð22:18Þ
V V S
where the volume integral is changed into a surface integral through
Ostrogradski’s theorem (here S is the surface that limits V, and ds is the
surface element oriented along the normal to S). Such a relation is obviously
satisfied provided that JG  d s ¼ 0, i.e., provided that JG is a tangential
vector to S, a condition that is “ physically” reasonable. Shi et al.18 further
assume that JG “is a material property that should vanish outside the
sample;” this is a more restrictive and questionable hypothesis. For instance,
in the case of a magnetic field, the effect of the associated vector potential
cannot a priori be overlooked outside the sample. In any case, let us assume
that JG ¼ 0 at the surface S. Following the calculation by Shi et al.,18 it is
straightforward to show, after partial integration where the boundary
contribution cancels, that
Z  Z
@JG, x @JG, y @JG, z
dy dz dx x þ þ ¼ d 3 r JG, x , ð22:19Þ
@x @y @z
in which JG, x , JG, y , and JG, z are the Cartesian components of JG . Then,
Z Z Z
d 3 r JG ¼ d 3 r rr  JG ¼ d 3 r rG
Z  h i  Z  h i 
1 † b b 1 bHb c : ð22:20Þ
¼ d r r Im c A, H c ¼
3
d 3 r Im c† r A,
ħ h iħ
It is easy to check that, provided that A, b r ¼ 0,
h i h i
r A, bH b ¼ Ar,
b H b b
iħ by A, ð22:21Þ
h i
where r, H b ¼ iħ by . Thus,
Z Z  h i  Z  
1 b H b c þ d 3 r Re c† by Ac b
d 3 r JG ¼ d 3 r Im c† Ar,
ħ
1
Z  h i  Z
¼ d r Im c Ar, H c þ d 3 r J~A :
3 † b b
ð22:22Þ
ħ
Here, J~A is the canonical current defined as
!
b
y Ab þ b
Aby
J~A ¼ Re c† c : ð22:23Þ
2
According to Eq. (22.8), we can write
2   3
Z Z b
d Ar
6 c7
d 3 r JG ¼ d 3 r 4J~A 5: ð22:24Þ
dt
558 Chapter 22

Shi et al.18 define the effective current density as


 
b
d Ar
~ c
: ð22:25Þ
JG ¼ JA
dt
We have the two following relations that respectively define the total current
J and the effective total current J :
J ¼ JA JG , ð22:26aÞ
 
b
d Ar  
J~A :
c
J ¼ JA JG ¼ þ JA ð22:26bÞ
dt
~
Provided that JA J A ¼ 0, i.e., when making the confusion between
the canonical and the true currents (which is justified only for
Hamiltonians up to second order in bp , see Section 22.3), the effective
total current becomes J ¼ dð ArÞb c =dt, which is Eq. (5) in the papers by Shi
18 20
et al. and Zhang et al., and is the cornerstone of their further
calculations. After a careful analysis, this relation appears to be derived
under very special conditions so that it cannot be general. Moreover, the
meaning of the so-called effective currents and their relationship with the
true currents are not clear. Their use of tackling local transport equations
remains questionable.

22.2.2 Symmetry properties of current operators


In Eq. (22.10), we derived the local form of the Ehrenfest theorem for a
general operator Ab and deduced the expression of the associated current JA .
First of all, consider the case where Ab ¼ Ib, where Ib is the identity and the
quadratic Hamiltonian bp 2 =2m. We rewrite Eq. (22.10) as

@ 2 †b
p
jcj ¼ r  Re c c ¼ r  J½c: ð22:27Þ
@t m
We recover the usual expression for the free-electron probability current:

†b
p
J½c ¼ Re c c : ð22:28Þ
m
Note that
" ! ! # " ! #
@ 1 b
p 2
b
p 2
1 b
p 2  † bp 2  
jcj2 ¼ c† c  c† c ¼ c† c  Kb0 c Kb0 c ,
@t iħ 2m 2m iħ 2m 2m

ð22:29Þ
where Kb0 is the time-reversal Kramers operator for a spinless particle, which
consists of taking the complex conjugate in the r -representation. Let us check
Spin–Orbit Engineering of Semiconductor Heterostructures 559

the effect of time inversion symmetry on the current operators we have


defined. For this purpose we consider the term
 n o  h   i
bH
2iħr  JA ¼ 2i Im c† A, b c ¼ c† Ab Hbc c† Ab H
bc
h   i
b Ab c
þ c† H b Ab c :
c† H ð22:30Þ

First, look at the term c† Ab H


bc

 
 

b Kbc ¼ Kb0 c
Rb† AbH
Kbc
AbH b Kbc ¼ Kb0 c
Rb† AbKb H
bc

†  

¼ «A Kb0 c
Rb Kb AbH
bc ¼ «A Kb0 c
Kb0 AbH
bc


¼ «A c
AbH
bc : ð22:31Þ

Here, Kb ¼ Rb Kb0 is the Kramers operator for a particle with spin 1=2,
Rb ¼ is y ð Rb † ¼ Rb 1 Þ, and «A ¼ 1, depending on whether Ab verifies that
(this relates to a real or purely imaginary operator, which corresponds to the
cases of interest here)28
Kb Ab Kb ¼ «A A,
b i:e:; Rb Ab Rb ¼ «A Ab  : ð22:32Þ
b Ab c,
Similarly, for the term c† H

 

b AbKbc ¼ «A Kb0 c
Rb† Kb H
Kbc
H b Ac
b

 

¼ «A Kb0 c
Kb0 H
b Ac
b ¼ «A c
H
b Ac
b : ð22:33Þ

Thus, we obtain
 n o  n o  † n o 
bH
2i Im c † A, b c ¼ c† A,
bHb c þ «A Kbc bH
A, b Kbc : ð22:34Þ

We conclude that the relevant relation for the current of Ab is


 n o  † n o 
1
r  JA ¼ c A, H c þ «A Kbc
† b b bH
A, b Kbc : ð22:35Þ
2iħ

22.3 Probability Current Related to an Effective Hamiltonian


22.3.1 The general nth-order Hamiltonian
Considering effective Hamiltonians, we deal with general expressions given by
momentum series expansions, i.e., constructed from the energy expressed as a
wave-vector-component series expansion after the substitution fk ! irg.
We write the effective Hamiltonian H b eff as follows:

H b p þ V ðrÞ,
b eff ¼ H ð22:36Þ
560 Chapter 22

where V ðrÞ is a potential that may be the potential of a single barrier or of a


superlattice, for example. Hb p is such that
X X X ðnÞ
Hbp ¼ clð1Þ, lð2Þ,. . ., lðnÞ bp lð1Þ . . .bp lðnÞ ¼ b ,
H ð22:37Þ
n lðkÞ2fx, y, zg n
k 1, ... , n

where bp lðkÞ is the momentum operator associated with the lðkÞ Cartesian
coordinate and where clð1Þ ; . . . ;lðnÞ are Hermitian matrices that are invariant
under permutation of the subscripts. The abstract form of Eq. (22.37) allows
us to perform easy calculations. In Section 22.3.2 we show how to handle such
a general expression to deal with concrete situations.
Formally, we perform the identification
cx . . . cx cy . . . cy cz . . . cz ¼ cx . . .x , y . . . y , z|fflffl.{zffl
. .fflz} ,
|fflfflffl
ffl{zfflfflfflffl} |fflfflfflffl{zfflfflfflffl} |fflfflfflffl{zfflfflffl} |fflffl{zfflffl} |fflffl{zfflffl} ð22:38Þ
a b g a b g

where a, b, and g are integers. We obtain


b ðnÞ ¼ ðcx bp x þ cy bp y þ cz bp z Þn :
H ð22:39Þ
Given Eqs. (22.37) through (22.39), let us note that only terms such as cxx
or cxy (for n ¼ 2 ) are meaningful, a term such as cx being only a trick in the
calculation.
Alternatively, one can write
X
b ðnÞ ¼
H cabg bp xa bp yb bp zg , ð22:40Þ
aþbþg n

with
n!
cabg ¼ ca cb cg : ð22:41Þ
a!b!g! x y z
We are now in a position to tackle the problem of velocity, first, when the
Hamiltonian H b takes into account the SOI, and, second, when the Hamiltonian
b
Heff is an effective Hamiltonian.

22.3.2 Velocity operator in the presence of spin–orbit interaction


The velocity operator bv equals @ H=@ b bp , whatever the Hamiltonian H. b Indeed,
from the Ehrenfest theorem (valid regardless of the Hamiltonian H), b we have
dhbr ic i Dh iE
hbv ic ¼ ¼ b br
H, : ð22:42Þ
dt ħ c

If ði=ħÞh½ H,b b
b r ic ¼ h@ H=@pi c , then b
b bp because two linear
v ¼ @ H=@
operators that have the same mean values over all possible states are equal:
8c, h Ab ic ¼ h Bbic () Ab ¼ B. b 29 Then, it is enough to show that ði=ħÞ½ H,b
b r ¼
b b
@ H=@ bp to prove that bv ¼ @ H=@ bp .
Spin–Orbit Engineering of Semiconductor Heterostructures 561

In the case of H b¼H b0 , which contains an H b ð2Þ term [Eq. (22.1)],


b0 =@bp , and we obtain the velocity bv 0 :
b0 , br  ¼ ðħ=imÞbp ¼ @ H
ði=ħÞ½ H
@Hb0
bv 0 ¼ : ð22:43Þ
@bp
This relation holds in the presence of SOI where the Hamiltonian is
Hb¼H b¼H b0 þ H bSO [Eq. (22.3)]. Defining bvSO ¼ ði=ħÞ½ H
bSO , br , the velocity
is bv ¼ bv 0 þbv SO . A straightforward calculation yields
ihb i ħ @H bSO
bv SO ¼ H SO , b
r ¼ ðbs  rUÞ ¼ : ð22:44Þ
ħ 2
4m c 2 @bp

22.3.3 Velocity and probability-current operators in effective


Hamiltonian formalism
b¼H
In the case of a generic effective Hamiltonian H beff , we have
h i 
X ħ b ðnÞ
ħ @H
b ðnÞ , x ¼
H c abg a 1 b g
abp x bp y bp z ¼ , ð22:45Þ
aþbþg n
i i @bp x

or
ihb i ihb i @Hbeff
Hp , br ¼ Heff , br ¼ : ð22:46Þ
ħ ħ @bp
Using Eqs. (22.38) through (22.41), it is then easy to calculate the
ðnÞ b ðnÞ :
j component by j ðj ¼ x, y, zÞ of the velocity operator bv ðnÞ associated with H
ðnÞ
ðnÞ @Hb
by j ¼ ¼ ncj ðcx bp x þ cy bp y þ cz bp z Þn 1 : ð22:47Þ
@bp j
We introduce the scalar product between the momentum bp and the
velocity operator bv ðnÞ :
ðnÞ
bp x by ðnÞ
x þbp y by ðnÞ
y þbp z by ðnÞ
z ¼ nðcx b
b
p x þ cy bp y þ cz bp z Þn ¼ n H : ð22:48Þ
Equation (22.48) means that
b ðnÞ ¼ n H
bp bv ðnÞ ¼ bp  rp H b ðnÞ , ð22:49Þ
which is nothing but Euler’s relation for a homogenous function. Eventually,
!
X 1 ðnÞ
Hbeff c ¼ bp  bv c þ V c ¼ Ec: ð22:50Þ
n
n
As pointed out in Section 22.2, we are allowed to define current operators
in open systems provided that we properly take into account their boundary
conditions. In Appendix 22.A, we show that performing the proper
562 Chapter 22

symmetrization according to the following rule [Eq. (22.52)], we find a


probability current operator that for the j th -Cartesian component reads
X ðnÞ
Jbj ðr0 Þ ¼ Jbj ðr0 Þ, ð22:51Þ
n

ðnÞ X h
Jbj ðr0 Þ ¼ cj, lð1Þ, . . . , lðn 1Þ dr0 bp lð1Þ . . . bp lðn 1Þ þ bp lð1Þ dr0 . . . bp lðn 1Þ
lðkÞ2fx, y, zg
k 1, ... , n1

þ. . . : þ bp lð1Þ . . . bp lðn 1Þ dr0 , ð22:52Þ


where dr0 ¼ dðr r0 Þ is the Dirac distribution. We must still verify that the
divergence of the current, calculated with the operator defined by Eq. (22.52),
satisfies the conservation equation for the density of probability [Eq. (22.14)
when Ab is the identity]. It is straightforward to show (see Appendix 22.A) that
the divergence of the probability current can be written as
X
r  J½c ¼ r  JðnÞ ½c
n

2 X X X
¼ Im cj , lð1Þ, . . . , lðn 1Þ ðcjb
p j bp lð1Þ . . . bp lðn 1Þ jcÞ:
ħ n j fx, y, zg lðkÞ2fx, y, zg
k 1, ... , n 1

ð22:53Þ
Then, we recover all of the terms of Eq. (22.14) so that Eq. (22.52)
indeed provides a correct definition of the current operator. Obviously,
adding a term proportional to the curl of any vector field would not affect
the result. Such a definition of bJ provides an unambiguous and general tool
for evaluating the probability current. Provided the Hamiltonian of the
whole system is known, this probability-current operator guarantees the
requirements of the continuity equation.
Now it is useful to introduce the Hermitian symmetrized velocity operator
bv ðr0 Þ so that the velocity vðr0 Þ at the point r0 is vðr0 Þ ¼ hcjbv ðnÞ ðr0 Þjci. We
ðnÞ

have
ðnÞ nX
bv j ðr0 Þ ¼ cj, lð1Þ, . . . , lðn 1Þ ½dr0 bp lð1Þ . . . bp lðn 1Þ þ bp lð1Þ . . . bp lðn 1Þ dr0 :
2
lðkÞ2fx, y, zg
k 1, ... , n1

ð22:54Þ
For example, for n  3, the comparison between Eqs. (22.52) and (22.54)
ðnÞ
clearly shows that bJ j ðr0 Þ contains n 2 extra terms, which are straight-
forwardly obtained from @ H beff =@bp . For instance, with H beff bp n , we have
@Hbeff =@bp nbp n 1
such that bv ðnÞ ðr0 Þ ðn=2Þðdr0 bp n 1
þ bp n 1 dr0 Þ, whereas
JbðnÞ ðr0 Þ ðdr0 bp n 1
þ bp dr0 bp n 2 n 1
þ . . . þ bp dr0 Þ. As shown in Ref. 4, extra
Spin–Orbit Engineering of Semiconductor Heterostructures 563

terms are especially important for evanescent waves. Therefore, in the


following we deal with tunneling problems.

22.4 Spin-Current Operator


The spin-current concept is crucial for spintronics. However, its definition in a
medium where SOI is present remains a subtle point that gives rise to intense
discussions and sometimes epistemological controversies.10,12,30,31 Up to now,
the standard definition is to write the ð6  6Þ SC tensor as the symmetrized
dyadic product bs bv t , where the velocity operator bv is defined from the
Hamilton relation
1 b
@H
Jb ¼ ðbv bs t þbs bv t Þ; bv ¼ , ð22:55Þ
2 @p
where H b is the relevant Hamiltonian. The conceptual difficulty in current
definitions is of a general nature and extends to a wide range of physical
systems. Because the SC may be not conserved, there may exist a source term
G such that the continuity equation for the density r of a physical quantity can
be expressed in terms of the current J and G:
@r
¼ r  J þ G: ð22:56Þ
@t
The point is that the source term is not well defined, as already mentioned
in Subsection 22.2.1. It can be modified–an arbitrary part of it can be
incorporated in the divergence term–accordingly, changing the current
definition so that only the current/source couple has a physical meaning.12,18
This is analogous to a gauge transformation where different vector/scalar-
potential couples account for a unique physical reality. In spintronics, the
source term is referred to as the “ spin-transfer torque.” Then, the problem
of defining both current and source terms in a conservation law is an old
problem that was discussed in depth by R. P. Feynman in his lecture on
electromagnetic-field energy current32 and also by S. R. De Groot and
P. Mazure in the context of nonequilibrium thermodynamics, for
which, however, the second law of thermodynamics provides additional
conditions allowing the currents to be uniquely defined.33 Even though the
argument cannot be used as such in the case of (possibly nondissipative,
permanent) quantum currents, there are situations where the equilibrium or
steady state regimes impose boundary conditions that lead to unambiguous
identification.
Now we are going to find the SC corresponding to the effective
Hamiltonian given in Eq. (22.37). Let us consider a simple case where the
effective Hamiltonian is the third-order expansion
b ¼ H ð1Þ þ H ð2Þ þ H ð3Þ þ V ðrÞ,
H ð22:57Þ
564 Chapter 22

P P P
where H ð1Þ ¼ j aj bp j , H ð2Þ ¼ j, k bjk bp j bp k , and H ð3Þ ¼ j, k, l cjkl bp j bp k bp l . The
bp j , bp k , and bp l operators are the bp components; aj , bjk , and cjkl ( j, k, and l
refer to Cartesian coordinates) are ð2  2Þ Hermitian matrices operating in
the spin space, invariant under permutation of j, k, and l. Indeed, the
third-order expansion of the Hamiltonian covers almost all practical
situations in semiconductors, although higher-order terms could be
incorporated, if needed, without altering the physics discussed here. The
linear (first) terms describe the Rashba Hamiltonian, the quadratic
(second) terms correspond to the usual kinetic-energy contribution, and
the cubic (third) terms describe the DP Hamiltonian. Let us point out that
the Rashba and DP Hamiltonians are vastly different. Only with some
approximations or along special crystallographic directions does the DP
Hamiltonian reduce to a form that is a unitary equivalent to the Rashba
one.6 This arises in the situation considered in Ref. 34. Equation (22.57)
was introduced in Ref. 4 in order to analyze 1D tunneling through
evanescent states whose spin properties are determined by the DP field
(see also Section 22.5).
Hereafter, we detail a procedure to derive the SC expression relevant
to the Hamiltonian given in Eq. (22.57). We will show that the standard
expression of the SC tensor is correct up to the quadratic terms, which
includes Rashba/Klein Hamiltonians,10,35 but that, concerning the DP
Hamiltonian, a generalized expression has to be used instead.
First, let us consider the total probability current, associated with particle
conservation, J½c originating from the wavefunction c. It has been shown in
Ref. 4 that the j component of J½c is
X
Jj ½c ¼ ðcjaj cÞ þ ðcjbjk bp k cÞ þ cc
k
X
þ 3ðbp k cjcjkl bp l cÞ þbp k bp l ðcjcjkl cÞ , ð22:58Þ
k, l

where cc means complex conjugate. The structure of this current can be made
b 0 Þ jci, where the
more intuitive by writing, as in Eq. (22.52), J½cðr0 Þ ¼ hcj Jðr
j component of the ð2  2Þ Hermitian current operator Jðr b 0 Þ—acting on a
spinor—is defined as
Jbj ðr0 Þ ¼ dr0 aj þ ðdr0 bp þbp dr0 Þ  b j
þ ðdr0bp bp t þ bp dr0 bp t þ bp bp t dr0 Þ : c j : ð22:59Þ
In this expression, the notation “ : ” refers to the generalized double-dot
product defined by M1 : M2 ¼ Trr ðM1 M2 Þ, where M1 and M2 are arbitrary
matrices, and P Trr is the partial trace calculated over only the space states, i.e.,
bp bp t : c j ¼ kl pk pl cjlk . In the space states, the ð2  2Þ Hermitian spin matrix aj
has to be viewed as a scalar operator, b j as a vectorial operator of components
Spin–Orbit Engineering of Semiconductor Heterostructures 565

ðb j Þk ¼ bjk , and c j as a second-order symmetric tensorial operator of


components ½ c j kl ¼ cjkl . This current expression differs from the standard
one. Indeed, the j component of the standard velocity operator is by j ¼ aj þ
Trr ½2 b j bp t þ 3 c j ðbp bp t Þ. The use of by j to define bJ j ðr0 Þ would lead to an
equation similar to Eq. (22.59), where the last term is substituted with
ð3=2Þðdr0bp bp t þbp bp t dr0 Þ : c j .
The SC is the magnetic current originating from the imbalance between
the up- and down-spin contributions. Then, it is useful to separately define the
up- and down-spin currents and, for that purpose, let us refer to the
orthogonal projectors on the basis vectors of the spin space (Hermitian
operators commuting with bp ) as ps so that jcs Þ ¼ ps jcÞ. Then, it is
straightforward to calculate the probability currents associated with the up-
and down-spin components of the wavefunction
X
Ju, j ½cs  ¼ ðcj ps aj ps cÞ þ ðcj ps bjk ps bp k cÞ þ cc
k
X
þ 3ðbp k cjps cjkl ps bp l cÞ þ bp k bp l ðcjps cjkl ps cÞ , ð22:60Þ
k, l

where u is the spin-quantization direction. Any matrix M can be expanded as


M ¼ p" Mp" þ p# Mp# þ ðp" Mp# þ p# Mp" Þ. Therefore, Ju, j ½c"  þ Ju, j ½c# ,
in general, does not equal the total probability current Ju, j ½c because cross
terms involve ðp" Mp# þ p# Mp" Þ. If M is diagonal, the cross terms vanish.
This is the case when " and # are eigenstates of H b , and in this special case, we
find that Ju, j ½c ¼ Ju, j ½c"  þ Ju, j ½c# . However, when the quantization
direction does not correspond to eigenstates, the meaning of these currents
is not obvious. This arises if transport occurs in coupled up- and down-spin
channels, and in particular for a DP field in the case of evanescent states when
the internal field is not collinear to any real direction.
One can properly define the up- and down-spin currents as follows: the
principle is to write the conservation equation for the s spin density rs ¼ jcs j2
by projecting the Schrödinger equation on jcs Þ, step by step following
the calculation given in Ref. 4, Appendix B. For instance,Plet us focus on
the P linear terms in the Hamiltonian: then @t rs ¼ j @j ðcj ps aj cÞþ
ði=ħÞ j ðpj cj ½ps , aj  cÞ, with @j ¼ @=@xj , xj ¼ x, y, z. In general, neither the
first term nor the second are real, although their sum is. Adding their complex
conjugates allows one to construct two real terms: the first one is the
divergence of a real quantity that we define as the probability current; we take
the second term as the source (see Section 22.2). This choice is consistent
because, if the spin is an eigenstate of the first-order terms in the Hamiltonian
(i.e., the spin lies parallel to the corresponding internal field, aj is diagonal and
commutes with ps ), no spin precession occurs so the source term must vanish.
Then, the source is naturally related to ½aj , ps . Moreover, we will see that this
566 Chapter 22

construction leads to the widely used expressions of the probability and spin
currents up to the first order. The same goes for the quadratic and cubic terms.
Eventually, we obtain
X
2Jus , j ½c ¼ ðcjfps , aj gcÞ þ ½ðcjfps , bjk g bp k cÞ þ cc
k
X
þ 3ð bp k cjfps , cjkl g bp l cÞ þ bp k bp l ðcjfps , cjkl gcÞ , ð22:61Þ
k, l

1  h i 
GuðnÞ
,s ½c ¼ Im c †
p s , H ðnÞ
c : ð22:62Þ
ħ
ðnÞ
Gu, s ½c, with n ¼ 1 or 3, refers to the source contribution originating from
the linear and cubic terms in the Hamiltonian. To comply with Kramers
symmetry, the even-order terms cannot induce any spin splitting: bjk are
ð2Þ ðnÞ ðnÞ
diagonal matrices, and Gu, s ½c ¼ 0. Now, we have Gu, " ½c þ Gu, # ½c ¼ 0, and
Ju ½c ¼ J"u ½c þ J#u ½c. The SC dJu, j ½c is the difference between the up- and
down-spin currents, dJu, j ½c ¼ Ju", j ½c Ju#, j ½c, and the corresponding source
P ðnÞ ðnÞ
terms are dGu ½c ¼ n ðGu, " ½c Gu, # ½cÞ. We obtain
X
2dJu, j ½c ¼ ðcjfs u , aj gcÞ þ ½ðcjfs u , bjk gbp k cÞ þ cc
k
X
þ 3ðbp k cjfs u , cjkl gbp l cÞ þ bp k bp l ðcjfs u , cjkl gcÞ, ð22:63Þ
k, l

and
1  h i 
dGu ½c ¼ Im c† s u , H b c , ð22:64Þ
ħ
where we have used the relation s u ¼ p" p# . This constitutes a natural
extension of the standard definition. Starting from the expression of the j
component of the total probability current J½c [Eq. (22.58)], the SC is
0
straightforwardly obtained by the substitutions aj ! aj ¼ ð1=2Þ fsu , aj g,
0 0
bjk ! bjk ¼ ð1=2Þ fsu , bjk g, and cjkl ! cjkl ¼ ð1=2Þ fsu , cjkl g. These operators
are still Hermitian matrices, invariant under permutation of the subscripts.
Thus, the same calculation allows one to write the SC operator in a form


From the Ehrenfest theorem, the average value of the source term is ð1=iħÞhcj½su , Hb jci ¼
dhsu i=dt. In a previous paper [T. L. Hoai Nguyen, H. J. Drouhin, J. E. Wegrowe, and G.
Fishman, “Spin orbit enginering of semiconductor heterostructures: A spin sensitive quantum
phase shifter,” Appl. Phys. Lett. 95, 082108 (2009)], we have shown that a stack of GaAs tunnel
barriers acts as a spin rotator, so that angular momentum should be transferred from the free
electron beam to the crystal upon tunneling [also see: P. M. Haney and M. D. Stiles, “Current
induced torques in the presence of spin orbit coupling,” Phys. Rev. Lett. 105, 126602 (2010)].
Spin–Orbit Engineering of Semiconductor Heterostructures 567

similar to Eq. (22.59). In the case of Rashba splitting, it can easily be checked
that these SCs and source-term definitions reduce to the standard formulas.12
The situation is drastically different in the presence of a DP field.
We can extend this result for the more general case of the nth -order
Hamiltonian: the j th Cartesian component of the spin-current operator d dJu is
th
obtained from the j component of the probability-current operator after the
substitution
1
cj , lð1Þ;...;lðnÞ ! bs u , cj, lð1Þ;...;lðnÞ g, ð22:65Þ
2
and the source terms are
1  h i 
G ¼ Im c† bs u , H b c : ð22:66Þ
ħ
This result is a consequence of Eq. (22.14) which shows that, for a general
operator Ab that verifies the relation ½ A, b bp  ¼ 0, the current JbA can be deduced
from Eq. (22.52) after the substitution
1 b
cj, lð1Þ;...;lðnÞ ! f A, cj, lð1Þ;...;lðnÞ g: ð22:67Þ
2

22.5 BenDaniel–Duke-like Formulation and Boundary


Conditions
We stress that the central question when defining the current operators and
related quantities is the proper definition of the system and of its boundaries,
which may contain implicit assumptions. Dealing with heterojunctions,
where each bulk medium is described by its relevant Hamiltonian, requires
defining proper matching conditions at the boundaries. In this sense, the
BDD Hamiltonian22 is the simplest smart approach that allows one to solve
the Schrödinger equation over the whole space while it ensures the
conservation of the probability current at the interface, which is mandatory
from a physical point of view. The principle is the following. Let us consider
a 1D problem and two different media for x < 0 and x > 0. Each medium is
characterized by its own Hamiltonian. The question is to find a solution to
the Schrödinger equation, made of eigenfunctions of the relevant band of the
two bulk materials, that ensures the continuity of the probability current at
the origin. In this sense, the problem is analogous to a scattering problem,
where the wavefunctions are determined only at some distance of the
scattering potential. Proper matching conditions relevant to the extension of
the bulk envelope functions at the origin will allow one to determine
the envelope function over the whole space. For that, BenDaniel and
Duke propose writing a Hamiltonian over the whole space as
b =@ bp x Þ þ V ðxÞ, where mðxÞ is the
bp x ½1=2mðxÞ bp x þ V ðxÞ ¼ ð1=2Þ bp x ð@ H
568 Chapter 22

effective mass in each medium. The integration of this (Hermitian) BDD


Hamiltonian around the boundary automatically ensures the continuity of
the probability current of Eq. (22.2), provided that cðxÞ and the velocity
b bp x Þc are continuous. A similar situation occurs when the
by x c ¼ ð@ H=@
Hermitian velocity operator bv and the probability current operator Jb
coincide. Then, although H b may not always be written in the form H b¼
b
ð1=2Þ bp x ð@ H=@ bp x Þ þ V ðxÞ [see Eq. (22.50)], the continuity of the velocityby x c
and the continuity of the wavefunction c are sufficient to ensure the
continuity of the probability current. Thus, these two boundary conditions
constitute an empirical extension of the BDD procedure. This arises for
Rashba-like Hamiltonians (here, a and b are two Hermitian matrices that
depend on the medium):23,24
beff ¼ a bp þ b bp 2 ,
H ð22:68Þ

by x ¼ a þ 2b bp , ð22:69Þ

J½c ¼ Re ðc† bv x cÞ: ð22:70Þ


Observe that the Hamiltonian in Eq. (22.68) is not Hermitian. It can be
symmetrized by writing25

Hbeff ¼ 1 ða bp þbp aÞ þbp bbp : ð22:71Þ


2
Then, integrating Eq. (22.71) over the boundary indeed yields the
condition of continuity of the velocity, i.e., lim«!0 ½ by x c«« ¼ 0.
Now, we have seen in Section 22.3 that, when introducing terms of order
n ù 3 in the Hamiltonian, the Hermitian velocity operator and the current
operator differ, the latter including additional terms. In such a case, the
preceding conditions cannot yield a solution complying with the conservation
of the current. The problem is not simple because the probability current is not
a linear function of c. Hereafter, we look for the envelope function, a solution
to the Schrödinger equation, that is made from a given subset of band
eigenstates (e.g., the conduction band) of the crystal, inside each material. Near
the interface, the crystal periodicity is broken so that the true Hamiltonian and
the true eigenfunctions become involved. The idea is then to define proper
matching conditions applying to the prolongation of the envelope function at
the origin. For that purpose, we extend the above boundary conditions by
introducing continuity conditions on a “generalized velocity”:
X
aðnÞ vðnÞ c continuous; ð22:72Þ
n
ðnÞ
where the a are suitable parameters to be determined.
It is essential to note that the condition
J½c continuous ð22:73Þ
Spin–Orbit Engineering of Semiconductor Heterostructures 569

must always be satisfied under stationary regime. Because this condition is not
linear versus c, we will use it to first order only. Obviously, we must relax the
continuity condition on the envelope function itself at the interface that we do
not use. Let us again emphasize that no information is obtained on the true
wavefunction near the origin. Equation (22.72) does not ensure either
the continuity of the envelope function or the existence of derivatives at
the interface.
To give some insight into Eq. (22.72), consider two regions ð1Þ and ð2Þ
made of materials with the same band parameters (only the potential V ðrÞ may
take a different constant value in each region). We consider a volume V, limited
by a surface S, that surrounds an interface portion. Similarly to the BDD
technique, we start from Eq. (22.50) and we integrate the Schrödinger equation
over V. Using Ostrogradski’s theorem, when V tends to zero, we obtain
Z !
X1
ðnÞ
lim ds  v c ¼ 0, ð22:74Þ
V!0 S
n
n
where ds is normal to the surface S.
For a 1D case with the interface at the origin, Eq. (22.74) becomes
" #þ«
X1
ðnÞ
lim v c ¼ 0: ð22:75Þ
«!0
n
n
«
ðnÞ
When choosing a set of a parameters, we will take care that these
parameters are compatible with Eq. (22.75) when the two regions are made
from materials with the same band parameters.
We will check in Section 22.6.4 that this procedure is well suited to deal
with a tunneling problem involving a cubic DP term in the Hamiltonian.
Remarkably, the boundary conditions that we need to solve the problem
drastically change when moving to the case of a DP Hamiltonian with cubic
terms. The crucial point, which we illustrate in this model case, is that we
cannot make any hypothesis about the continuity of the wavefunction
because, if we need to ensure probability-current conservation at an interface,
we must accept an envelope function c that is no longer continuous. To give
insight into the expression of the current operator and into the conservation of
the probability current, let us again come back to an interface between two
semi-infinite 1D media ð1Þ and ð2Þ. In each bulk crystal, the relevant
Hamiltonian is
X ðnÞ
Hbr ¼ b r þVr ,
H ð22:76Þ
n

where
b ðnÞ
H ðnÞ n
r ¼ gr bp , ð22:77Þ
570 Chapter 22

with r ¼ 1 or r ¼ 2, depending on whether x < 0 or x > 0. H b r admits the


eigenfunctions wr associated with the fixed energy E that verify that
b r wr ¼ Ewr :
H ð22:78Þ
We consider the Hamiltonian extended over the whole space as
Hb ¼ Qð xÞ H b 2,
b 1 þ QðxÞ H ð22:79Þ
where QðxÞ is the Heaviside function.
However, strictly speaking, near the heterojunction the spatial periodicity
is broken so that over a few Wigner–Seitz cells, the true Hamiltonian differs
by Hb (and possibly includes interface terms), and the electron states are no
longer pure Bloch states. We consider two coordinates, w1 and w2 , such that
in the bulk regions  1, w1  and ½w2 , þ1½ the electronic structure remains
unaffected. Very close to the heterojunction, the eigenfunctions are not
assumed to be explicitly known. We consider a wavefunction c that is an
eigenstate of the Hamiltonian over the whole space at energy E. We expect
that, over the domain  1, w1  [ ½w2 , þ1½, c coincides with C ¼
Qð x w1 Þw1 þ Qðx w2 Þw2 (we assume that the matching is sufficiently
regular). Thus, we have
D E h i
Cj Hbjc ¼ E hw1 jw1 ið1Þ þ hw2 jw2 ið2Þ , ð22:80Þ

where hjiðrÞ means summation over the bulk part of region ðrÞ. Because the
total probability in the domain  1, w1  [ ½w2 , þ1½ has to be conserved,
from the Ehrenfest theorem [Eq. (22.11)] with Ab ¼ Qð x w1 Þ þ Qðx w2 Þ]
we must have
hcj½Qð x w1 Þ þ Qðx w2 Þ, Hjci ¼ hcj HbjCi hCj Hbjci ¼ 0, ð22:81Þ
i.e.,
hCj Hbjci ¼ hcj HbjCi ¼ EhcjCi: ð22:82Þ
Observe that
b ðnÞ
H 1 ½Qð x
ðnÞ
w1 Þw1  ¼ g1 bp n Qð x w1 Þw1
ðnÞ
¼ Qð x w1 Þg1 bp n w1
ðnÞ
þ iħg1 ½dðx þ w1 Þbp n 1
þ bp dðx þ w1 Þbp n 2

þ . . . þ bp n 1 dðx þ w1 Þw1
ðnÞ ðnÞ
¼ Qð x w1 Þg1 bp n w1 þ iħ Jb1 ð w1 Þw1 , ð22:83Þ
Spin–Orbit Engineering of Semiconductor Heterostructures 571

so that
b 1 ½Qð x
H w1 Þw1  ¼ EQð x w1 Þw1 þ iħ Jb1 ð w1 Þw1 , ð22:84Þ
and, similarly,
b 2 ½Qðx
H w2 Þw2  ¼ EQðx w2 Þw2 iħ Jb2 ðw2 Þw2 : ð22:85Þ
Eventually,
HbC ¼ EC þ iħ½ Jb1 ð w1 Þw1 Jb2 ðw2 Þw2 : ð22:86Þ
Then, Eq. (22.82) is satisfied, provided that
Jb2 ½w2 ðw2 Þ ¼ Jb1 ½w1 ð w1 Þ: ð22:87Þ
The important point is not the conservation relation, which might appear
as physically obvious, but that, in Eqs. (22.83) and (22.85), the symmetrized
current operator is automatically generated in the form derived in Eq. (22.52),
providing physical insight into this mathematical expression. Because we only
deal with wavefunctions at some distance from the heterojunction, the
continuity of the true wavefunction at x ¼ 0 does not imply the continuity of
the envelope function C, which may be discontinuous. This is an illustration
of Harrison’s ideas on tunneling.27 Equations (22.75) and (22.87) generate a
set of boundary conditions relevant to the tunneling problem.

22.6 Spin-Split Evanescent States in III-V Semiconductors


22.6.1 Evanescent states
Dealing with tunneling phenomena requires an accurate knowledge of the
energy structure in the forbidden bandgap, i.e., of the complex band structure
of the barrier material. Let us consider a classic problem in elementary
quantum mechanics: the tunneling of free electrons through a square potential
barrier (see Fig. 22.1). e is a unit vector. The direction of the axes is defined by
ex , ey , ez with respect to cubic crystal axes; ez is normal to the barrier. While
kI ¼ kIII ¼ j þ q relates to the plane (incident and transmitted) waves in the
conduction bands, kII ¼ j þ Q þ iK (j, q, Q, and K are real vectors)
corresponds to the tunneling states (evanescent states) in the bandgap. We
also introduce the following notations: r ¼ xex þ yey , j ¼ x ex þ y ey ,
q ¼ qez , Q ¼ Qez , K ¼ Kez , kI  r ¼ kIII  r ¼ x x þ y y þ qz, and
kII  r ¼ x x þ y y þ ðQ þ iKÞz. Without spin, the wavefunction of the
incident plane wave and of the wave in the barrier should be written as
eiðj rþqzÞ and ei½j rþðQþiKÞz , respectively. A simple understanding of evanescent
states can be obtained through a 1D two-band model without spin. There, the
conduction band is described at the zone center by a G1 ðjSiÞ state, which
remains invariant under all symmetry operations of the crystal symmetry
group and the valence band by a G5 ðjV iÞ state. The energy origin is set at the
572 Chapter 22

V( z)

I II III z

ξ ξ ξ

q Q iK q

kI = ξ + q kII = ξ + Q + iK kIII = ξ + q ez

Figure 22.1 Sketch of the tunnel geometry with definition of notations. The spin-orbit-split
barrier material of thickness a (medium II) is located between two free-electron-like materials
(media I and III). The tunnel axis, normal to the barrier, is the z axis. In the free-electron-like
materials, the real electron wave vector in the z direction is referred to as q. In the barrier
material, the evanescent wave vector along the z axis is referred to as Q þ iK, where Q and
K are real quantities. The transverse wave vector component j in the barrier plane is
conserved in the tunnel process. Then, the overall wave vectors in the three media are
kI ¼ kIII ¼ j þ q and kII ¼ j þ Q þ iK. (Reprinted from Ref. 4.)

bottom of the conduction band, and the top of the valence band lies at energy EG .
In this basis, the k  p Hamiltonian is readily written as
 
0 Pk
b
H¼ : ð22:88Þ
Pk EG
In this expression, P ¼ ðħ=mÞhSjbp jV i (the phase of jV i has been chosen
so that P is real). The corresponding secular equation is
l 2 þ EG l ðPkÞ2 ¼ 0, ð22:89Þ
and the l eigenvalues are related to the energy through
ħ2 k 2
E ¼lþ : ð22:90Þ
2m
The evanescent states located in the bandgap correspond to k ¼ iK, where
K is real. Then the secular equation only admits a real solution if jKj
EG =2P
and
 q
1
l¼ EG  EG 4P K :
2 2 2 ð22:91Þ
2
For GaAs, the parameters are P ¼ 9:3 eV Å and EG ¼ 1.52 eV so that we
have jKj
0:08 Å 1 . Because the width of the Brillouin zone is about 1 Å 1 ,
the evanescent states are confined to a K extent on the order of 7% around the
Spin–Orbit Engineering of Semiconductor Heterostructures 573

2.5

2.0

1.5
Energy (eV)
1.0

0.5

0.0

0.5 iK k

0.05 0.00 0.05 0.10 0.15


Wave vector (unit of 2π/a0 )
Figure 22.2 The two-band model: coupling between the s-type conduction band and the
p-type valence states through the k  p interaction. The energy origin is at the top of the
valence band; a0 is the cubic lattice parameter. (Reprinted from Ref. 38.)

zone center. The eigenvalues are plotted in Fig. 22.2. In a more realistic
situation, the energy dispersion curves result from the diagonalization of a
ðn  nÞ k  p Hamiltonian H b . Note that k is now a complex vector such that
the H b matrix is no longer Hermitian and the evanescent states are associated
only with real eigenvalues E, i.e., to the real-energy lines. In the Pidgeon–
Brown model,36 derived from the Kane model,37 the three upper valence
bands and the first conduction band are treated as a quasi-degenerate subset
where the ð8  8Þ k  p Hamiltonian, including the SOI, is to be diagonalized.
Then, the valence-band structure includes the heavy-hole, the light-hole, and
the spin-orbit-split bands. In this framework, the bands remain spin degenerate,
the lack of inversion center not being taken into account. Both the lack of
inversion center and the SOI can be taken into account with n ¼ 14 or
n ¼ 30:38,39 The spin degeneracy is now lifted: the spin splitting, with the so-
called “k 3 dependence” given in Refs. 7,8,37, and 40–42, arises through third-
and fourth-order perturbation from remote bands.43 To find the energy
dispersion curves, we have to solve the secular equation det MðkÞ ¼
b E Ib  ¼ 0, where Ib is the identity. Because the Hamiltonian is
det ½ H
Hermitian when k is a real vector, we have the relation MðkÞt ¼ Mðk Þ.
Thus, det Mðk Þ ¼ ½det MðkÞt  ¼ ½det MðkÞ . It follows that En ðkÞ ¼ En0 ðk Þ,
where the band indices n and n0 may or may not refer to the same band.44,45
Moreover, Kramers conjugates correspond to the same energy so that the state
associated with ðk, jupiÞ and the state associated with ð k, jdowniÞ are
degenerate.28,46 Thus, in GaAs, the spin degeneracy is lifted, and we expect
574 Chapter 22

that the four states ½ðk, jsiÞ, ðk , js0 iÞ, ð k , j siÞ, andð k, j s0 iÞ will be
degenerate, jsi and js0 i being up-spin states in directions that, generally, are not
parallel. This results in a particular topology of the evanescent states.47 Hereafter,
we will see a concrete example where jsi and js0 i are quantized in the same
direction.

22.6.2 The [110] direction


In the small wave-vector approximation, the analytical expression of the
Hamiltonian for the conduction band can be derived by taking the projection
of the k  p Hamiltonian on the G6C subspace by third- and fourth-order
pertubations. Taking the origin of the energy at the bottom of the conduction
band, the relevant Hamiltonian is written as
b¼H
H bDP ,
b0 þ H ð22:92Þ
2
b0 ¼ bp ¼ ħ r2 ¼
2
H gc r2 , ð22:93Þ
2m 2m
bDP ¼ g x bs ,
H ð22:94Þ
where m is the effective mass. H bDP is the DP Hamiltonian that
3
describes the k spin-splitting; 8
x is the DP internal magnetic field:
x ¼ x ðkÞ ¼ ½x , y , z  ¼ ½kx ðky2 kz2 Þ, ky ðkz2 kx2 Þ, kz ðkx2 ky2 Þ. The con-
stant g describes the strength of the DP field. When k is real, the energy
levels are pure spin states, quantized along p x , in the plane perpendicular
to k. Along the ½110 direction, k ¼ ð1= 2Þk½110, and the DP Hamiltonian
is written as

bDP ¼ gc k 2  1 gk3 ¼ gc bp 2  g bp 3 ,
H ð22:95Þ
2 ħ2 2ħ3
where the þ (resp. ) sign applies to the up (down) spin, quantized along
the DP field. In the barrier, a simple idea for finding the evanescent states
would be to take kII ¼  piK2 ½110, which leads to «ðkÞ ¼ gc K 2  i g2 K 3 .
This quantity is not real and cannot be an energy EðkÞ. Moreover,
Richard et al.,38 have shown that no wave vector of the form
! !
iK½1; 1; 0 þ  , where  is a real vector orthogonal to [110], provides
acceptable evanescent states; we are therefore led to consider a wave
vector such that kII ¼ p12 ðQ  iKÞ½110. The calculation for the tunneling
states is given in Appendix B. The resulting band is plotted in Fig. 22.3,
over a very broad energy domain to reveal its general structure. We are
only interested in evanescent states located in the forbidden bandgap, i.e.,
states with a small negative energy. For our purpose, a key point is that, at
a given energy, we have exactly the four possible states, the wave vectors
Spin–Orbit Engineering of Semiconductor Heterostructures 575

Figure 22.3 Mathematical plot of the real-energy lines for k along [110] as a function of the
real part of the wave vector Q in the barrier. The calculation is performed for the ratio
g=gc ¼ 0:438 Å. We are only concerned with negative energies, which refer to evanescent
states. More precisely, the physical states are located within a very small energy domain
below the origin. The domain Q > 0 refers to up-spin states, whereas the domain Q < 0
refers to down-spin states. In each case, the imaginary component of the wave vector can
take the values K. Thus, at a given energy, we have exactly the four possible states
ðQ  iKÞ " and ð Q  iKÞ #. The down-spin states are Kramers conjugates of the up-spin
states. (Reprinted from Ref. 4.)

of which are ðQ  iKÞ with spin " and ð Q  iKÞ with spin #, the latter
being obtained from the former through Kb. In short,
E" ðkÞ ¼ E" ðk Þ ¼ E# ð kÞ ¼ E# ð k Þ: ð22:96Þ
Equation (22.96) provides a concrete example of the ideas developed by
Jones,45 who showed that EðkÞ ¼ Eðk Þ. The corresponding four plane waves
are eiðQiKÞ ", eið QiKÞ # or e Kz eiQz ", e Kz e iQz #.
If we consider the state ½ðiK þ QÞz, ", the total probability current
(charge current) equals the up-spin current, which is also the SC. This current
has to be conserved as neither charges nor particles can be created inside the
material. Let us check this basic conservation rule with the standard
expressions. The wavefunction is now
cþ ðzÞ ¼ eiðQþiKÞz " , ð22:97Þ
and the DP field
1
 þ ¼ ðQ þ iKÞ3 : ð22:98Þ
2
576 Chapter 22

The probability current should be equal to the standard spin current [after
Eq. (22.55)], which yields
g
Ju, z ½c ¼ dJu, z ½c ¼ 4 c Q jc" j2 : ð22:99Þ
ħ
Then, we obtain a contradictory result: Ju, z ½c is nonzero and not even
divergence free. This would imply the existence of a nonzero source term that
is not physically acceptable and must be excluded. Even a constant current
would be nonphysical, as the same current would be associated with a
vanishingly small wavefunction for z ! þ1 and with a diverging wavefunc-
tion for z ! 1. In that case, the total probability current (and so the SC)
must be zero.
Indeed, the correct expression for the current yields a zero current for an
evanescent state along a real-energy line. For the DP Hamiltonian, the current
[Eq. (22.60)] becomes


2 !
g
@
@ 2
J ½c  ¼ Jf ½c   3
c
jc j2 : ð22:100Þ

@z 
@z2 
On a real-energy line [see Eq. (22.5)] concerning an evanescent wave
c ¼ eðKiQÞz , the continuity equation is satisfied by
@jcþ j2 2ħ g
¼ r  Jþ ½cþ  ¼ KQe 2Kz
þ Im ðQ þ iKÞ3 e 2Kz
@t m ħ
 
2K 1
¼ 2gc Q þ gð3Q2 K 2 Þ e 2Kz ¼ 0, ð22:101Þ
ħ 2
and it is also easy to check that J ½eðKiQÞz  ¼ 0 on a real-energy line.
It has to be emphasized that an incorrect definition of the spin currents
implies an incorrect definition of the torques. In this example, if the free-
electron probability current were used instead of the correct expression,
spurious spin torques would be found. These spurious torques could be
“intuitively” related to electron spin precession around the complex DP
field.

22.6.3 Constant-g case: solution to the tunneling problem


We go back to the Schrödinger equation to determine the solution to the
tunneling problem. Here, we assume that g ispconstant over the three
regions. Along the ½110 direction, with k ¼ ð1= 2Þk½110, by substituting
@
k with ir, i.e., k with i @z , the DP Hamiltonian [Eq. (22.95)] is
written as
@2 i @3
HDP ¼ gc  g : ð22:102Þ
@z2 2 @z3
Spin–Orbit Engineering of Semiconductor Heterostructures 577

The corresponding c" , c# wavefunctions are solutions to the uncoupled


Schrödinger equations:
 
@2 1 @3
gc 2 þ ig 3 c" ¼ ½E V ðzÞc" ,
@z 2 @z
  ð22:103Þ
@ 2
1 @3
gc 2 ig c ¼ ½E V ðzÞc# ,
@z 2 @z3 #

where V ðzÞ ¼ V when 0


z
a, and V ðzÞ ¼ 0 outside. Because the DP
Hamiltonian was obtained using perturbation theory, we will look for a
solution to the effective Schrödinger equation to the first order in g only.
Consider the up-spin channel, for example; we look for the solution of the
form:
ð1Þ
c" ¼ cð0Þ þ c" , ð22:104Þ
ð0Þ
where c is the solution to the standard tunneling problem (obtained for
g ¼ 0), which can be found in quantum mechanics textbooks (see also
Appendix 22.C):
8 ð0Þ
>
< cI ðzÞ ¼ a1 e þ b1 e ðz < 0Þ,
iqz iqz
>
cð0Þ ðzÞ ¼ cð0Þ
II ðzÞ ¼ a2 e
Kz
þ b2 eKz ð0 < z < aÞ, ð22:105Þ
>
>
: ð0Þ
cIII ðzÞ ¼ a3 eiqz ða < zÞ,

where cð0Þ is a C 1 function, with a discontinuous second derivative. Taking


z0 ¼ 0 or a and referring to the limit at z0 inside the barrier and inside the well,
respectively, as zB0 and zW
0 , we obtain
 2 ð0Þ
@ c
¼ q2 cð0Þ ðzW0 Þ, ð22:106Þ
@z2 zW
0

outside the barrier, and


 2 ð0Þ
@ c
¼ K 2 cð0Þ ðzB0 Þ, ð22:107Þ
@z2 zB
0
ð1Þ
inside the barrier. c" is a first-order term in g. Now the Schrödinger equation
to the first order is written as

@ 2 c" 1 @ 3 cð0Þ
gc þ ig ¼ ½E V ðzÞc" : ð22:108Þ
@z2 2 @z3

Using the properties of cð0Þ [Eqs. (22.106) and (22.107)], integrating this
equation from one side of the interface to the other and taking the
578 Chapter 22

approximation to the first order in q=K provides us the jump of the derivative
at the interfaces for the up-spin wavefunction:
 B
@c" z0 1 g 1 g
¼ i ðK 2 þ q2 Þcð0Þ ðz0 Þ i K 2 cð0Þ ðz0 Þ ¼ 2iQ" cð0Þ ðz0 Þ:
@z zW 2 gc 2 gc
0

ð22:109Þ
For the down-spin wave, Q# ¼ Q" , and we have
 B
@c# z0
¼ 2iQ# cð0Þ ðz0 Þ: ð22:110Þ
@z zW
0

It is worth remarking that this very discontinuity condition was found in


quite a different situation involving Rashba-split quantum wells.48
Now let us assume that Q" ¼ Q. The wavefunction constructed from the
eigenstates in the three regions is
8
< cI ðzÞ ¼ A1 e þ B1 ðq, K, QÞe
> ðz < 0Þ
iqz iqz

cðzÞ ¼ cII ðzÞ ¼ A2 ðq, K, QÞe e þ B2 ðq, K, QÞe e


Kz iQz Kz iQz
ð0 < z < aÞ ,
>
:
cIII ðzÞ ¼ A3 ðq, K, QÞeiqz ða < zÞ
ð22:111Þ
with the coefficients B1 ðq, K, QÞ, A2 ðq, K, QÞ, B2 ðq, K, QÞ, and A3 ðq, K, QÞ to
be determined.
To the first order in Q, the solution can be expanded as
cI ðzÞ ¼ ða1 eiqz þ b1 e iqz
Þ þ b1 Qe iqz ,
cII ðzÞ ¼ ða2 e Kz þ b2 eKz ÞeiQz þ Qða2 e Kz
þ b2 eKz ÞeiQz ,
cIII ðzÞ ¼ a3 eiqz eiQa þ a0 3 Qeiqz ,
ð22:112Þ
where a1 , b1 , a2 , b2 , and a3 are known, and
 
dB1 ðq, K, QÞ
b1 ¼ , ð22:113Þ
dQ Q 0

   
dA2 ðq, K, QÞ dB2 ðq, K, QÞ
a2 ¼ ; b2 ¼ , ð22:114Þ
dQ Q 0 dQ Q 0

 
dA3 ðq, K, QÞ
a3 ¼ ’ iaa3 þ a03 : ð22:115Þ
dQ Q 0
Spin–Orbit Engineering of Semiconductor Heterostructures 579

Using the continuity of the wavefunction and the discontinuity of its


derivative, these coefficients can be easily calculated. The solutions are
i
b1 ¼ a3 eiqa sinh aK,
K
eKa a2
a2 ¼ ia3 eiqa ¼ ,
2K q þ iK
e Ka b2
b2 ¼ ia3 eiqa ¼ ,
2K q iK
a03 ¼ 0: ð22:116Þ

Because A3 ¼ a3 eiQa , we see that there is a pure dephasing between the


up- (Q" ¼ Q) and the down- (Q# ¼ Q) spin channels. As a consequence, a
stack of resonant tunnel barriers possibly acts as a spin phase shifter. Note
that the term in the reflected wavefunction arising from Qb1 is a second-
order contribution that has to be neglected. In region I, if the incident
wave has the wave vector q, the reflected wave should have the wave
vector q0 , where q ¼ q0 dq, and q0 ¼ q0 þ dq. However, it can be
verified that dq is a second-order term (see Ref. 4) that can be neglected so
that media II and III have no sizable spin splitting. This indicates that
the solution we obtain in the case of a constant g also constitutes a
plausible physical solution when g is a step function, with g ¼ 0 outside
the barrier.
An important result is that this solution ensures the conservation of the
probability current along the tunnel process:
J½c ¼ J f ½cð0Þ , ð22:117Þ
where J f ½cð0Þ  is the free-electron current. This means that turning on the SOI
does not alter the current but introduces a pure dephasing between the up- and
down-spin channels.

22.6.4 Matching conditions


In Section 22.6.3, we solved the case of electron tunneling under normal
incidence through a GaAs ½110-oriented barrier, in the special case of
constant g. We used the standard boundary condition that the wavefunc-
tion has to be continuous at the interfaces. Because g is a constant, an
integration around the interfaces leads to the discontinuity of the
derivative of the wavefunction. However, in the more general case where
g ¼ gðzÞ, especially in the case where g is a step function, the matching
condition as well as the solution to the tunneling problem remains a tricky
matter.
Hereafter, we apply the tools and boundary conditions presented in
Section 22.5 to solve the tunneling problem in a more simple and general
580 Chapter 22

manner. We confirm and generalize the results derived above. In particular,


we are able to solve the problem of a heterojunction between a free-electron
media and a semiconductor without inversion center, where the DP field is a
step function. In the ½110 direction, the DP Hamiltonian is
HbDP ¼ gc bp 2  g bp 3 , ð22:118Þ
ħ2 2ħ3
where þ ð Þ refers to the up (down)-spin channel quantized along the DP
field direction.
In this case, the expression of the velocity and the current operators have
to be consistently reformulated as follows:9
gc 3g
bv ðr0 Þ ¼ ðbp dr0 þ dr0 bp Þ  3 ðbp 2 dr0 þ dr0 bp 2 Þ, ð22:119Þ
ħ 2

g g g
Jbðr0 Þ ¼ 2c ðbp dr0 þ dr0 bp Þ  3 ðbp 2 dr0 þ dr0 bp 2 Þ  3 ðbp dr0 bp Þ: ð22:120Þ
ħ 2ħ 2ħ
In order to define the solutions relevant to the tunnel heterostructures,
where SOI plays a fundamental role, we consider the current operator in
Eq. (22.52) and we apply the boundary conditions given by Eqs. (22.75) and
(22.87) of Section 22.5 at the interface. We have
 þ  
gc g 2 þ
bp c ¼ bp c , ð22:121Þ
ħ2 2ħ3
 þ
þ 1 1 2 g
½J ¼ 2 Re 2ðcjgc bp cÞ  ðcjg bp cÞ  ðg bp cjgc bp cÞ ¼ 0: ð22:122Þ
ħ ħ 2ħg2c c
The difficulty is that the expression of J is nonlinear in c, so adding
Eq. (22.123) to the linear relation Eq. (22.121) does not provide a tractable
way to build a unique solution.
We will again look for a first-order solution in g. For that, let us introduce
a zeroth-order wavefunction c0 , which is a BDD solution to the tunneling
problem when the SOI is turned off:
ħ2
bp 2 c0 ¼ ðE V Þc0 , ð22:123Þ
gc
where E is the energy, and V is the potential related to the heterostructure. We
can further simplify Eq. (22.121):
 þ
þ ħ g
½gc bp c ðE V Þ c0 : ð22:124Þ
2 gc
Equation (22.121) defines the derivative jump of the envelope function at
the interface. The same procedure can be applied when considering the
Spin–Orbit Engineering of Semiconductor Heterostructures 581

continuity of the current at the interface [Eq. (22.122)], and we find the
equation
    
† þ 1 g þ
Re ðgc bp c0 Þ ½c  ðgc bp c0 Þ ¼ 0: ð22:125Þ
4ħ g2c
Equation (22.125) is obviously satisfied when c verifies that
  
† þ 1 g þ
ðgc bp c0 Þ ½c  ðgc bp c0 Þ ¼ 0, ð22:126Þ
4ħ g2c
and a fortiori when c verifies:
 
þ 1 g þ
½c ¼ ðgc bp c0 Þ: ð22:127Þ
4ħ g2c
When g=g2c is a constant, c is continuous, as observed in the case of constant
mass and constant g.
Observe that the boundary condition given by Eq. (22.127) is derived
similarly to the case of free electrons, when only assuming the continuity of
the velocity and the conservation of the probability current at the interface. In
that very case, the boundary conditions are the continuity of the velocity vð2Þ c
at the interface, i.e., gc bp c continuous, and the conservation of the free-
electron probability current at the interface, i.e., Reðc† gc bp cÞ continuous, so
that we obtain
½gc bp cþ ¼ 0, ð22:128Þ

Refðgc bp cÞ† ½cþ g ¼ 0: ð22:129Þ


Equation (22.129) is always satisfied when taking ½cþ ¼ 0, which
provides the well known condition of the continuity of the wavefunction. It
is hardly conceivable to have more complicated conditions compatible with
Eq. (22.129), i.e., which do not verify ½cþ ¼ 0, and which nevertheless
comply with the principle of superposition for any system.
Therefore, we consider Eq. (22.127) as a fundamental relation that defines
the jump of the envelope wavefunction at the interface. Equations (22.124)
and (22.127) can then be used as boundary conditions to solve the Schrödinger
equation over the whole space in a direct and simple manner.
To explicitly solve this problem in a smart way, we first note that the
wavefunction can always be written in the form

b
c ¼ ae iz
c0 þ ðg bp c Þ , ð22:130Þ
ħgc k 2 c 0
where c0 is a BDD solution when the SOI is turned off (this form is general,
provided  is real, as will be checked below). At this point we know that c0
and gc bp c0 are continuous. We have gc k 2 ¼ E V , and, to first order, it is
582 Chapter 22

easy to check that turning on the SOI introduces an additional real


component  to the wave vector k [real or pure imaginary depending on
the region, a barrier where  ¼ Q, with the notation of Eq. (22.111) or a well
where  ¼ dq in the notation of Ref. 4]:
1g 2 1gE V
’ k ¼ , ð22:131Þ
4 gc 4 g c gc
where the  refers to the up- and down-spin channels. In this form, we
immediately see that the electrons in the up- and down-spin channels undergo
opposite phase shifts. We now need to determine a and b complying with the
matching conditions. We slightly extend the above analysis to a wavefunction
in the form given in Eq. (22.130) by considering the wavefunction
c ¼ aeiz ðc0 þ c1 Þ, ð22:132Þ
where c0 is still a BDD solution when SOI is turned off, and where c1 is a
first-order term. Moreover, we assume that aeiz is continuous (this is always
possible because any discontinuity in this function would be a first-order term
that could be included in c1 ). Then, using the same procedure as above to
derive the boundary conditions at an interface located at the abscissa z0 ,
Eqs. (22.124) and (22.127) become
 zþ
zþ ħ g 0
½gc bp cz0
0
ðE V Þ aeiz c0 ð22:133Þ
2 gc z 0

and
 þ
zþ 1 g z0 iz
½cz00 ¼ ae ðgc bp c0 Þ: ð22:134Þ
4ħ g2c z
0

In a previous paper,26 we have shown that a proper choice is


b ¼ : ð22:135Þ
Then, we obtain the simple expression
  
c ¼ aeiz c0 þ 2 bp c0 : ð22:136Þ
ħk
It is straightforward to show that the envelope function given in Eq.
(22.136) is a solution to the Schrödinger equation in each material and that it
meets the boundary conditions expressed by Eqs. (22.133) and (22.134). The
continuity of aeiz propagates the phase from one region to the other; for the
incident wave at z0 ¼ 0, we take a ¼ 1; thus, aeiz0 ¼ 1, which implies that,
whatever the region, a is a phase factor, i.e., jaj ¼ 1. Equation (22.136)
corresponds to Eqs. (3.42) and (3.47) of Ref. 4. Finally, a simple current
calculation proves that
J½c ¼ jaj2 J f ½c0  ¼ J f ½c0 : ð22:137Þ
Spin–Orbit Engineering of Semiconductor Heterostructures 583

We recover the important result that turning on the SOI does not change
the probability current but creates pure phase shifts between the two spin
channels. This is equivalent to a spin precession around the DP field
direction and would be intuitive if the DP field were a real vector, which is
not the case.

22.7 Conclusion
Tunnel heterojunctions, where III-V semiconductors are involved, consti-
tute fundamental systems to test the reliability of transport models; in fact,
they provide a DP field and/or Rashba field when considering quasi-2D
electron gas and give valuable insight into spin-split evanescent states. In
this context, we have proposed a systematic procedure to construct
properly symmetrized current operators that reduce to standard ones when
the DP field is not taken into account in the Hamiltonian but that ensure
consistent physical properties when applied to III-V heterostructures. We
have shown that, in these systems, the boundary conditions for solution to
the tunneling problems have to be consistently changed, adapting the
BenDaniel–Duke approach to deal with heterostructures where the SOI is
included and introducing generalized boundary conditions. We have
shown that, up to the second order, the usual matching conditions, i.e., the
continuity of the envelope function and of the velocity at an interface,
yield solutions that comply with the conservation of the probability
current. This no longer holds as soon as the cubic D’yakonov–Perel’ term
is included. We have illustrated our findings from the model case of a
½110-oriented GaAs barrier (previously addressed in Ref. 4) and have
recovered and generalized the results in a more simple and direct
treatment. A striking result is that the envelope function is discontinuous
at the interfaces. This discontinuity may also be taken as a boundary
condition. The tools we have developed can be applied to the holes in the
valence bands or to the electrons in the conduction band, making them
important for semiconductor-based spintronics, and especially for spin-
orbit engineering of heterostructures.
584 Chapter 22

Appendix

22.A Complete Derivation of the Current Operator Jb


We are interested in finding the form of the current operator Jb ¼ ð Jbx , Jby , Jbz Þ
for a Hamiltonian H b ðnÞ þ V ðrÞ—the current operator being JbðnÞ —and
P ðnÞ
more generally for the Hamiltonian H beff ¼ H bp þ V ðrÞ ¼ n H b þ V ðrÞ
2
[Eqs. (22.36) and (22.37)]. For a Hamiltonian bp =2m þ V ðrÞ, it is known49
that the j th component of the current operator ( j ¼ x, y, or z) at the point
ð2Þ
r0 is of the shape Jbj ðr0 Þ ¼ ð1=2mÞ½dr0 bp j þbp j dr0 ; with the notation of
P
Eqs. (22.36) and (22.37), H b ð2Þ ¼ lðkÞ2fx, y, zg clð1Þ, lð2Þ bp lð1Þ bp lð2Þ , Jbð2Þ j ðr0 Þ ¼
P k¼1, 2

lð1Þ fx, y, zg cj , lð1Þ ½dr0 bp lð1Þ þ bp lð1Þ dr0 , and clð1Þ, lð2Þ ¼ ð1=2mÞdlð1Þ, lð2Þ . The
aim of this appendix is to show that, for a Hamiltonian H ðnÞ þ V ðrÞ, the
following form of the j th component of the probability current operator
ðnÞ
X
Jb j ðr0 Þ ¼ cj, lð1Þ, ..., lðn 1Þ ½dr0 bp lð1Þ bp lð2Þ . . . bp lðn 1Þ þ bp lð1Þ dr0 bp lð2Þ . . .bp lðn 1Þ
lðkÞ2fx, y, zg
k 1, ..., n1

þ . . . þ bp lð1Þ bp lð2Þ . . . bp lðn 1Þ dr0  ð22:A:1Þ


gives back Eq. (22.14). For n  3, the Dirac distribution interacts with the
mixed powers of the current operator so that the symmetrization
ðnÞ
procedure used in the construction of Jbj ðr0 Þ provides ðn 2Þ further
ð2Þ
summations with respect to Jbj ðr0 Þ. The present definition and the
canonical expression built from the velocity operator coincide only up to
n ¼ 2. The extra terms are crucial in order to satisfy the continuity
equation. We evaluate every term over a generic state c ; for example, the
second term is of the shape
hcj bp lð1Þ dr0 bp lð2Þ . . . bp lðn 1Þ cj, lð1Þ, ..., lðn 1Þ jci
Z
¼ d 3 r c bp lð1Þ dr0 bp lð2Þ . . . bp lðn 1Þ cj, lð1Þ, ..., lðn 1Þ c
Z
¼ d 3 r ðbp lð1Þ cÞ† dr0 bp lð2Þ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ c

¼ ½ bp lð1Þ cðr0 Þ† bp lð2Þ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ cðr0 Þ: ð22:A:2Þ
Spin–Orbit Engineering of Semiconductor Heterostructures 585

Then the j th Cartesian component of the probability current for a generic


state Jj ½c can be written as
X h
Jj ½c ¼ hcj Jbj ðr0 Þjci ¼
ðnÞ ðnÞ
c† bp lð1Þ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c þ . . .
lðkÞ2fx, y, zg
k 1, ..., n1

þ ðbp lð1Þ . . . bp lðk 1Þ cÞ bp lðkÞ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ c


þ. . . þðbp lð1Þ . . . bp lðn 1Þ cÞ cj , lð1Þ, ..., lðn 1Þ c , ð22:A:3Þ

where c ¼ cðr0 Þ. From Eq. (22.3), we can find the generic divergence term
related to the derivative with respect to bp j :
ðnÞ
X 
bp j Jj ½c ¼ c† bp j bp lð1Þ . . . bp lðn 1Þ c ðbp j cÞ† bp lð1Þ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c
lðkÞ2fx, y, zg
k 1, ..., n1


þ ðbp lð1Þ . . . bp lðk 1Þ cÞ bp j bp lðkÞ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ c

ðbp j bp lð1Þ . . . bp lðk1Þ cÞ b p lðkÞ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c

þ ðbp lð1Þ . . . bp lðkÞ cÞ bp j bp lðkþ1Þ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c
ðbp j bp lð1Þ . . . bp lðkÞ cÞ† bp lðkþ1Þ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c þ ...
þ ðbp lð1Þ . . . bp lðn 1Þ cÞ† bp j cj , lð1Þ,..., lðn 1Þ c


ðbp j bp lð1Þ . . . bp lðn 1Þ cÞ cj , lð1Þ, ..., lðn 1Þ c : ð22:A:4Þ

In Eq. (22.4) all of the terms that have the same order in bp (two consecutive
terms except for the first and last one) vanish after summation over j:
X X h
ðbp j bp lð1Þ . . . bp lðk 1Þ cÞ† bp lðkÞ . . . bp lðn 1Þ cj, lð1Þ,..., lðn 1Þ c
j fx, y, zg lðkÞ2fx, y, zg
k 1, ..., n1

þ ðbp lð1Þ . . . bp lðkÞ cÞ† bp j bp lðkþ1Þ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ c ¼ 0: ð22:A:5Þ

Then the only terms still remaining in the summation are


X ðnÞ
bp j Jj ½c ¼ bp  JðnÞ ½c
j fx, y, zg
X X 
¼ c† bp j bp lð1Þ . . . bp lðn 1Þ cj, lð1Þ, ..., lðn 1Þ c
j fx, y, zg lðkÞ2fx, y, zg
k 1, , n1


ðbp j bp lð1Þ . . . bp lðn 1Þ cÞ cj, lð1Þ, ..., lðn 1Þ c
X X
¼ 2i cj, lð1Þ ,. . ., clðnÞ
j fx, y, zg lðkÞ2fx, y, zg
k 1, ..., n1

Im c† bp j bp lð1Þ . . . bp lðn 1Þ cj , lð1Þ, ..., lðn 1Þ c: ð22:A:6Þ


586 Chapter 22

Now r  JðnÞ ½c ¼ ði=ħÞbp  JðnÞ ½c, Eq. (22.A.7) results in a collection of
pure imaginary terms, and the final expression for the divergence of the
probability current reads
2 X X
r  JðnÞ ½c ¼ Im ðcjbp j bp lð1Þ . . . bp lðn 1Þ cj, lð1Þ;...;lðn 1Þ jcÞ:
ħ j fx, y, zg lðkÞ2fx, y, zg
k 1, ..., n1 ð22:A:7Þ
Eventually, X
r  J½c ¼ r  JðnÞ ½c: ð22:A:8Þ
n

22.B Evanescent Bands in the [110] Direction


Let us write k ¼ ðQ þ iKÞe, considering e along the ½110 direction:
e ¼ e110 ¼ p12 ½110. We have to find the relation between Q and K to get a
real eigenvalue of the Hamiltonian H b . This real eigenvalue is the energy. The
Hamiltonian H b is written as
b ¼ gc ðQ þ iKÞ2 þ gbs  x ¼ gc ðQ þ iKÞ2 þ g e ðQ þ iKÞ3 bs  e x :
H ð22:B:1Þ
e x ¼ x =jj x jj (provided jj x jj 6¼ 0 ).  e , a dimensionless parameter,
depends on the direction. If e ¼ e110 , x is parallel to e110 with  e ¼ 1=2.
The eigenvalues are
«ðkÞ ¼ gc ðQ þ iKÞ2 þ « e gðQ þ iKÞ3 : ð22:B:2Þ
The spin is quantized along ge so that «g > 0 corresponds to the spin "
and «g < 0 corresponds to the spin #. Separating the real and imaginary parts
of the eigenvalue, we obtain
Re «ðkÞ ¼ gc ðQ2 K 2 Þ þ « e gðQ3 3QK 2 Þ, ð22:B:3Þ
Im «ðkÞ ¼ 2gc QK þ « e gð3Q2 K K 3 Þ: ð22:B:4Þ
Looking for the real-energy lines, provided that K is nonzero (the case
K ¼ 0 corresponds to a nonphysical real root), we have the equation
Im «ðkÞ ¼ 0 ) 2gc Q þ « e gð3Q2 K 2 Þ ¼ 0, ð22:B:5Þ

gc gc
K ¼ 3Q þ 2«
2 2
Q ¼ 3Q þ « 4 Q if e ¼ e110 :
2
ð22:B:6Þ
g e g
Equation (22.6) is the relation between Q and K that we were looking for.
The energy is
g2
E« ðQÞ ¼ «8 e gQ3 8gc Q2 «2 c Q
g e
g2c
¼ «4gQ3 8gc Q2 «4 Q if e ¼ e110 : ð22:B:7Þ
g
Spin–Orbit Engineering of Semiconductor Heterostructures 587

For a given EðQÞ value, we have two possible choices of K:


r  r
gc gc
K ¼  3Q þ 2«
2 Q ¼  3Q þ « 4 Q if e ¼ e110 :
2 ð22:B:8Þ
g e g
Let us note that j« 4ðgc =gÞQj 3Q2 so that jQj  jKj and
p
K  ð4«gc =gÞQ: ð22:B:9Þ
The sign of « g determines the sign of Q ðgc > 0Þ. As stated above, «g > 0,
which corresponds to spin ", gives Q > 0, whereas «g < 0, which corresponds
to spin #, gives Q < 0.
We have the symmetry property
E ðQÞ ¼ E ð QÞ: ð22:B:10Þ
The study of the function EðQÞ is straightforward; we take « ¼ 1 in the
following, the other case being deduced by symmetry:

dE ðQÞ g2c g2c
¼ 24 x e gQ 2
16gc Q þ 2 ¼ 12gQ 2
16gc Q þ 4 if e ¼e110 :
dQ g e g
ð22:B:11Þ
The roots Q1 and Q2 of the derivative are
g g
Q1 ¼ c , Q2 ¼ c
2g e 6g e
gc gc
Q1 ¼ , Q2 ¼ if e ¼ e110 : ð22:B:12Þ
g 3g
Incidentally, we note that
E ðQ1 Þ ¼ 0: ð22:B:13Þ
The corresponding curve is plotted in Fig. 22.3. It must be realized that we
are only dealing with evanescent states, which correspond to a negative
energy. Thus, for a given energy E < 0, we have two possible Q values, Q,
each associated with a given spin subband.
Finally, we find that, at a given energy, we have exactly the four possible
states, the wave vectors of which are ðQ  iKÞ with spin " and ð Q  iKÞ with
spin #, the latter being obtained from the former through K b by time reversal.
In short,
E" ðkÞ ¼ E" ðk Þ ¼ E# ð kÞ ¼ E# ð k Þ: ð22:B:14Þ

22.C Standard Tunneling Case


The standard tunneling case is to be recovered when g is zero; therefore, we
build our analysis in close relation with the usual approach. A crucial point is
that the probability current has to be constant so that R þ T ¼ 1, where R ðTÞ
is the reflection (transmission) coefficient.
588 Chapter 22

We need the standard (without spin) function cð0Þ ðzÞ defined as


8
ð0Þ
>
< cI ðzÞ ¼ a1 e þ b1 e ðz < 0Þ,
iqz iqz
>
ð0Þ ð0Þ
c ðzÞ ¼ cII ðzÞ ¼ a2 e Kz
þ b2 e Kz
ð0 < z < aÞ, ð22:C:1Þ
>
>
: ð0Þ
cIII ðzÞ ¼ a3 eiqz ða < zÞ,
where z < 0, 0 < z < a, and a < z, respectively, correspond to the incident
wave (index I), to the wave in the barrier (index II), and to the transmitted
wave (index III), as illustrated in Fig. 22.1.
cð0Þ ðzÞ, a C 1 function, meets the boundary conditions
@c 0 ðz0 Þ @cð0Þ ðz0þ Þ
cð0Þ ðz0 Þ ¼ cð0Þ ðz0þ Þ, ¼ , z0 ¼ 0 or a, ð22:C:2Þ
@z @z
b1 2ðq2 þ K 2 Þ sinh K a exp Ka 1 ðq2 þ K 2Þ
¼ ,
a1 D ðq þ iKÞ2
a2 2qðq þ iKÞeKa exp Ka 1 q
¼ 2 ,
a1 D ðq þ iKÞ
b2 2qð q þ iKÞe Ka exp Ka 1
qð q þ iKÞ
¼ 2 2
e 2Ka
,
a1 D ðq þ iKÞ
iqa
a3 4iKq iqa exp Ka 1 qKe
¼ e 4i e Ka
,
a1 D ðq þ iKÞ2
D ¼ ðq þ iKÞ2 eKa ðq iKÞ2 e Ka
: ð22:C:3Þ
The function cð0Þ ðzÞ is such that the probability current Jf ½cð0Þ  is
constant. The reflection coefficient R ¼ jb1 =a1 j2 and the transmission
coefficient T ¼ ja3 =a1 j2 are such that R þ T ¼ 1.
Also observe that, if we multiply cð0Þ by any C 1 function f ðr, " , #Þ, the
new function and its derivative are continuous at the interfaces, satisfying the
initial boundary conditions. Consider the case where the incident wave is eiq r .
If we take f ðr, " , #Þ ¼ eij r " or f ðr, " , #Þ ¼ eij r #, we obtain a solution to
the tunneling problem if, and only if, the incident component eiðqþjÞ r and the
reflected component eið qþjÞ r correspond to the same energy.


This straightforwardly explains, for instance, how tunneling occurs for electrons located in
semiconductor side valleys (the SOI is not considered here). Indeed, the states associated with
the wave vectors z þ q, where z corresponds to the center of the considered valley, and to z q,
are energy degenerate (in this example, we do not necessarily have z  q ¼ 0). To solve such a
problem, we only have to find usual formal solutions dealing with plane waves of vectors q
outside the barrier, and iK in the barrier, respectively. This provides us with the reflection and
transmission coefficients. Then, we obtain the true wavefunctions by multiplying the formal
solutions by eizr .
Spin–Orbit Engineering of Semiconductor Heterostructures 589

References
1. I. Žutić, J. Fabian, and S. Das Sarma, “Spintronics: Fundamentals and
applications,” Rev. Mod. Phys. 76, 323 (2004).
2. E. B. Sonin, “Spin currents and spin superfluidity,” Adv. Phys. 59, 181
(2010).
3. J.-L. Basdevant and J. Dalibard, Quantum Mechanics, Springer, Berlin,
p. 50 (2005).
4. T. L. Hoai Nguyen, H.-J. Drouhin, J.-E. Wegrowe, and G. Fishman,
“Spin rotation, spin filtering, and spin transfer in directional tunneling
through barriers in noncentrosymmetric semiconductors,” Phys. Rev. B
79, 165204 (2009).
5. Yu. A. Bychkov and E. I. Rashba, “Properties of a 2D electron gas with
lifted spectral degeneracy,” Pis’ma Zh. Eksp. Teor. Fiz. 39, 66 (1984)
[JETP Lett. 39, 78 (1984)].
6. E. I. Rashba and V. I. Sheka, in Landau Level Spectroscopy, G. Landwehr
and E. I. Rashba, Eds. Elsevier, Amsterdam, p. 178 (1991).
7. G. Dresselhaus, “Spin-orbit coupling effects in zinc blende structures,”
Phys. Rev. 100, 580 (1955).
8. M. I. D’yakonov and V. I. Perel’, “Spin orientation of electrons associated
with the interband absorption of light in semiconductors,” Zh. Eksp. Teor.
Fiz. 60, 1954 (1971); Sov. Phys. JETP 33, 1053 (1971). M. I. D’yakonov
and V. I. Perel’, “Spin relaxation of conduction electrons in noncentro-
symmetric semiconductors,” Fiz. Tverd. Tela, 13, 3581 (1971); Sov. Phys.
Solid State 13, 3023 (1972).
9. F. Bottegoni, H.-J. Drouhin, G. Fishman, and J.-E. Wegrowe,
“Probability- and spin-current operators for effective Hamiltonians,”
Phys. Rev. B 85, 235313 (2012).
10. E. I. Rashba, “Spin currents in thermodynamic equilibrium: The
challenge of discerning transport currents,” Phys. Rev. B 68, 241315(R)
(2003).
11. J. Sinova, D. Culcer, Q. Niu, N. A. Sinitsyn, T. Jungwirth, and
A. H. MacDonald, “Universal intrinsic spin hall effect,” Phys. Rev. Lett.
92, 126603 (2004).
12. E. B. Sonin, “Equilibrium spin currents in the Rashba medium,” Phys.
Rev. B 76, 033306 (2007) and Erratum: “Equilibrium spin currents in the
Rashba medium,” Phys. Rev. B 77, 039901(E) (2008).
13. V. A. Sablikov and Y. Ya. Tkach, “Evanescent states in two-dimensional
electron systems with spin-orbit interaction and spin-dependent trans-
mission through a barrier,” Phys. Rev. B 76, 245321 (2007).
590 Chapter 22

14. V. I. Litvinov, “Resonance spin filtering due to overbarrier reflection in a


single barrier contact,” Phys. Rev. B 82, 115321 (2010).
15. P. M. Haney and M. D. Stiles, “Current-induced torques in the presence
of spin-orbit coupling,” Phys. Rev. Lett. 105, 126602 (2010).
16. Y. Li and R. Tao, “Current in a spin-orbit-coupling system,” Phys. Rev.
B 75, 075319 (2007).
17. H.-J. Drouhin, G. Fishman, and J.-E. Wegrowe, “Spin currents in
semiconductors: Redefinition and counterexample,” Phys. Rev. B 83,
113307 (2011).
18. J. Shi, P. Zhang, D. Xiao, and Q. Niu, “Proper definition of spin current
in spin-orbit coupled systems,” Phys. Rev. Lett. 96, 076604 (2006).
19. N. Sugimoto, S. Onoda, S. Murakami, and N. Nagaosa, “Spin Hall
effect of a conserved current: Conditions for a nonzero spin Hall
current,” Phys. Rev. B 73, 113305 (2006).
20. P. Zhang, Z. Wang, J. Shi, D. Xiao, and Q. Niu, “Theory of conserved
spin current and its application to a two-dimensional hole gas,” Phys.
Rev. B 77, 075304 (2008).
21. A. Wong and F. Mireles, “Spin Hall and longitudinal conductivity of a
conserved spin current in two dimensional heavy-hole gases,” Phys. Rev.
B 81, 085304 (2010).
22. D. J. BenDaniel and C. B. Duke, “Space-charge effects on electron
tunneling,” Phys. Rev. 152, 683 (1966).
23. L. W. Molenkamp and G. Schmidt, “Rashba Hamiltonian and electron
transport,” Phys. Rev. 64, 121202(R) (1996).
24. L. G. Wang, W. Yang, and K. Chang, “Spin-dependent tunneling
through a symmetric semiconductor barrier: The Dresselhaus effect,”
Phys. Rev. B 72, 153314 (2005).
25. A. Brataas, A. G. Malshukov, and Y. Tserkovnyak, “Spin injection in
quantum wells with spatially dependent Rashba interaction,”New
J. Phys. 9, 345 (2007).
26. F. Bottegoni, H.-J. Drouhin, G. Fishman, and J.-E. Wegrowe,
“Probability-current definition in presence of spin-orbit interaction,”
J. Appl. Phys. 111, 07C305 (2012).
27. W. Harrison, “Tunneling from an independent-particle point of view,”
Phys. Rev. 123, 85 (1961).
28. A. Messiah, Quantum Mechanics, North-Holland, Amsterdam, Ch. XV,
Sec. 21, p. 675 (1962).
29. A. Messiah, Quantum Mechanics, North-Holland, Amsterdam, Ch. XV,
Sec. 20, p. 633 (1962).
Spin–Orbit Engineering of Semiconductor Heterostructures 591

30. Q. F. Sun, X. Xie, and J. Wang, “Persistent spin current in nanodevices


and definition of the spin current,” Phys. Rev. B 77, 035327 (2008).
31. V. A. Sablikov, A. A. Sukhanov, and Y. Ya. Tkach, “Equilibrium edge
spin currents in two-dimensional electron systems with spin-orbit
interaction,” Phys. Rev. B 78, 153302 (2008).
32. R. P. Feynman, R. B. Leighton, and M. Sands, The Feynman Lectures on
Physics, Mainly Electromagnetism and Matter, Addison-Wesley, Read-
ing, MA, Vol. 2, Ch. 27 (1966).
33. S. R. De Groot and P. Mazure, Non-Equilibrium Thermodynamics,
Dover, Mineola, NY, p. 25 (1984).
34. V. I. Perel’, S. A. Tarasenko, I. N. Yassievich, S. D. Ganichev, V. V. Bel’kov,
and W. Prettl, “Spin-dependent tunneling through a symmetric semicon-
ductor barrier,” Phys. Rev. B 67, 201304(R) (2003).
35. M. I. Katsnelson, K. S. Novoselov, and A. K. Geim, “Chiral tunnelling
and the Klein paradox in graphene,” Nat. Phys. 2, 620 (2006).
36. C. Pidgeon and R. Brown, “Interband magneto-absorption and Faraday
rotation in InSb,” Phys. Rev. 146, 575 (1966).
37. E. O. Kane, “Band structure of indium antimonide,” J. Phys. Chem.
Solids 1, 249 (1957).
38. S. Richard, H.-J. Drouhin, N. Rougemaille, and G. Fishman, “Structure
of spin-split evanescent states in the fundamental gap of zinc-blende-type
semiconductors,” J. Appl. Phys. 97, 083706 (2005).
39. N. Rougemaille, H.-J. Drouhin, S. Richard, G. Fishman, and A. K. Schmid,
“Spin-induced forbidden evanescent states in III-V semiconductors,” Phys.
Rev. Lett. 95, 186406 (2005).
40. P. Pfeffer and W. Zawadzki, “Spin splitting of conduction subbands in
III-V heterostructures due to inversion asymmetry,” Phys. Rev. B 59,
R5312 (1999).
41. P. Pfeffer and W. Zawadzki, “Spin splitting of conduction subbands in
GaAs-Ga0.7Al0.3As heterostructures,” Phys. Rev. B 52, R14332 (1995).
42. M. Cardona, N. Christensen, and G. Fasol, “Relativistic band structure
and spin-orbit splitting of zinc-blende-type semiconductors,” Phys. Rev.
B 38, 1806 (1988).
43. M.-H. Serre, G. Fishman, and H.-J. Drouhin, “Inconsistency of standard k·p
band parameters,” Proc. SPIE 6195, 61951B (2006) [doi: 10.1117/12.668973].
44. V. Heine, “On the general theory of surface states and scattering of
electrons in solids,” Proc. Phys. Soc. London 81, 300 (1963).
45. R. O. Jones, “Surface representations and complex band structure of a
diamond-type semiconductor,” Proc. Phys. Soc. London 89, 443 (1966).
592 Chapter 22

46. C. Kittel, Quantum Theory of Solids, Wiley & Sons, New York, p. 183
(1987).
47. T. L. Hoai Nguyen, H.-J. Drouhin, and G. Fishman, “Spin trajectory
along an evanescent loop in zinc-blende semiconductors,”Phys. Rev. B
80, 075207 (2009).
48. E. A. de Andrada e Silva, G. C. La Rocca, and F. Bassani, “Spin-orbit
splitting of electronic states in semiconductor asymmetric quantum
wells,” Phys. Rev. B 55, 16293 (1997).
49. A. Messiah, Quantum Mechanics, North-Holland, Amsterdam, Ch. X,
Sec. 4, p. 372 (1962).

Henri-Jean M. Drouhin graduated from the École


Polytechnique, France in 1979 and obtained his Ph.D.
degree with habilitation (Doctorat d’État) in 1984 from
Paris-Sud University, Orsay, France. He has made
major contributions to the field of semiconductor spin
physics and spintronics. He is Associate Professor, Vice
President of the Physics Department, Deputy Vice-
President for Research at the École Polytechnique, and
a researcher (Physics and Chemistry of Nano-objects group leader) at
Laboratoire des Solides Irradiés (CNRS & CEA/DSM/IRAMIS, École
Polytechnique). He was the Dean of Studies for the École Polytechnique from
2000 to 2008. He is the author of more than 60 scientific publications as well
as being decorated with the Chevalier Legion of Honour (French Pres., 2002)
and being made an Officer of the National Order of Merit (French Pres.,
2009). He was elected as a Fellow of SPIE in 2007.

Federico Bottegoni obtained his Master’s degree in


Physics Engineering in 2008 at Politecnico di Milano,
Italy. During his Ph.D. studies, he worked in the
research group of Prof. Franco Ciccacci and Prof.
Giovanni Isella at the Physics Department of Politec-
nico di Milano, where he developed a spin-polarized
photoemission setup to study spin transport and
generation in group-IV heterostructures. He was also
involved in spin-polarized photoluminescence measurements on SiGe
nanostructures. During the last years of his doctoral studies, he worked in
the research group of Prof. Henri-Jean Drouhin, Prof. Guy Fishman, and
Prof. Jean-Eric Wegrowe at the École Polytechnique in Palaiseau, France,
Spin–Orbit Engineering of Semiconductor Heterostructures 593

where he studied theoretical aspects concerning spin transport and dynamics


in III-V semiconductor heterostructures. He received his Ph.D. in Physics in
2012 and is currently a post-doctorate fellow in the Physics Department of
Politecnico di Milano. His main research interest in is the field of spintronics
in semiconductor heterostructures.

Alberto Ferrari received his Master’s degree in Physics


Engineering from Politecnico di Milano, Milano, Italy,
in 2010. His Master thesis dealt with spin-polarized
photoemission measurements to investigate the electron
spin generation in group-IV heterostructures. In Janu-
ary 2011, he began his Ph.D. in physics at the Physics
Department of Politecnico di Milano under the
supervision of Prof. Franco Ciccacci and Prof.
Giovanni Isella from Politecnico di Milano, contributing to the development
of a new setup for electro-optical measurements of electron spin generation and
transport in semiconductors. He is also collaborating with Prof. Henri-Jean
Drouhin in the theoretical study of spin transport and dynamics in
semiconductors. His main field of research is spintronics in semiconductor
heterostructures.

T. L. Hoai Nguyen received her undergraduate and


Master’s degrees in theoretical physics from the Hanoi
National University of Education, Vietnam. In 2010, she
obtained her Ph.D. degree in spintronics from the École
Polytechnique, France. She is curently a researcher in the
Institute of Physics, Vietnam Academy of Sciences and
Technology. Her main field of professional research is
spin-dependent transport phenomena in semiconductors.
She is also interested in simulation in biomedical physics.
594 Chapter 22

Jean-Eric Wegrowe has been a professor at the École


Polytechnique, Palaiseau, France since 2003. In 1996, in
Grenoble, France, he defended his Ph.D. thesis on
nanomagnetism. He performed postdoctoral studies at
the École Polytechnique de Lausanne (EPFL) in
Switzerland (1996–2003). His research activities focus
on magnetism, spin-dependent transport phenomena,
transport in nanowires and nanotubes, and nonequilib-
rium thermodynamics. He serves on advisory boards for several high-tech
companies.

Guy Fishman received his Doctorat d’État in 1974,


studying optical pumping and spin relaxation of
photoelectrons in GaAs. He has done research on
exciton-polariton properties, more particularly, in ZnSe
and on semi-magnetic semiconductors. He was a
visiting researcher at Bell labs in 1981, focusing on
Coulomb matrix elements in quantum-well heterostruc-
tures. He joined the CNRS in 1966 and has been a
Director of Research at CNRS since 1986. He joined the Institut
d’Electronique Fondamentale (IEF) in 2000. His current research mainly
concerns optical and transport properties in low-dimensional heterostructures.
He has coauthored more than 100 publications and has published a book on
the band structure of semiconductors.
Part V: Applications
Chapter 23
Current Status of Mid-infrared
Semiconductor-Laser-based
Sensor Technologies for
Trace-Gas Sensing
Applications
Rafal Lewicki and Mohammad Jahjah
Electrical & Computer Engineering Department, Rice University, Houston,
Texas, USA

Yufei Ma
Electrical & Computer Engineering Department, Rice University, Houston,
Texas, USA and National Key Laboratory of Science and Technology on
Tunable Lasers, Harbin Institute of Technology, Harbin, China

Przemyslaw Stefanski and Jan Tarka


Electrical & Computer Engineering Department, Rice University, Houston, Texas,
USA and Laser and Fiber Electronics, Institute of Telecommunications &
Acoustics, Wroclaw University of Technology, Wroclaw, Poland

Manijeh Razeghi
Center for Quantum Devices, Department of EECS, Northwestern University,
Evanston, Illinois, USA

Frank K. Tittel
Electrical & Computer Engineering Department, Rice University, Houston,
Texas, USA

597
598 Chapter 23

23.1 Introduction
23.2 Tunable Diode Laser Absorption Spectroscopy (TDLAS) for Ethane Detection
23.2.1 Laser characterization
23.2.2 Optical sensor architecture
23.2.3 Experiments and results
23.3 Environmental Detection of Ammonia using an EC QCL based C PAS Sensor Platform
23.3.1 Sensor configuration and results
23.4 Quartz Enhanced Photoacoustic Spectroscopy (QEPAS)
23.4.1 Methane and nitrous oxide detection
23.4.1.1 7.83 mm DFB QCL for methane and nitrous oxide detection
23.4.1.2 Experiments and results
23.4.2 Environmental detection of nitric oxide
23.4.3 QEPAS based ppb level detection of carbon monoxide and nitrous oxide
23.4.3.1 CW DFB QCL based QEPAS sensor system for CO and N2O
23.4.3.2 Experimental results and discussion
23.4.4 Sulfur dioxide experiments
23.4.4.1 SO2 QEPAS sensor architecture and performance
23.5 Conclusions
Acknowledgments
References

23.1 Introduction
The development of highly sensitive and selective optical sensor systems using
tunable semiconductor-laser-based spectroscopic trace-gas detection techniques
is reported in this chapter. The quantitative detection and monitoring of trace-
gas molecules in real-world applications such as atmospheric chemistry,
pollution monitoring, and industrial process control in most cases require the
targeting of fundamental vibrational–rotational (V-T) molecular absorption
bands located between the 3- and 24-mm wavelengths. The mid-infrared
fundamental absorption bands of several small molecules of potential interest
for trace-gas monitoring are shown in Fig. 23.1 within two mid-infrared
atmospheric transmission windows. The upper panel shows absorption spectra
in the atmospheric window between the bending fundamental of water centered
at around 1600 cm 1 and the water OH stretches starting above 3200 cm 1.
The lower panel shows absorption spectra in the atmospheric window below the
water bending fundamental. The logarithmic ordinate scales are the integrated
intensities of the lines on a per-molecule basis. These spectral regions can be
covered by narrow-linewidth and high-performance semiconductor lasers, in
particular quantum cascade lasers (QCLs)1 2 and interband cascade lasers
(ICLs).3 4 Therefore, trace-gas optical spectroscopic sensors using a QCL or
ICL as an excitation source are responsible for improving the spectral
resolution of the measurements and achieving real time, continuous ultrasensi-
tive detection of trace-gas molecular species at the concentration levels from the
percent level down to parts per trillion (ppt).
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 599

Figure 23.1 High-resolution simulated molecular absorption spectra within two mid-infrared
atmospheric transmission windows (reprinted from Ref. 14). (See color plate section.)

In this chapter the spectroscopic detection and monitoring of various


specific molecular species, such as ethane (C2H6),5 methane (CH4),6 7 nitrous
oxide (N2O), ammonia (NH3),8 9 nitric oxide (NO),9,10 carbon monoxide
(CO),11 and sulfur dioxide (SO2)12 are described. All of these molecules were
detected based on three different detection techniques: tunable diode laser
absorption spectroscopy (TDLAS), conventional photoacoustic spectroscopy
(CPAS), and quartz-enhanced photoacoustic spectroscopy (QEPAS).13 16
Other ultrasensitive and highly selective spectroscopic techniques that are
employed by research groups for trace-gas detection include: balanced
detection,17 laser-induced breakdown spectroscopy (LIBS),18,19 noise immune
cavity enhanced optical heterodyne molecular spectroscopy (NICE-
OHMS),20,21 Faraday rotation spectroscopy (FRS),22 24 and frequency comb
spectroscopy.25,26 These spectroscopic techniques can achieve minimum
p
detectable absorption losses in the range from 10 8 to 10 11 cm 1/ Hz.
The choice of an optimum detection technique depends on the requirements of
the specific application and the characteristic features of the single-mode-
operated infrared laser source, such as available optical power, tunable
wavelength, or beam quality. Moreover, to perform gas detection measure-
ments, various parameters such as gas pressure and modulation depth also
need to be optimized.
600 Chapter 23

The conventional method to perform sensitive laser-based absorption


spectroscopy measurements is to increase optical path length by using an optical
multipass gas cell. The most common absorption spectroscopy for quantitative
measurements of gas species is TDLS. In this chapter this technique together with
2f wavelength modulation spectroscopy (WMS) was used for detecting C2H6,
which is of interest in atmospheric chemistry, oil and gas prospecting,27 and in
medical breath analysis.28 Moreover, C2H6 is a greenhouse gas, and the variation
of its concentration in the atmosphere, mainly due to fossil fuel and biofuel
consumption, biomass burning process, and natural gas loss, can lead to long-
term climate changes.29 To monitor C2H6 concentration levels, a spectroscopic
trace-gas sensor based on a 3.36-mm continuous-wave (CW), thermoelectrically
cooled (TEC), distributed-feedback (DFB) laser diode was developed to access
one of the optimal absorption lines of C2H6 in the mid-infrared region. TDLAS
was performed with an ultracompact 57.6-m effective optical path length
innovative spherical multipass cell capable of 459 passes between two mirrors
separated by 12.5 cm and with a sensor control board used as a driver controller
for the DFB diode laser and the photodetector. This trace-gas sensor technology
will be evaluated as an in situ sensor system for landfill applications.
To detect environmental concentration levels of NH3,8 a spectroscopic
trace-gas sensor based on a 10.4-mm CW external-cavity quantum cascade laser
(EC-QCL) using a conventional CPAS technique was employed. CPAS is a
powerful and well-established indirect spectroscopic technique based on the
photoacoustic effect, where an acoustic wave is created as a result of the
absorption of modulated radiation by molecular species. When it propagates
within resonant photoacoustic cells, the acoustic wave can be detected either by
a single sensitive microphone30,31 or an array of microphones to achieve more-
sensitive results for trace-gas detection.32 Therefore, in the CPAS technique, no
photodetector is required. For the purpose of monitoring the QCL power,
an infrared detector or optical power meter located directly behind the
photoacoustic cell is usually employed. In order to obtain an optimal acoustic
signal value, the laser modulation frequency is typically selected to match the
first longitudinal acoustic resonance of the photoacoustic cell, given by the
equation f ¼ v/2L, where v is the speed of sound, and L is the length of the cell.
The overall photoacoustic cell dimensions, including sound isolation and buffer
gas volumes, are typically 10 cm or more, which might be considered large for
some applications, especially when employed with small-sized QCLs. In most
cases, the resonance frequencies of the photoacoustic cells are above 1 kHz,
insulating the CPAS experiment from low-frequency environmental noise.33 35
The detected photoacoustic signal SPA is described by the following equation:
SPA ¼ CPacM, where C is the photoacoustic cell constant in Pa/(Wcm 1), P is
optical power of the laser in W, a is the absorption coefficient of the targeted
gas in cm 1/(molecule cm 3), c is concentration in molecule/cm3, and M is the
response of the microphone in V/Pa.
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 601

For quantitative measurements of CH4 and N2O, SO2, NO, and CO,
different CW room-temperature (RT) DFB QCL sources emitting at 7.83,
7.24, 5.26, and 4.6 mm were employed, respectively. As a detection technique,
a novel approach to the photoacoustic detection of trace gases, utilizing a
quartz tuning fork (QTF) as an acoustic transducer, was employed. This
technique, named quartz-enhanced photoacoustic spectroscopy (QEPAS),
was first reported by our Rice University Laser Science Group in 2002.13,36
The key innovation of QEPAS is to invert the common CPAS approach and
accumulate the acoustic energy in a sharply resonant piezoelectric QTF with a
very high quality Q factor of >10,000, rather than in a broadband
microphone with a low-Q-factor (200) resonant CPAS gas cell. Due to the
very narrow QTF resonance curve, QEPAS possesses high immunity to
environmental acoustic noise. Moreover, small dimensions of the QTF allow
performance of sensitive trace-gas concentration measurements using an
ultrasmall acoustic detection module (ADM), where the total volume of the
analyzed gas sample is <4 mm3.37
A readily available QTF that is well suited for QEPAS applications is
commonly used in digital clocks and watches as frequency standards and has
a resonance frequency at 32,768 Hz in vacuum. The QTF is able to detect
weak acoustic waves generated when the modulated optical radiation interacts
with a trace gas. The mechanical deformation of the QTF due to interaction
with the acoustic waves results in the generation of electrical charges on its
electrode pairs. The electrical charges can be measured as either a current or
voltage by the electrodes that are connected to an ultralow-noise preamplifier
and lock-in detection electronics. An enhancement of the QEPAS signal can
be achieved when two metallic tubes acting as a microresonator (mR) are
added to the QTF sensor architecture. A typical configuration, used in most
reported QEPAS-based gas sensors where the QTF is positioned between the
mR tubes to probe the acoustic waves excited in the gas contained inside the
mR, can be found in Refs. 10, 16, 36, 38, and 39. Other QEPAS
configurations, such as off-beam QEPAS, are also possible.40,41 Furthermore,
two novel modifications of the QEPAS sensor architecture based on
interferometric photoacoustic spectroscopy42 and resonant opto-thermoacoustic
detection43 were recently reported.
A recent optimization study of the geometrical mR parameters showed
that the highest QEPAS signal-to-noise ratio (SNR) is achieved for two
4.4-mm-long and 0.5- to 0.6-mm-inner-diameter tubes.39 However, for a
typical QCL beam, short mR tubes with a larger inner diameter are
advantageous in facilitating the optical alignment of the QCL excitation beam
with respect to the mR and the QTF. Therefore, to simplify the optical
alignment process and eliminate any potential optical fringes, the 4-mm-long
tubes with 0.84-mm inner diameter are commonly used for QEPAS
experiments in the mid-infrared region. A direct, side-by side comparison of
602 Chapter 23

a QEPAS sensor using a QTF and a CPAS sensor based on a state-of-the-art


differential resonant photoacoustic cell was demonstrated in Ref. 39.

23.2 Tunable Diode Laser Absorption Spectroscopy (TDLAS)


for Ethane Detection
A TDLAS trace-gas sensor based on a 3.36-mm CW, TEC, DFB laser diode
from nanoplus GmbH was developed.5,44,45 An optimum interference-free
C2H6 absorption line located at 2976.8 cm 1 was selected as the optimum
target wavelength. TDLAS was performed with an ultracompact multipass
gas absorption cell with an effective optical path length of 57.6 m. In addition,
a compact state-of-the-art surface-mounted electronic control board and data
acquisition module was used for the first time in order to replace bulky and
costly laboratory instrumentation. A noise equivalent concentration (NEC) of
130 pptv (1 s) in dry nitrogen for a 1-s lock-in time constant was achieved at a
pressure of 200 Torr, due to low electrical and optical noise, and the high
sensitivity of the TEC mercury-cadmium-telluride (MCT) detector (Vigo
System S.A. PVI-4TE-4).

23.2.1 Laser characterization


The experimentally determined DFB laser diode light intensity and voltage as
a function of injected current (LIV) curves are presented in Fig. 23.2(a).
The laser diode’s temperature and current tuning characteristics are shown in
Fig. 23.2(b). Experimentally determined and based on Fig. 23.2(b), the DFB
laser diode current and temperature tuning coefficients are 0.022 cm 1/mA
and 0.26 cm 1/8C, respectively.

Figure 23.2 (a) Light intensity versus current and voltage (LIV) curves for a 3.36-mm CW,
TEC, DFB laser diode operating at 10 8C. (b) Temperature and current tuning characteristics
of the laser diode (reprinted from Ref. 5).
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 603

23.2.2 Optical sensor architecture


The C2H6 optical sensor, depicted in Fig. 23.3, uses a 3.36-mm CW, TEC
GaInAsSb/AlGaInAsSb DFB laser diode as a spectroscopic source to target
the optimum C2H6 absorption line located at 2976.8 cm 1. The DFB laser
diode is packaged in a TO-5 can. Its output beam is collimated by a Black
DiamondTM aspheric lens (CL) (Thorlabs, model C036TME-E) and focused
by a second lens (L), using a 200-mm focal length plano-convex CaF2 lens
(Thorlabs LA5714-E), into the input entrance hole of a novel multipass gas
absorption cell (MC) (Sentinel Photonics, Monmouth Junction, NJ), based on
a modified spherical Herriott MC concept.
Furthermore, an ultracompact state-of-the-art surface-mounted electronic
control board and data acquisition module control electronics provided by
Sentinel Photonics, denoted as the sensor control board (SCB) in Fig. 23.3,
was used to provide the diode laser current and temperature control as well as
data processing capability, replacing traditional laboratory instrumentation
such as two lock-in amplifiers and a function generator. The TEC of the MCT
detector is also connected to the SCB for signal data acquisition. A
photograph of the SCB, the multipass cell, and the MCT detector are
depicted in Fig. 23.4(a). Alignment of the optical sensor was realized by
adding a visible semiconductor laser diode (l ¼ 630 nm). This beam was
combined with the beam from the 3.36-mm DFB diode laser by using a
dichroic mirror (DM) (ISO Optics, model BSP-DI-25-3). The processing unit
used for the SCB is based on a TI MSP430. The laser diode temperature and
TEC are controlled by thermistor sensing and the power output, respectively.
In addition, the SCB provides laser diode current drive and modulation. The

Figure 23.3 Schematic of a C2H6 gas sensor using a 3.36-mm DFB laser diode as an
excitation source. M – mirror, CL – collimating lens, DM – dichroic mirror, MC – multipass
cell, L – lens, SCB – sensor control board.
604 Chapter 23

Figure 23.4 (a) Photograph of an innovative long-path, small-volume multipass gas cell
(MC dimensions: 17  6.5  5.5 cm; distance between MC mirrors: 12.5 cm; effective optical
path length: 57.6 m with 459 passes) with novel compact surface-mounted control
electronics from Sentinel Photonics. (b) Spot pattern of a modified spherical Herriott MC
(from Sentinel Photonics website).

SCB dimensions are 70 mm  50 mm  10 mm. During wavelength modu-


lation performance, the data is synchronously sampled via an embedded
analog-to-digital converter, and characteristic absorption spectra are pro-
duced by a digital lock-in amplifier algorithm. The SCB can also
synchronously apply a continuous saw-tooth current ramping at 8 Hz, which
generates the 32-bit lock-in amplifier signal. Therefore, the total control and
acquisition systems power consumption to generate wavelength-modulated
ramp spectra is <0.4 W.
The novel ultracompact multipass cell is formed by two dielectric-coated
spherical glass substrates. The reflectivity provided by these substrates exceeds
99.5%. Based on the spherical Herriott cell concept,46,47 with two coaxial
spherical mirrors and with a distance of 12.5 cm between the mirrors
providing a minimal spot overlap to reduce etalon fringe effects, 459 laser
beam passes are created inside the multipass cell, resulting in an effective
optical path length of 57.6 m. The spot pattern is shown in Fig. 23.4(b).

23.2.3 Experiments and results


The targeted C2H6 absorption line located at 2976.8 cm 1 is detected
by setting the laser diode temperature and injected current to 9.5 8C and
136.7 mA, respectively. Wavelength scanning across the C2H6 absorption line
is performed with 8 Hz of continuous saw-tooth current ramping provided
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 605

Figure 23.5 (a) 2f WMS signal for a C2H6 line at 2976.8 cm1 at 200 Torr obtained with
compact multipass gas cell. (b) 2f WMS amplitude signal as function of C2H6 concentration.

by the SCB. In addition, a sinusoidal modulation of 16 kHz is superimposed


on the ramping signal with amplitude of 15.6 mA. These parameters are
required for the 2f WMS detection technique. A vacuum pump is connected to
the multipass cell in order to operate at a cell pressure of 200 Torr. The
multipass cell was filled with a calibrated mixture of 100 ppbv C2H6 in
nitrogen (N2) (ppbv is parts per billion by volume). The 2f signal of 100 ppbv
C2H6 and the baseline (which is determined after filling the multipass cell with
pure N2 at a pressure of 200 Torr) are presented in Fig. 23.5(a).
A low-noise C2H6 sensor baseline was achieved by using a single driver
module for laser diode and data acquisition. A SNR of 135 was obtained,
yielding an NEC of 740 pptv (1 s) for a 1-s sample averaging time.
To assess the performance of the TDLAS-WMS sensor for the detection
of C2H6, we studied its linearity by plotting the 2f amplitude signal as
measured by lock-in detection as a function of the calibrated concentration of
ethane in the multipass gas cell (at 5-, 10-, 15-, 25-, 50-, and 100-ppbv
concentration levels). Gas dilution was realized by using a commercial gas
mixer (Gas Dilution System series 4040) from Environics. Figure 23.5(b)
depicts the response of the C2H6 sensor versus concentration fitted with a
linear slope.

23.3 Environmental Detection of Ammonia using an


EC-QCL-based C-PAS Sensor Platform
In order to improve the current understanding of the dynamics of ammonia in
industrial/urban and rural areas, continuous long-term measurements of
atmospheric NH3 were conducted in Houston and at a Texas Commission on
Environmental Quality (TCEQ) sampling site in a remote area of Dallas/Fort
Worth, respectively. Ammonia (NH3) is normally present in the atmosphere
606 Chapter 23

at trace concentration levels and, like other nitrogen-containing trace gases


such as N2O, NO, NO2 and HNO3, plays a significant role in atmospheric
chemistry. The emission of NH3 to the atmosphere is primarily caused by
anthropogenic sources such as animal waste, poultry, mineral fertilizers, or
biomass burning but also by natural sources such as animals, oceans,
vegetation, and the decomposition of plants.48 Moreover, for highly developed
urban areas, an additional increase of atmospheric ammonia concentration
levels can be observed due to industrial and automobile/truck traffic
activities.
From a perspective of environmental concern, NH3 is a precursor of
particulate matter (PM) due to its chemical reaction with sulfuric and nitric
acid to produce different ammonium salts: ammonium sulfate [(NH4)2SO4],
ammonium nitrate (NH4NO3), or ammonium bisulfate (NH4HSO4). As a
result, the abundance of NH3 in the atmosphere has a considerable impact on
aerosol nucleation and composition. Despite the importance of ammonia in
atmospheric chemistry, the National Ambient Air Quality Standards by the
United States Environmental Protection Agency (US EPA) currently do not
regulate NH3, and there is limited knowledge about NH3 concentration levels
in the atmosphere.

23.3.1 Sensor configuration and results


Environmental detection of ammonia concentration levels was performed
with a 10.4-mm EC-QCL-based sensor platform employing an amplitude-
modulated photoacoustic spectroscopy (AM-PAS) technique [see Fig. 23.6(a)].
A TEC CW EC-QCL system from Daylight Solutions (Model 21106-MHF)
can be tuned from 933 to 1006 cm 1, emitting a maximum optical power of 64
mW. Coarse, single-mode frequency tuning can be performed with minimum
step resolution of 0.01 cm 1 by simply rotating the diffraction grating. For
high-resolution spectroscopy, a sinusoidal voltage, with a maximum ampli-
tude of 100 V, can be applied to the piezoelement, enabling a mode-hop-free
piezoscan of 1 cm 1. Within the EC-QCL spectral tuning range, the NH3
absorption line in the n2 fundamental absorption band of ammonia at 965.35
cm 1 is targeted. This absorption line is optimal for atmospheric NH3
detection in terms of absorption coefficient, laser power, and the absence of
potential interfering species such as CO2 and H2O. The laser beam is
modulated by a mechanical chopper at 1.8 kHz, and a 9.1-cm-long differential
resonant photoacoustic cell is used as the state-of-the-art photoacoustic
detector.49 In a differential photoacoustic cell, two identical cylindrical
channels, connected to each other at both ends, are equipped with an electret
microphone, which is placed in the middle of each channel, where the
maximum pressure oscillations are located. The signal from each micro-
phone is delivered to the differential amplifier and then analyzed by an
external lock-in amplifier. In order to achieve detection of NH3 at single-ppb
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 607

Figure 23.6 (a) Mid-infrared AM-PAS-based sensor platform for NH3 atmospheric
detection. (b) AM-PAS signal for reference mixture of 5-ppm NH3 in N2 after one, two,
and three laser beam passes through the photoacoustic cell.

concentration levels, which is required for sensitive atmospheric measure-


ments, the optical beam is passed through the cell three times. A pyroelectric
detector placed directly behind a 10-cm reference cell, filled with 0.2% of NH3
at 30 Torr, was used for frequency locking as well as for monitoring the
available EC-QCL output power.
The pressure inside the system was kept at 220 Torr, while the flow was
maintained at 150 ml/min. In order to minimize the ammonia adsorption to
surfaces and to prevent water vapor condensation in the sensor, the sensor
enclosure was heated to 38 8C. The minimum detectable concentration of
ammonia for the sensor, when the laser beam passes three times through the
608 Chapter 23

photoacoustic cell, was 2 ppbv for a 5-s data acquisition time [see Fig. 23.6(b)].
After averaging the data for 100 s, a sub-ppbv NH3 concentration level of
0.7 ppbv was achieved.
The AM-PAS-based NH3 sensor platform was deployed at the University
of Houston North Moody Tower monitoring site during three different
seasons (winter 2010, summer/fall 2011, winter 2011) and at the continuous
ambient monitoring station (CAMS) 75 monitoring site in the Fort Worth/
Dallas area (between May 30, 2011 and June 30, 2011) as part of the summer
2011 TCEQ campaign. The 2010/2011 results of environmental ammonia
measurements are reported in Ref. 8. The NH3 sensor platform was again
deployed on top of the University of Houston North Moody Tower during
the 2012 summer months in order to investigate the correlations between NH3
and particle number concentrations (PNCs) [measured by a condensation
particle counter (CPC)] and to compare NH3 concentration levels over the
same period of last year. Monitoring PNC alongside NH3 levels and
incorporating meteorological parameters as well as other air pollutants data
measured by a TCEQ CAMS allows us to investigate the effect of NH3 on
local and regional air quality with respect to PM formation.
A time series of atmospheric NH3 concentration and particle number
concentration levels for city of Houston, TX, measured between July 19, 2012
and July 31, 2012 is depicted in Fig. 23.7. The emission sources that were
identified as being responsible for some of the elevated concentration levels in
the Greater Houston urban area are: the W. A. Parish electric power plant
and the Houston Ship Channel. In general, the high NH3 concentration levels
observed during this period were expected due to the more intense ammonia
evaporation from natural sources (soil, vegetation, decomposition of plants)
and agricultural (animal waste, mineral fertilizer, pesticides). In addition, high
ambient temperatures observed during the summer significantly improve the
conversion of ammonia from the particle phase to gas phase.

Figure 23.7 Time series of atmospheric NH3 concentration and particle number concentration
levels for Houston, TX, measured from July 20 to August 21, 2012. (See color plate section.)
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 609

23.4 Quartz-Enhanced Photoacoustic Spectroscopy (QEPAS)


23.4.1 Methane and nitrous oxide detection
23.4.1.1 7.83-mm DFB-QCL for methane and nitrous oxide detection
A 7.83-mm DFB-QCL operating in a CW regime and mounted inside a high-
heat-load (HHL) package with a high emitted optical power (300 mW at
15 8C) from Adtech Optics was used to detect CH4 and N2O. Inside the HHL
package, an aspheric lens was placed in front of the QCL in order to collimate
the emitted beam. The HHL package is sealed by the ZnSe window that
transmits 95% of the initial QCL optical power. The QCL output power as
well as the current and temperature tuning curves are shown in Figs. 23.8(a)
and (b), respectively.
Tuning coefficients for the DFB-QCL current and temperature changes
were experimentally determined to be 0.01 cm 1/mA and 0.01 cm 1/8C,
respectively. A wide temperature range can be used with this DFB-QCL from
15 to 60 8C. Therefore, an important wavenumber range, from 1273 to 1277 cm 1,
can be covered with this QCL. In this mid-infrared spectral range, CH4 and
N2O absorption lines are accessible.

23.4.1.2 Experiments and results


In order to detect the CH4 and N2O absorption lines that are accessible within
the 7.83-mm AdTech Optics DFB-QCL tuning range, the QCL operating
temperature was fixed at 21.5 8C, and the injection current was varied between
430 and 500 mA. With the available output power of >120 mW from this
QCL a 2f WMS signal for N2O and CH4 in ambient laboratory air is detected.
In order to determine the optimum measurement conditions such as the

Figure 23.8 (a) Measured optical power for Adtech Optics 7.83-mm CW DFB QCL
operating at 15, 25, 35, and 45 8C. (b) Temperature and current properties.
610 Chapter 23

optical modulation depth and the CH4 and N2O gas pressure, a detailed
evaluation of the QEPAS system was performed.
Figure 23.9 depicts the 2f QEPAS signals for N2O and CH4 in ambient
laboratory air (blue plot) and for a calibrated mixture of 1.8-ppmv N2O in N2
(red plot). Both measurements were recorded at a total gas pressure of 100
Torr.
Additional enhancement of the QEPAS signal amplitude was achieved by
the addition of water vapor, which is an efficient accelerator for V-T
relaxation processes in the gas phase. For the targeted N2O absorption line
located at 1275.5 cm 1, the laboratory air N2O concentration was calculated
to be 393 ppbv based on the two N2O QEPAS measurements shown in
Fig. 23.9. The minimum detectable concentration (MDC) of the currently
developed QEPAS system for CH4 and N2O detection is 7 ppbv and 20 ppbv,
respectively, for a 1-s data acquisition time. The obtained MDC values allow
performance of long-term and sensitive environmental measurements of CH4
and N2O because their natural abundance in the atmosphere is at a constant
level of 1.8 ppmv and 320 ppbv, respectively.
Our next goal is to implement a line-locking procedure for CH4 and N2O
detection. Moreover, we plan to transfer the current QEPAS optical platform
from a standard laboratory optical table to a custom compact optical
platform (see Fig. 23.10). During this procedure, overall dimensions of the
QEPAS sensor will be optimized in order to achieve a compact and
transportable sensor system for CH4 and N2O and detection at 1275.5 cm 1
and 1275.041 cm 1, respectively.

Figure 23.9 2f QEPAS signals for a moisturized 1.8-ppmv mixture of N2O in N2 (red plot) and
for CH4 and N2O in ambient laboratory air (blue plot); the dotted curve represents the optical
sensor baseline. Total gas pressure for both scans was P ¼ 100 Torr. (See color plate section.)
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 611

Figure 23.10 (a) Front view and (b) side view of the portable compact sensor for CH4 and
N2O detection.

23.4.2 Environmental detection of nitric oxide


The capability of detecting and quantifying nitric oxide (NO) at ppbv
concentration levels has an important impact in diverse fields of applications
including environmental monitoring, industrial process control, and medical
diagnostics. The major sources of NO emission into the atmosphere are
associated with industrial combustion processes as well as automobile, truck,
aircraft, and marine transport emissions. Long term, continuous, and reliable
NO concentration measurements in ambient air are important because of the
role of NO in the depletion of the earth’s ozone layer and in the formation of
acid rain and smog.50 Furthermore, NO is associated with numerous
physiological processes in the human body and, particularly, it can be used
as a noninvasive exhaled breath analyzer51 for asthma and inflammatory lung
diseases such as chronic obstructive pulmonary disease.52
A schematic of the developed NO QEPAS-based sensor enclosed in an
aluminum enclosure is depicted in Fig. 23.11(a). A CW Maxion Technologies
DFB-QCL, tunable between 1897 and 1903 cm 1, was employed to target the
1900.08-cm 1 (5.26-mm) NO doublet absorption line of the NO fundamental
band. The HHL-packaged DFB-QCL emitted 100-mW optical power at an
operating temperature and current of 22 8C and 890 mA, respectively. A
photograph of a compact, autonomous QCL-based WMS QEPAS NO platform
enclosed in a 12.3  5.3  5.1 in. aluminum enclosure is shown in Fig. 23.11(b).
For sensitive NO concentration measurements, a 2f wavelength-modulation
612 Chapter 23

Figure 23.11 (a) Schematic and (b) completed design of a compact, RT, CW, DFB-QCL-
based NO sensor platform. Pc L – plano-convex lens, Ph – pinhole, QTF – quartz tuning
fork, mR – acoustic microresonator, RC – reference cell.

(WM) technique was used. A DFB-QCL current and temperature were set and
controlled by a custom-made control electronics unit (CEU), which is also
employed to modulate the laser current, to lock the laser frequency to the
selected absorption line, and to measure the current generated by the QTF in
response to the photoacoustic signal.
In order to improve the quality of the QCL beam, two plano-convex CaF2
lenses (40-mm and 25-mm focal length) and a 300-mm pinhole are used as a
spatial filter. The second lens is used to direct the laser radiation through the
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 613

mR and between the prongs of the QTF mounted inside the ADM with a
transmission efficiency of 98%. The QCL beam exiting from the ADM is
directed to a 5-cm-long reference cell, filled with a 0.11% NO in N2 mixture at
175 Torr and a pyroelectric detector (InfraTec, LIE-332f-63). The 3f
pyroelectric detector signal with a zero crossing point at the maximum of
the 2f WM QEPAS signal was used as the reference signal for line locking of
the QCL frequency to the center of the selected NO absorption line. All of the
measurements were performed at a gas pressure of 250 Torr, which, according
to previous experimental results, is within the optimal pressure range for
QEPAS-based NO detection.10
The 2f QEPAS signal when the DFB-QCL frequency is tuned across
and locked to the H2O and CO2 interference-free NO doublet absorption line at
1900.08 cm 1 is depicted in Figs. 23.12(a) and (b), respectively. For a 95-ppb NO in
N2 calibrated mixture and 2.5% water vapor concentration, the calculated noise-
equivalent (1 s) concentration of NO with a 1-s averaging time is 3 ppbv at gas
pressure of 250 Torr. The corresponding absorption coefficient normalized to the
detection bandwidth and optical power is 6.2  10 9 cm 1 W/Hz1/2.
To investigate the long-term stability of the NO sensor platform, an Allan
variance analysis was performed while an ultrapure nitrogen was flushed
through the ADM cell. A graph of the Allan deviation, defined as the square
root of the Allan variance, is depicted in Fig. 23.13(a). This plot shows that the
measurement accuracy improves with averaging time, since random noise
sources can be effectively removed by the averaging process. For the completed
NO QEPAS sensor, the optimum averaging time is 200 s, which corresponds to
an improved NO MDC of 0.3 ppbv [red line of Fig. 23.13(a)]. For the
purpose of environmental monitoring, where sensor time response is not a
critical parameter, a 200-s averaging time can be normally utilized to allow
a detection limit of NO below 1 ppbv.

Figure 23.12 (a) 2f QEPAS signal amplitude when QCL frequency is tuned across and
(b) locked to the NO doublet absorption line at 1900.08 cm1.
614 Chapter 23

Figure 23.13 (a) Allan deviation calculated for a period during which ultra-high-purity
nitrogen was flushed through the NO QEPAS sensor system. Blue, green, and red lines
show the improved NO sensitivity after 25, 100, and 200 s of the averaging time. (b) NO
atmospheric data for different averaging times. (See color plate section.)

NO atmospheric data with different averaging times are illustrated in


Fig. 23.13(b). During this measurement, a number of sharp peaks of NO
concentration, primarily related to automobile activity, were detected. With
detection sensitivity of single-ppb concentration levels this compact, a
portable NO QEPAS sensor is suitable for applications in environmental
monitoring, industrial processing, and medical diagnostics of human diseases.

23.4.3 QEPAS-based ppb-level detection of carbon monoxide and


nitrous oxide
An ultrasensitive and selective sensor based on the quartz-enhanced
photoacoustic spectroscopy (QEPAS) technique was developed for monitor-
ing atmospheric carbon monoxide (CO). CO, one of the major air pollutants
in the United States, is mainly produced and released into the atmosphere by a
variety of incomplete combustion activities, including the burning of natural
gas, fossil fuel, and other carbon-containing fuels. CO has an important
impact on atmospheric chemistry through its reaction with hydroxyl (OH) for
troposphere ozone formation and also can affect the concentration level of
greenhouse gases (e.g., CH4).1,2 Furthermore, CO, even at low concentration
levels, is dangerous to human life and therefore must be accurately and
precisely monitored in real time. Nitrous oxide (N2O) on the other hand is one
of the most important anthropogenic greenhouse gases that has a global
warming potential of 280 times greater than carbon dioxide (CO2).3 N2O is
mainly produced by human-related sources such as agricultural soil
management, nitric acid production, as well as by emissions from automobile,
truck, and aircraft from combustion of fossil fuels. It can be also produced
naturally from a wide variety of biological sources present in soil and water.4
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 615

23.4.3.1 CW DFB-QCL-based QEPAS sensor system for CO and N2O


The QEPAS sensor platform for atmospheric detection of CO and N2O
concentration levels is similar to the schematic in Fig. 23.11(a). The excitation
source was a 4.61-mm, high-power, CW, DFB-QCL from Northwestern
University,18,19 operating at 10 8C. An external water cooling system was used
to remove the heat dissipation from the hot surface of a TEC mounted in a
commercial QCL housing (ILX Lightwave Model LDM-4872). The DFB-
QCL beam was collimated using a black-diamond antireflection (AR)-coated
(3–5 mm) aspheric lens with a 1.7-mm effective focal length (Lightpath model
390037-IR3). Similar to the NO QEPAS system described in Section 23.4.2,
this sensor configuration consists of two additional 50-mm and 40-mm focal
length planoconvex CaF2 lenses, and a 200-mm diameter pinhole to further
improve the QCL beam quality and to pass the laser beam through the mR
and the gap between the prongs of the QTF, with a transmission efficiency of
>93%. A ZnSe-wedged window acting as a beam splitter (BS) was placed
after the ADM to reflect 20% of the DFB-QCL beam into the reference
channel. The transmitted CW DFB-QCL beam can be monitored by an
optical power meter and used for alignment verification of the QEPAS
system. The laser beam, after passing through a reference cell, is detected by a
pyroelectric detector (InfraTec model LIE-332f-63). The 3f reference channel
signal is employed for locking of the QCL laser frequency to the peak of the
CO absorption line. For precise and accurate CO-concentration measure-
ments, a 5-cm-long reference cell filled with a 500-ppm CO:N2 mixture at
150 Torr pressure (fabricated by Wavelength References, Inc) was used. For
N2O detection, a 10-cm-long reference cell filled with 2% N2O in N2 at
a pressure of 100 Torr was employed. Moreover, to improve the CO and N2O
V-T relaxation processes, an external humidifier was added at the inlet to the
QEPAS system. In this case the addition of a 2.6% H2O vapor concentration
to the target trace-gas mixture acts as an effective catalyst that results in a
higher detected amplitude for CO and N2O QEPAS signals. A needle valve
and flow meter (Brandt Instruments, Inc., Type 520) were used to set and
monitor the gas flow through the QEPAS sensor system at a constant rate of
140 ml/min. A pressure controller (MKS Instruments, Inc., Type 649) and a
vacuum pump were employed to control and maintain the pressure in the
system. The DFB-QCL current and temperature were set and controlled by an
ILX Lightwave current source (model LDX 3220) and a Wavelength
Electronics temperature controller (model MPT10000), respectively.
For sensitive CO and N2O concentration measurements, WMS with
second-harmonic detection53,54 was utilized. Modulation of the laser current
was performed by applying a sinusoidal dither to the direct current ramp of
the DFB-QCL at half of the QTF resonance frequency ( f ¼ f0/2  16.3 kHz).
The piezoelectric signal generated by the QTF was detected by a low-noise
616 Chapter 23

Figure 23.14 (a) LIV curve of the 4.61-mm, RT, CW, DFB-QCL from Center for Quantum
Devices, Northwestern University. (b) DFB-QCL current tuning at different DFB-QCL
operating temperatures (reprinted from Ref. 11). (See color plate section.)

transimpedance amplifier with a 10-MV feedback resistor and converted into


a voltage. Subsequently, this voltage was transferred to a custom-built CEU.
The optical power emitted by the DFB-QCL operating at 1250-mA
current and 10 8C temperature is 987 mW in the CW operating mode [see
Fig. 23.14(a)]. The experimentally determined temperature and current tuning
coefficients are 0.16 cm 1/8C and 0.0065 cm 1/mA, respectively. This DFB-
QCL can be current tuned to target the R(5) and R(6) absorption lines of the v1 CO
fundamental band at 2165.6 cm 1 and 2169.2 cm 1, respectively [see Fig. 23.14(b)].
For highly accurate and quantitative measurements of carbon monoxide, the
R(6) CO absorption line located at 2169.2 cm 1 was selected. Based on HITRAN
simulation and experimental data, the R(6) CO line is free from spectral interference
from CO, N2O, and H2O absorption lines and can be measured at atmospheric
pressure (760 Torr) using the WMS technique. For N2O concentration
measurements, an interference-free P(41) N2O absorption line located at 2169.6
cm 1 was selected at a gas pressure of 100 Torr. For the CW DFB-QCL operating
at 10 8C, the optical power measured after the ADM was 400 mW near 2169 cm 1.
High optical power helps to improve the QEPAS signal, which is proportional to S0
 (a  P  Q)/f0, where a is the absorption coefficient, P is the optical power, Q is
the quality factor of the resonator, and f0 is the resonant frequency.

23.4.3.2. Experimental results and discussion


The QEPAS-based sensor performance was tested in two operational modes.
In the scanning mode, a small amplitude modulation signal at f0/2 frequency
was embedded on top of the slowly changing DC current ramp and added to
the DC DFB-QCL current offset, resulting in mode-hop-free frequency tuning
over the targeted absorption line. In the line-locking mode, the DFB-QCL
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 617

frequency was set to the center of the absorption line and actively controlled
by the feedback signal of the internal CEU proportional controller based on
the 3f component from the pyroelectric detector output [see Fig. 23.11(a) as an
example]. The proportional controller signal compensates for any laser
frequency drift by generating a correction signal to maintain the frequency
always at the center of the targeted absorption line.
For the current CO sensor platform based on the NO design described in
Section 23.4.2, the maximum 2f QEPAS signal level of the R(6) CO absorption
line is obtained at 600 Torr and with a modulation depth of 50 mA. For the
same modulation depth, the QEPAS signal value at a pressure of 760 Torr is
only 10% lower as compared with the maximum CO signal. However, from a
practical point of view, the QEPAS sensor is operated at atmospheric pressure
because in this case the pressure controller and flow meter become redundant.
Similar to the NO QEPAS system, the CO signal amplitude is strongly
dependent on the V-T relaxation rate. Hence, the addition of water vapor to
the analyzed gas mixture helps to improve the energy transfer for the V-T
states of the excited CO molecules. Therefore, water vapor at different
concentration levels was added into the CO:N2 gas mixture by means of a
commercial permeation tube (Perma Pure model MH-110-24F-4), which was
immersed inside a water-circulating bath (LAUDA-Brinkmann, LP., RM6).

Figure 23.15 Measured QEPAS-based CO signal amplitude as a function of water vapor


concentration at atmospheric pressure and a modulation depth of 50 mA. 1 cnt ¼ 6.67  1016 A.
Inset (a) QEPAS signal for a 5-ppmv CO:N2 mixture: dry, and moisturized with 2.6% H2O
concentration. Inset (b) QEPAS signal for pure N2 (reprinted from Ref. 11).
618 Chapter 23

The dependence of the QEPAS signal as a function of the H2O concentration


is shown in Fig. 23.15. The addition of 2.6% H2O results in an 8
improvement of the signal amplitude compared with a dry CO:N2 gas
mixture. The insert (a) to Fig. 23.15 shows a difference between the WMS 2f
signals for a dry and moisturized (after the addition of 2.6% H2O
concentration) 5-ppmv CO:N2 mixture. The insert (b) to Fig. 23.15 depicts
the background signal measured when the ADM was flushed with ultra-high-
purity N2. This background signal is primarily determined by fundamental
thermal noise of the QTF and is not affected by any optical noise related to
the laser beam passing through the ADM. Based on the data depicted in
Fig. 23.15, a 1 s MDC limit of the DFB-QCL-based QEPAS CO sensor is
1.5 ppbv for a 1-s data acquisition time. The corresponding normalized noise-
p
equivalent absorption (NNEA) coefficient is 1.61  10 8 cm 1W/ Hz. The
NNEA coefficient was calculated from the following equation: NNEA ¼
p
amin  P0/ Df , where amin is the minimum detectable absorption coefficient
p
for SNR ¼ 1, P0 is the optical power, and Df is the detection bandwidth.
Similar measurements were carried out for N2O detection by targeting the
P(41) N2O absorption line. A certified mixture of 1.8-ppmv N2O:N2 was used
to evaluate the QEPAS sensor performance in this case. The optimum signal
level was obtained when the gas pressure and modulation depth were set to
100 Torr and 20 mA, respectively. The addition of a 2.6% H2O concentration
to the analyzed N2O:N2 mixture resulted in a 5-fold enhancement of QEPAS
signal amplitude, which resulted in a MDC level of 23 ppbv. The corresponding
p
NNEA coefficient was found to be 2.91  10 9 cm 1W/ Hz. The N2O
concentration level in the laboratory was measured to be 350 ppbv when the
QEPAS sensor was operated in the scanning mode.
Continuous monitoring of CO and N2O concentration levels and the
evaluation of the long-term sensor performance of the QEPAS-based sensor
system were performed in the line-locking mode, where the CW DFB-QCL
frequency is kept at the center of the targeted absorption line. For line-locked
measurements of the CO concentration at atmospheric pressure, the modula-
tion depth was decreased from 50 mA to 40 mA because the 3f reference signal
shape for the QEPAS sensor operating at 760 Torr was pressure broadened.
The sealed CO reference cell was filled at a total pressure of 150 Torr.
To verify the linear response of the mid-infrared QEPAS-based CO sensor
platform, the calibration mixture of 5-ppm CO:N2 containing a constant 2.6%
concentration of water vapor was diluted 6 times down to 50-ppb CO
concentration levels [Fig. 23.16(a)]. The data acquisition times for these
measurements were set to 1 s. The measured QEPAS signal amplitude as a
function of CO concentration is plotted in the Fig. 23.16(b). The calculated
R-square value, which represents how well the regression line approximates real
data points, is 0.999 after a linear fitting procedure. This implies that the sensor
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 619

Figure 23.16 (a) QEPAS signal amplitude recorded in the line-locking mode as the CO
concentration is varied at atmospheric pressure and with a modulation depth of 40 mA.
(b) QEPAS signals amplitude averaged from (a) as a function of CO concentration. 1 cnt ¼
6.67  1016 A (reprinted from Ref. 11).

system exhibits a good linearity response to monitored CO concentration levels.


However, due to the decrease of the modulation depth to 40 mA, the measured
signal amplitude of the moisturized 5-ppm CO:N2 mixture is 22% lower
compared to the line-scanning-mode experiments, where a 50-mA modulation
depth was used. Based on the data in Fig. 23.16(a), the calculated MDC level is
1.9 ppbv, which is still in good agreement with the MDC value that was
previously calculated for the QEPAS sensor operated in the scanning mode. The
p
recalculated NNEA coefficient in this case is 2.04  10 8 cm 1W/ Hz.
To investigate the long-term stability and precision of the CO QEPAS
sensor, an Allan deviation analysis was also performed while ultra-high-purity
nitrogen was flushed through the QEPAS sensor system. From the Allan
deviation plot, the optimum averaging time for the CO sensor is found to be
500 s, which results in a MDC of 280 pptv. For the N2O QEPAS sensor, when
the laser wavelength was locked to the P(41) N2O line, an Allan deviation
analysis shows that averaging the acquired data for 500 s results in an
improved MDC with a 4-ppbv concentration level.
For ambient CO and N2O concentration measurements, an inlet tube of
the QEPAS sensor was placed outside the laboratory, and the atmospheric air
was pumped into the sensor. The results of continuous measurements of
atmospheric CO and N2O concentration levels for a five-hour period are
shown in Figs. 23.17(a) and (b), respectively. The highest CO concentration
spikes are caused by cigarette smoke, whereas all other less intense spikes,
recorded on top of the CO atmospheric background of 130 ppbv, are due to
automobile emissions. The mean atmospheric concentration of N2O was
calculated to be 350 ppbv when using the P(41) N2O line at 2169.6 cm 1.
Due to a long atmospheric residence time, the N2O concentration is well
620 Chapter 23

Figure 23.17 Continuous monitoring of atmospheric CO and N2O concentration levels from
an air sampled on Rice University campus, Houston, TX, USA (latitude and longitude are:
29 deg 430 N/95 deg 230 W). (a) CO concentration measurements. (b) N2O concentration
measurements (reprinted from Ref. 11).

mixed in the lower atmosphere; therefore, its atmospheric concentration level


is relatively stable, as can be seen from Fig. 23.17(b). The continuous
monitoring of atmospheric CO and N2O concentration levels for more than
five hours indicated the stability and robustness of the reported DFB-QCL-
based QEPAS sensor system.

23.4.4 Sulfur dioxide experiments


The QEPAS sensor technique was also employed for detecting and monitoring
sulfur dioxide (SO2) at ppbv-concentration levels with a time response of 1 s,
suitable for environmental monitoring, industrial processing, and noninvasive
exhaled breath analysis. SO2 is a major air pollutant and has significant impact
on human health by affecting lungs and causing breathing difficulties. The
major sources of SO2 emission into the atmosphere are associated with
industrial combustion processes as well as automobile, truck, aircraft, and
marine transport emissions. SO2 becomes toxic when its concentration exceeds
1 ppmv in ambient air.55

23.4.4.1 SO2 QEPAS sensor architecture and performance


In this work, the QEPAS-based chemical sensing platform [similar to that
depicted in Fig. 23.11(a)] consists of a 7.25-mm CW DFB-QCL in an HHL
package, an aspheric black-diamond lens ( f ¼ 4 mm) to collimate the emitted
laser beam, two planoconvex germanium lenses ( f ¼ 25 mm) with broadband
AR coatings, and a 150-mm pinhole used as a spatial filter. A single-frequency
DFB-QCL current tuning and optical power values at four different operating
temperatures are shown in Figs. 23.18(a) and (b), respectively.
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 621

Figure 23.18 (a) Single-frequency CW DFB-QCL radiation for different laser current values
at a QCL-operating temperature of 20 8C. (b) CW DFB-QCL optical power and current tuning
at four different operating temperatures (reprinted from Ref. 12). (See color plate section.)

To perform spectroscopic measurements, the DFB-QCL temperature was


fixed at 20.5 8C, and emission wavelength was tuned across the SO2 absorption
line centered at 1380.94 cm 1. The sensitivity and linearity of the QEPAS-based
SO2 sensor was investigated in the laser-scanning-mode operation by diluting
the wet (2.4% of the water vapor) certified mixture of 10-ppm SO2 in N2 to the
level of 5, 2, 1, and 0.1 ppm, and by measuring amplitudes of each QEPAS
signal respectively. After adding water vapor to the analyzed SO2 mixture, a
more than 3 improvement in detected signal was observed. The measured
2f WMS signals corresponding to different SO2 concentration levels and
the linearity plot of the QEPAS sensor are demonstrated in Fig. 23.19 and

Figure 23.19 2f WM QEPAS signals for different SO2 concentrations when the laser was
tuned across the 1380.9 cm1 line. a.a – ambient air. Inset: Dependence of the measured 2f
signals as a function of SO2 concentrations (reprinted from Ref. 12). (See color plate section.)
622 Chapter 23

its inset. The noise level was determined from the baseline recorded with the gas
cell filled with ambient air. The minimum detectable SO2 concentration level
(1 s) was calculated to be 100 ppb for the lock-in amplifier time constant set
to 1 s. In order to distinguish single absorption lines from the congested
spectrum of the SO2, the pressure inside the ADM was kept at 100 Torr.
Future tasks for SO2 detection will include line locking for continuous and
long-term measurements as well as using a high-power (>200 mW) QCL
targeting the strongest SO2 absorption line at 1348 cm 1. In this situation, the
SO2 detection limit can be improved to low ppb levels; therefore, QEPAS
sensors will have the potential to be used as a compact, portable, analytical
devices to perform real-time environmental and industrial emission measure-
ments of SO2.

23.5 Conclusions
This chapter described autonomously operated, compact, reliable, and real-
time gas sensors and demonstrated their potential as practical monitoring
devices in atmospheric chemistry, and urban and rural environmental
monitoring as well as in industrial emission and manufacturing control
processes. These sensors can also be used in biomedical and life sciences, such
as for noninvasive medical diagnostics that involve the detection and
monitoring of numerous exhaled breath biomarkers, and for sensing of
trace-gas species relevant to spacecraft habitat air quality and safety or
planetary atmospheric science. Several examples of ultra-sensitive and
selective sensors, with detection limits at ppb and sub-ppb levels, are reported
using mid-infrared CW DFB diode laser EC-QCL and DFB-QCL excitation
sources. Details of three spectroscopic techniques that included TDLAS,
CPAS, and QEPAS were discussed. The choice of a specific spectroscopic
measurement method was determined by the application as well as the readily
commercial availability of the appropriate mid-infrared laser source. For
example, to detect C2H6, a 3.36-mm CW TEC TO-5 packaged diode-laser-
based TDLAS sensor system with a 1 s C2H6 detection sensitivity of 130 pptv
(1-s sampling time) was employed. For environmental NH3 detection, a 1 s
NH3 detection sensitivity of 1 ppbv (200-s averaging time) was reported for
a 10.36-mm Daylight Solutions EC-QCL-based CPAS sensor. To monitor
CH4 and N2O, NO, CO, and SO2 concentration levels, four different QEPAS-
based sensors based on a DFB-QCL from AdtechOptics (7.28 mm), Maxion
Technologies (5.26 mm), Northwestern University (4.61 mm), and Hama-
matsu (7.24 mm) were employed, respectively. Detection sensitivities for these
five gas species were 20 ppbv, 7 ppbv, 3 ppbv, 2 ppbv, and 100 ppbv,
respectively for a 1-s sampling time. Improvements and innovations in
TDLAS-, CPAS-, and QEPAS-based sensor platforms (in particular more
stable, mass-produced optical/mechanical designs as well as data acquisition
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 623

and noise reduction techniques) will lead to near- or mid-infrared laser-based


optical instruments that can be operated by nontechnical personnel and be
manufactured at sufficiently low cost, leading to sensor networks that permit
both temporal and spatial trace-gas monitoring.
Seventeen trace-gas species that have been detected to date with QEPAS
sensor technology at Rice University are listed in Table 23.1. The highest NNEA
p
coefficient value measured to date using QEPAS is 1.9  10 9 cm 1W/ Hz.56
Most of the listed molecules have an NNEA coefficient (fourth column of the
table) comparable to the NNEA value of the conventional PAS technique
p
(2.2  10 9 cm 1W/ Hz).57 Molecules shown in this table were detected
based on near-infrared semiconductor diode laser sensors (gray section), and
interband cascade (IC) or quantum cascade (QC) laser sensors (black
section), respectively.

Table 23.1 Near-IR laser diode and mid-IR QCL-based QEPAS performance for 17 trace-
gas species. RH – relative humidity.

Molecule (host) Frequency (cm 1) Pressure (Torr) NNEA Power (mW) NEC (ppmv)
(cm 1W/Hz1/2)

H2O (N2)** 7306.75 60 1.9  10 9


9.5 0.09
HCN 6539.11 60 4.6  10 9
50 0.16
(air: 50% RH)*
C2H2 (N2)* 6523.88 720 4.1  10 9
57 0.03
NH3 (N2)* 6528.76 575 3.1  10 9
60 0.06
C2H4 (N2)* 6177.07 715 5.4  10 9
15 1.7
CH4 6057.09 760 3.7  10 9
16 0.24
(N2 þ 1.2%H2O)*
CO2 (breath  6361.25 150 8.2  10 9
45 40
50% RH)
H2S (N2)* 6357.63 780 5.6  10 9
45 5
HCl (N2 dry) 5739.26 760 5.2  10 8
15 0.7
CO2 (N2 þ 1.2% 4991.26 50 1.4  10 8
4.4 18
H2O)*
CH2O 2804.90 75 8.7  10 9
7.2 0.12
(N2: 75% RH)*
CO 2176.28 100 1.4  10 7
71 0.002
(N2 þ 2.2%
H2O)*
NO (N2 þ H2O) 1900.07 250 7.5  10 9
100 0.003
C2H5OH (N2)** 1934.2 770 2.2  10 7
10 90
SO2 1380.94 100 2.0  10 8
40 0.1
(N2 þ 2.4% H2O)*
N2O (air) 1275.492 230 5.3  10 8
123 0.007
CH4 (air) 1275.386 230 1.7  10 7
158 0.02
C2HF5 (N2)*** 1208.62 770 7.8  10 9
6.6 0.009
NH3 (N2)* 1046.39 110 1.6  10 8
20 0.006
*Improved microresonator.
**Improved microresonator and double optical pass through ADM.
***With amplitude modulation and metal microresonator (NNEA coefficient; NEC for available laser power and
t ¼ 1-s time constant, 18 dB/oct. filter slope).
624 Chapter 23

Improvements of existing sensing technologies, especially based on


photoacoustic spectroscopy, can be achieved by using novel, thermoelec-
trically cooled, room-temperature-operated, high-performance mid-infrared
QCLs. The development of narrow-linewidth QCLs is rapidly progressing,
and QC lasers with an internal grating producing 1 W of CW power are
already technically feasible.58,59 With significant advances in single-frequency
EC-QCL and DFB-QCL technology, it is expected that further progress
in their application in laser-based spectroscopic measurements will be
achieved.

Acknowledgments
The Rice University group acknowledges financial support from a National
Science Foundation (NSF) grant EEC-0540832 entitled “Mid-Infrared
Technologies for Health and the Environment (MIRTHE),” an NSF-ANR
award for international collaboration in chemistry: “Next generation of
compact infrared laser-based sensor for environmental monitoring
(NexCILAS)” and grant C-0586 from the Robert Welch Foundation.

References
1. J. Faist, F. Capasso, D. L. Sivco, A. L. Hutchinson, and A. Y. Cho,
“Quantum cascade laser,” Science 264, 553–556 (1994); J. Faist, Quantum
Cascade Lasers, Oxford University Press, Oxford (2013).
2. F. Capasso, “High-performance mid-infrared quantum cascade lasers,”
Opt. Eng. 49(11), 111102 (2010) [doi: 10.1117/1.3505844].
3. R. Q. Yang, “Infrared laser based on intersubband transitions in quantum
wells,” Superlattices and Microstructures 17, 77–83 (1995).
4. J. R. Meyer, I. Vurgaftman, R. Q. Yang, and L. R. Ram-Mohan, “Type-
II and type-I interband cascade lasers,” Electron. Lett. 32, 45–46 (1996).
5. K. Krzempek, R. Lewicki, L. Nähle, M. Fischer, J. Koeth, S. Belahsene,
Y. Rouillard, L. Worschech, and F. K. Tittel, “Continuous wave,
distributed feedback diode laser based sensor for trace-gas detection of
ethane,” Appl. Phys. B 106, 251–255 (2012).
6. A. Kosterev, L. Dong, D. Thomazy, F. Tittel, and S. Overby, “QEPAS
for chemical analysis of multi-component gas mixtures,” Appl. Phys. B:
Lasers and Optics 101, 649–659 (2010).
7. M. Jahjah, S. Belahsene, L. Nähle, M. Fischer, J. Koeth, Y. Rouillard, and
A. Vicet, “Quartz enhanced photoacoustic spectroscopy with a 3.38 mm
antimonide distributed feedback laser,” Opt. Lett. 37, 2502–2504
(2012).
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 625

8. L. Dong, R. Lewicki, R. J. Griffin, J. H. Flynn, B. L. Lefer, and


F. K. Tittel, “Atmospheric ammonia measurements in Houston, TX using
an external-cavity quantum cascade laser-based sensor,” Atmos. Chem.
Phys. 11, 97219733 (2011).
9. R. Lewicki, L. Dong, Y. Ma, and F. K. Tittel, “A compact CW quantum
cascade laser based QEPAS sensor for sensitive detection of nitric oxide,”
paper presented at the CLEO 2012 Conf. on Lasers and Electro-Optics
(2012).
10. L. Dong, V. Spagnolo, R. Lewicki, and F. K. Tittel, “Ppb-level detection
of nitric oxide using an external cavity quantum cascade laser based
QEPAS sensor,” Opt. Express 19, 24037–24045 (2011).
11. Y. Ma, R. Lewicki, M. Razeghi, and F. K. Tittel, “QEPAS based ppb-
level detection of CO and N2O using a high power CW DFB-QCL,” Opt.
Express 21, 1008–1019 (2013).
12. J. Waclawek, R. Lewicki, M. Jahjah, Y. Ma, E. Chrysostom, B. Lendl,
and F. K. Tittel, “A sensitive CW DFB quantum cascade laser based
QEPAS sensor for detection of SO2,” paper presented at the CLEO 2012
Conf. on Lasers and Electro-Optics (2012).
13. A. A. Kosterev, Y. A. Bakhirkin, R. F. Curl, and F. K. Tittel, “Quartz-
enhanced photoacoustic spectroscopy,” Opt. Lett. 27, 1902–1904 (2002).
14. A. Kosterev, G. Wysocki, Y. Bakhirkin, S. So, R. Lewicki, M. Fraser,
F. Tittel, and R. F. Curl, “Application of quantum cascade lasers to trace
gas analysis,” Appl. Phys. B: Lasers and Optics 90, 165–176 (2008).
15. M. Jahjah, A. Vicet, and Y. Rouillard, “A QEPAS based methane sensor
with a 2.35 mm antimonide laser,” Appl. Phys. B 106, 483–489 (2012).
16. R. F. Curl, F. Capasso, C. Gmachl, A. A. Kosterev, B. McManus,
R. Lewicki, M. Pusharsky, G. Wysocki, and F. K. Tittel, “Quantum
cascade lasers in chemical physics,” Chem. Phys. Lett. 487, 1–18 (2010).
17. D. M. Sonnenfroh, W. T. Rawlins, M. G. Allen, C. Gmachl, F. Capasso,
A. L. Hutchinson, D. L. Sivco, J. N. Baillargeon, and A. Y. Cho,
“Application of balanced detection to absorption measurements of trace
gases with room-temperature, quasi-cw quantum-cascade lasers,” Appl.
Opt. 40, 812–820 (2001).
18. E. G. Snyder, C. A. Munson, J. L. Gottfried, J. F. C. De Lucia,
B. Gullett, and A. Miziolek, “Laser-induced breakdown spectroscopy for
the classification of unknown powders,” Appl. Opt. 47, G80–G87 (2008).
19. J. Gottfried, F. Lucia, Jr., C. Munson, and A. Miziolek, “Laser-induced
breakdown spectroscopy for detection of explosives residues: A review of
recent advances, challenges, and future prospects,” Anal. Bioanal. Chem.
395, 283–300 (2009).
626 Chapter 23

20. J. Ye, L.-S. Ma, and J. L. Hall, “Ultrasensitive detections in atomic and
molecular physics: Demonstration in molecular overtone spectroscopy,”
J. Opt. Soc. Am. B 15, 6–15 (1998).
21. A. Foltynowicz, W. Ma, and O. Axner, “Characterization of fiber-laser-
based sub-Doppler NICE-OHMS for quantitative trace gas detection,”
Opt. Express 16, 14689–14702 (2008).
22. G. Litfin, C. R. Pollock, R. F. Curl, and F. K. Tittel, “Sensitivity
enhancement of laser absorption spectroscopy by magnetic rotation
effect,” J. Chem. Phys. 72, 6602–6605 (1980).
23. H. Ganser, W. Urban, and A. M. Brown, “The sensitive detection of NO
by Faraday modulation spectroscopy with a quantum cascade laser,”
Molec. Phys. 101, 545–550 (2003).
24. R. Lewicki, J. H. Doty, R. F. Curl, F. K. Tittel, and G. Wysocki,
“Ultrasensitive detection of nitric oxide at 5.33 mm by using external
cavity quantum cascade laser-based Faraday rotation spectroscopy,”
Proc. Natl. Acad. Sci. USA 106, 12587–12592 (2009).
25. M. J. Thorpe, D. D. Hudson, K. D. Moll, J. Lasri, and J. Ye, “Cavity-
ringdown molecular spectroscopy based on an optical frequency comb at
1.45-1.65 mm,” Opt. Lett. 32, 307–309 (2007).
26. M. J. Thorpe, D. Balslev-Clausen, M. S. Kirchner, and J. Ye, “Cavity-
enhanced optical frequency comb spectroscopy: Application to human
breath analysis,” Opt. Express 16, 2387–2397 (2008).
27. B. Hirst, G. Gibson, S. Gillespie, I. Archibald, O. Podlaha, K. D. Skeldon,
J. Courtial, S. Monk, and M. Padgett, “Oil and gas prospecting by ultra-
sensitive optical gas detection with inverse gas dispersion modelling,”
Geophys. Res. Lett. 31, L12115 (2004).
28. C. Wang and P. Sahay, “Breath analysis using laser spectroscopic
techniques: Breath biomarkers, spectral fingerprints, and detection
limits,” Sensors 9, 8230–8262 (2009).
29. A. Bari, V. Ferraro, L. R. Wilson, D. Luttinger, and L. Husain,
“Measurements of gaseous HONO, HNO3, SO2, HCl, NH3, particulate
sulfate and PM2.5 in New York, NY,” Atmospheric Environment 37,
2825–2835 (2003).
30. A. Rossi, R. Buffa, M. Scotoni, D. Bassi, S. Iannotta, and A. Boschetti,
“Optical enhancement of diode laser-photoacoustic trace gas detection by
means of external Fabry-Perot cavity,” Appl. Phys. Lett. 87, 041110
(2005).
31. A. Miklos, P. Hess, and Z. Bozoki, “Application of acoustic resonators in
photoacoustic trace gas analysis and metrology,” Rev. Sci. Instrum. 72,
1937–1955 (2001).
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 627

32. D. Hofstetter, M. Beck, J. Faist, M. Nägele, and M. W. Sigrist,


“Photoacoustic spectroscopy with quantum cascade distributed-feedback
lasers,” Opt. Lett. 26, 887–889 (2001).
33. M. G. da Silva, H. Vargas, A. Miklós, and P. Hess, “Photoacoustic
detection of ozone using a quantum cascade laser,” Appl. Phys. B: Lasers
and Optics 78, 677–680 (2004).
34. M. Pushkarsky, A. Tsekoun, I. G. Dunayevskiy, R. Go, and C. K. N. Patel,
“Sub-parts-per-billion level detection of NO2 using room-temperature
quantum cascade lasers,” Proc. Natl. Acad. Sci. USA 103, 10846–10849
(2006).
35. J. P. Lima, H. Vargas, A. Miklós, M. Angelmahr, and P. Hess,
“Photoacoustic detection of NO2 and N2O using quantum cascade
lasers,” Appl. Phys. B: Lasers and Optics 85, 279–284 (2006).
36. A. A. Kosterev, F. K. Tittel, D. V. Serebryakov, A. L. Malinovsky, and
I. V. Morozov, “Applications of quartz tuning forks in spectroscopic gas
sensing,” Rev. Sci. Instrum. 76, 43105–43105 (2005).
37. L. Dong, J. Wright, B. Peters, B. A. Ferguson, F. K. Tittel, and
S. McWhorter, “Compact QEPAS sensor for trace methane and ammonia
detection in impure hydrogen,” Appl. Phys. B 107, 459–467 (2012).
38. R. Lewicki, G. Wysocki, A. A. Kosterev, and F. K. Tittel, “Carbon
dioxide and ammonia detection using 2 mm diode laser based quartz-
enhanced photoacoustic spectroscopy,” Appl. Phys. B: Lasers and Optics
87, 157–162 (2007).
39. L. Dong, A. Kosterev, D. Thomazy, and F. Tittel, “QEPAS spectro-
phones: Design, optimization, and performance,” Appl. Phys. B: Lasers
and Optics 100, 627–635 (2010).
40. K. Liu, X. Y. Guo, H. M. Yi, W. D. Chen, W. J. Zhang, and X. M. Gao,
“Off-beam quartz-enhanced photoacoustic spectroscopy,” Opt. Lett. 34,
1594–1596 (2009).
41. K. Liu, H. Yi, A. A. Kosterev, W. Chen, L. Dong, L. Wang, T. Tan,
W. Zhang, F. K. Tittel, and X. Gao, “Trace gas detection based on off-
beam quartz enhanced photoacoustic spectroscopy: Optimization and
performance evaluation,” Rev. Sci. Instrum. 81, 103103 (2010).
42. M. Köhring, A. Pohlkötter, U. Willer, M. Angelmahr, and W. Schade,
“Tuning fork enhanced interferometric photoacoustic spectroscopy:
A new method for trace gas analysis,” Appl. Phys. B 102, 133–139 (2011).
43. A. A. Kosterev and J. H. Doty, III, “Resonant optothermoacoustic
detection: technique for measuring weak optical absorption by gases and
micro-objects,” Opt. Lett. 35, 3571–3573 (2010).
628 Chapter 23

44. P. Kluczynski, S. Lundqvist, S. Belahsene, and Y. Rouillard, “Tunable-


diode-laser spectroscopy of C2H2 using a 3.03 mm GaInAsSb/AlGaInAsSb
distributed-feedback laser,” Opt. Lett. 34, 3767–3769 (2009).
45. L. Nähle, S. Belahsene, M. von Edlinger, M. Fischer, G. Boissier,
P. Grech, G. Narcy, A. Vicet, Y. Rouillard, J. Koeth, and L. Worschech,
“Continuous-wave operation of type-I quantum well DFB laser diodes
emitting in 3.4 mm wavelength range around room temperature,”
Electron. Lett. 47, 46–47 (2011).
46. D. Herriott, H. Kogelnik, and R. Kompfner, “Off-axis paths in spherical
mirror interferometers,” Appl. Opt. 3, 523–526 (1964).
47. D. R. Herriott and H. J. Schulte, “Folded optical delay lines,” Appl. Opt.
4, 883 (1965).
48. F. J. Dentener and P. J. Crutzen, “A three-dimensional model of the
global ammonia cycle,” J. Atmosph. Chem. 19, 331–369 (1994).
49. C.-M. Lee, K. V. Bychkov, V. A. Kapitanov, A. I. Karapuzikov,
Y. N. Ponomarev, I. V. Sherstov, and V. A. Vasiliev, “High-sensitivity
laser photoacoustic leak detector,” Opt. Eng. 46, 064302 (2007) [doi:
10.1117/1.2748042].
50. J. Seinfeld and S. Pandis, Atmospheric Chemistry and Physics: From Air
Pollution to Climate Change, Wiley & Sons, New York (1998).
51. T. Risby and F. K. Tittel, “Current status of mid-infrared quantum and
interband cascade lasers for clinical breath analysis,” Opt. Eng. 49(11),
111123 (2010) [doi: 10.1117/1.3498768].
52. M. R. McCurdy, A. Sharafkhaneh, H. Abdel-Monem, J. Rojo, and
F. K. Tittel, “Exhaled nitric oxide parameters and functional capacity in
chronic obstructive pulmonary disease,” J. Breath Res. 5, 016003 (2011).
53. S. Schilt, L. Thévenaz, and P. Robert, “Wavelength modulation
spectroscopy: Combined frequency and intensity laser modulation,” Appl.
Opt. 42, 6728–6738 (2003).
54. X. Chao, J. B. Jeffries, and R. K. Hanson, “Wavelength-modulation-
spectroscopy for real-time, in situ NO detection in combustion gases with
a 5.2 mm quantum-cascade laser,” Appl. Phys. B 106, 987–997 (2012).
55. World Bank, Pollution Prevention and Abatement Handbook, 1998:
Toward Cleaner Production, The World Bank Group (1998).
56. A. A. Kosterev, F. K. Tittel, T. S. Knittel, A. Cowie, and J. D. Tate,
“Trace humidity sensor based on quartz-enhanced photoacoustic spectro-
scopy,” paper presented at Conf. on Laser Applications to Chemical,
Security and Environmental Analysis, Incline Village, Nevada (2006).
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 629

57. M. E. Webber, M. Pushkarsky, and C. K. N. Patel, “Fiber-amplifier-


enhanced photoacoustic spectroscopy with near-infrared tunable diode
lasers,” Appl. Opt. 42, 2119–2126 (2003).
58. M. Razeghi, Y. Bai, S. Slivken, and S. R. Darvish, “High-performance
InP-based midinfrared quantum cascade lasers at Northwestern Uni-
versity,” Opt. Eng. 49, 111103 (2010) [doi: 10.1117/1.3497623].
59. A. Lyakh, R. Maulini, A. G. Tsekoun, and C. K. N. Patel, “Progress in
high-performance quantum cascade lasers,” Opt. Eng. 49, 111105 (2010)
[doi: 10.1117/1.3506192].

Rafal Lewicki received his M.S. degree and Ph.D.


degree (cum laude) in electronics from Wroclaw
University of Technology, Wroclaw, Poland in 2005
and 2011, respectively. In December 2005, he joined the
Laser Science Group at Rice University, Houston,
Texas, as a visiting scholar, and currently he holds a
postdoctoral research associate position in the Depart-
ment of Electrical and Computer Engineering at
Rice University. His research interest is focused on trace gas detection using
laser-based spectroscopic techniques. He is currently working on the implemen-
tation of QCL-based sensor platforms enabling high-resolution, selective, and
real-time spectroscopic measurements, for applications in environmental
monitoring, medical diagnostics, and industrial process control. He has
coauthored 16 peer-reviewed journal publications, 4 book chapters, and more
than 40 conference presentations in the field of laser spectroscopy.

Mohammad Jahjah was awarded the Ph.D. degree in


electronics from Université Montpellier 2, Montpellier,
France in 2011 for his work focused on developing an
innovative spectroscopic multigas absorption system by
using tunable diode lasers emitting in the mid-infrared.
In 2012, Mohammad Jahjah joined Laser and Science
Group as a postdoctoral research associate at Rice
University in Houston, TX, under the supervision of
Professor Frank Tittel. Mohammad is currently working on setting up optical
sensors based on tunable lasers (QCLs, diode lasers, etc.) for health diagnostics
and environmental applications. Mohammad Jahjah has coauthored 8 peer-
reviewed journal publications, 2 book chapters, and 10 conference papers in the
fields of laser spectroscopy.
630 Chapter 23

Yufei Ma received his Master’s degree in physical


electronics in 2008 from Harbin Institute of Technol-
ogy, Harbin, China, and currently is working toward a
Ph.D. degree from the same university. In September
2011, he joined Prof. Tittel’s group at Rice University,
Houston, Texas, as a visiting student for one year. His
current research activities include QCLs and quartz-
enhanced photoacoustic spectroscopy-based trace gas
sensing for environmental applications and solid state laser technologies.

Przemyslaw Stefanski received his B.Sc. degree in


electronics from Wroclaw University of Technology,
Wroclaw, Poland, in 2012. Mr. Stefanski is currently in
his second year of the Advanced Applied Electronics
program and will receive a M.S. degree in 2013. In July
2012, Mr. Stefanski joined Rice University Laser
Science Group, Houston, Texas, as a visiting scholar
for one year. His current research interests include trace
gas sensing and analysis, as well as the design of analog and microcontroller-
based electronic systems. His present work is focused on the implementation
of optical sensor platforms based on different types of mid-infrared lasers,
employing various spectroscopic techniques for trace gas sensing.

Jan Tarka received the B.Sc. in electronics in Wroclaw


University of Technology, Poland, in 2012. In July 2012
he joined Laser Science Group at Rice University,
Houston, Texas as a visiting graduate student. His
research work is focused on developing QCL-based
trace gas sensor platforms for environmental applica-
tions. His current projects are related to ultrasensitive
detection of atmospheric species using absorption
spectroscopy with a multipass cell and Faraday rotation spectroscopy
techniques.
Current Status of Mid-infrared Semiconductor-Laser-based Sensor Technologies… 631

Manijeh Razeghi received the Doctorat d'État ès


Sciences Physiques from the Université de Paris,
France, in 1980. After heading the Exploratory
Materials Lab at Thomson-CSF (France), she joined
Northwestern University, Evanston, Illinois, as a
Walter P. Murphy Professor and Director of the Center
for Quantum Devices in fall 1991, where she created the
undergraduate and graduate program in solid state
engineering. She is one of the leading scientists in the field of semiconductor
science and technology, pioneering in the development and implementation of
major modern epitaxial techniques such as MOCVD, VPE, gas MBE, and
MOMBE for the growth of entire compositional ranges of III-V compound
semiconductors. She is on the editorial board of many journals such as
Journal of Nanotechnology, and Journal of Nanoscience and Nanotechnology,
and is an Associate Editor of Opto-Electronics Review. She is on the
International Advisory Board for the Polish Committee of Science and is an
Adjunct Professor at the College of Optical Sciences of the University of
Arizona, Tucson, Arizona. She has authored or coauthored more than 1000
papers, more than 30 book chapters, and fifteen books, including the
textbooks Technology of Quantum Devices, Springer Science þ Business
Media (2010) and Fundamentals of Solid State Engineering, 3rd Edition,
Springer Science þ Business Media (2009). Two of her books, MOCVD
Challenge Vol. 1, IOP Publishing Ltd. (1989) and MOCVD Challenge Vol. 2,
IOP Publishing Ltd. (1995), discuss some of her pioneering work in
InP-GaInAsP and GaAs-GaInAsP based systems. The MOCVD Challenge,
Second ed., Taylor & Francis/CRC Press (2010) represents the combined
updated version of Volumes 1 and 2. She holds 50 U.S. patents and has given
more than 1000 invited and plenary talks. Her current research interest is in
nanoscale optoelectronic quantum devices.
Dr. Razeghi is a Fellow of MRS, IOP, IEEE, APS, SPIE, OSA, a Fellow
and Life Member of the Society of Women Engineers (SWE), a Fellow of the
International Engineering Consortium (IEC), and a member of the
Electrochemical Society, ACS, AAAS, and the French Academy of Sciences
and Technology. She received the IBM Europe Science and Technology Prize
in 1987, the Achievement Award from the SWE in 1995, the R. F. Bunshah
Award in 2004, and many best-paper awards.
632 Chapter 23

Frank K. Tittel is the J. S. Abercrombie Professor of


Electrical & Computer Engineering at Rice University
and a joint faculty appointment in the Bioengineering
Department. He obtained his B.Sc. and Ph.D. in
physics from Oxford University in 1955 and 1959,
respectively. From 1959 to 1967 he was a research
physicist with General Electric Research & Develop-
ment Center, Schenectady, New York. Since 1967, he
has been on the faculty at Rice University. Current research interests include
various aspects of quantum electronics, specifically laser spectroscopy and
laser applications in environmental monitoring, industrial process control,
and medicine. He has published more than 370 technical papers and holds 9
U.S. patents in these areas. Dr. Tittel is a Fellow of the IEEE, the Optical
Society of America, and the American Physical Society. He received a Doctor
of Science degree from Szeged University in 1993 and an honorary Professor
appointment from Harbin Institute of Technology in 1986.
Chapter 24
Application of Quantum
Cascade Lasers for Safety
and Security
Ulrike Willer and Mario Mordmüller
Institute for Energy Research and Physical Technologies (IEPT) and Energy
Research Center of Lower Saxony (EFZN), Clausthal University of Technology,
Clausthal-Zellerfeld, Lower Saxony, Germany

Wolfgang Schade
Institute for Energy Research and Physical Technologies (IEPT) and Energy
Research Center of Lower Saxony (EFZN), Clausthal University of Technology,
Clausthal-Zellerfeld, Lower Saxony, Germany and Fraunhofer Heinrich Hertz
Institute (HHI), Goslar, Germany

24.1 Introduction
24.2 Pulsed Laser Fragmentation
24.3 Experimental Setup
24.4 Results
24.5 Discussion
24.6 Conclusions
References

24.1 Introduction
Spectroscopic techniques are widely used in a variety of applications due to
their pre-eminent properties: They allow in situ and online tracing of
compounds, require, in most cases, no sample preparation, and can be used to
set up rugged and easy-to-use sensor devices. In contrast to chemical sensing
methods, the results are available within seconds, making these techniques
feasible for the monitoring of distinctive species as well as the accurate

633
634 Chapter 24

determination of their concentration. While the latter is important for


industrial applications (e.g., for the identification of concentrations of process
gases), monitoring of species and the determination of whether they are
present in a concentration larger than a preset threshold value is fundamental
for security and safety applications.
Typically, sensing is performed in the gas phase, using gas cells through
which the analyte is directed, an open optical path, or some kind of standoff
configuration. A sensor containing a gas cell has the advantage that the
interaction length can be enlarged using multipass cells, leading to a higher
sensitivity and lower detection limits. However, for security applications, the
safety of the operator must be taken into account; therefore, at least remote
detection is required. This means that the personnel as well as the equipment
are at a safe distance from the sample to be analyzed. Far better, yet, is a
standoff configuration, where both operator and sensor equipment are at a
safe distance. The desired distance depends on the application and ranges
from 1 to 20 m as a minimum separation.
For the detection of explosives, another material property needs to be
taken into account: The low vapor pressure of nitrogen-based explosives leads
to extremely low concentrations within the gas phase. Table 24.1 summarizes
the equilibrium concentrations for some nitrogen-based explosives. The
respective temperatures are also given because vapor pressure rises
significantly with temperature.
Furthermore, even these low concentrations might not be reached if only
trace amounts of the explosives are present and the equilibrium state is not
settled. In this chapter, pulsed laser fragmentation (PLF) and
subsequent detection of selected generated molecular fragments within the
mid-infrared spectral range is described as a method to circumvent
this problem and detect nitrogen-based explosives despite their low
concentration in the gas phase.

Table 24.1 Equilibrium concentrations for some nitrogen-based explosives.

RDX* 25 8C 6 ppt Ref. 1


PETN** 25 8C 18 ppt Ref. 1
TNT*** 25 8C 9.4 ppb Ref. 1
TNT 25 8C 5.19 ppb Ref. 2
2,4 DNT**** 25 8C 21.8 ppb Ref. 3
NG***** 26 8C 580 ppb Ref. 1
Ammonium nitrate 25 8C 12 ppb Ref. 1
*Research Department Explosive (hexogen, cyclonite, or cyclotrimethylenetrinitramine)
**pentaerythritol tetranitrate
***trinitrotoluene
****2,4 dinitrotoluene
*****nitroglycerine
Application of Quantum Cascade Lasers for Safety and Security 635

24.2 Pulsed Laser Fragmentation


Laser-based photodissociation is used to actively generate decay products that
are easier to detect than the parent molecules, in this case, the explosive. In
this sense, it is rather a sample pretreatment than an actual sensing method.
Various techniques are subsequently applied to detect these fragments.
Among the optical detection methods, resonance-enhanced multiphoton
ionization (REMPI),4 6 laser-induced fluorescence (LIF),4,7 11 and mid-
infrared (MIR) absorption spectroscopy12,13 are the most prominent, as
recently discussed in Ref. 14. Simeonsson and Sausa15 have reviewed laser-
based photodissociation and fragment detection techniques in many fields of
chemical analysis.
A laser, typically emitting in the UV or visible spectral region, is used to
induce photodissociation, i.e., to fragment large molecules into smaller
molecular fragments. These molecules are usually clearly linked with their
parent molecule and can be used as characteristic markers. Since they are
composed of fewer atoms, their absorption features are more structured, and
absorption lines are narrow, enabling easier, selective detection. Furthermore,
these molecular fragments can be generated to be present in much higher
concentrations in the gas phase than their precursors, thus overcoming the
problem of low concentrations due to small vapor pressures. The detection of
nitrogen-based explosives is pursued with NO and NO2 as markers.
Photodissociation can be achieved by either short-wavelength laser pulses
with high photon energies or long-wavelength laser pulses with low photon
energies using multiphoton absorption. The power needs to be carefully
chosen to allow photodissociation but minimize ionization, plasma genera-
tion, and pyrolysis, all of which can occur when a powerful laser interacts with
a solid.

24.3 Experimental Setup


Two different experimental setups are described in this section. Pilot
examinations have been carried out with a laboratory setup using a cell and
a detector placed behind it. A sketch is given in Fig. 24.1. A frequency-
doubled Nd:YAG laser is used for photofragmentation and is aimed onto the
surface of a pellet containing the explosive. Fragments are generated and are
present in the vicinity of the sample, i.e., within the 38-cm-long cell. The cell is
evacuated prior to fragmentation to a pressure between 1 and 10 mbar, and
the fragmentation laser is switched on for 1 min. The probing lasers are
aligned normal to the fragmentation laser to travel through the cell and onto a
mercury cadmium telluride (MCT) detector.
For the detection of NO and NO2, two distributed-feedback quantum
cascade lasers (DFB-QCLs, Alpes lasers #sb526 and #sb1846) at 1900 cm 1
636 Chapter 24

Figure 24.1 Experimental setup for laboratory measurements (QCL – quantum cascade
laser, DAQ – data acquisition).

and 1600 cm 1 are used, respectively. They are operated at a repetition rate of
120 kHz and exhibit quasi-continuous-wave powers in the microwatt range.
The second setup shows a standoff configuration (Fig. 24.2), in which the
probing lasers are aimed at the gas volume where the generated nitrous oxides
are present, and the reflected and backscattered light is collected with a
telescope and imaged onto the detector. Here, for practical reasons, the
chemical reaction between nitric acid and copper has been used to generate
NO and NO2 instead of a fragmentation laser (3Cu þ 8HNO3 ! 2NO þ
3Cu(NO3)2 þ 4H2O and 2HNO3 þ NO ! H2O þ 3NO2). The setup was
realized outdoors to provide the distance of 20 m as well as for ventilation,
thus, omitting the need for exhaust aspiration.

Figure 24.2 Experimental setup in standoff configuration.


Application of Quantum Cascade Lasers for Safety and Security 637

24.4 Results
Pilot measurements using chemiluminescence to analyze the plumes generated
by photofragmentation revealed that energetic material and nonenergetic
material show different ratios of NO and NO2, making a discrimination of
harmful and harmless substances possible.12 However, chemiluminescence
measurements involve bulky equipment and, more importantly, the generated
gas is led via a hose to the analyzer, presenting the risk of chemical reaction
before analysis. Furthermore, a setup in standoff configuration is impractical.
In contrast, by using two suitable QCLs for the detection of the nitrous
oxides, an in situ measurement after fragmentation is possible, omitting these
disadvantages. The two QCLs were used for conventional MIR absorption
spectroscopy. While this typically ensures a quantitative, selective, and sensitive
analysis, here, only a qualitative evaluation is pursued because the dimension of
the generated plume and thus the optical path is unknown. Furthermore, a
homogeneous spreading within the plume cannot be expected; thus, Beer–
Lambert’s law is not easily applied. Figure 24.3 shows the extinction caused by
NO and NO2 generated by PLF of (a) RDX, (b) TNT, and (c) PETN measured
as the two probing lasers are tuned around their respective peak frequency by
application of a voltage ramp. These results have been achieved using the setup
given in Fig. 24.1 with 1-min fragmentation duration at l ¼ 532 nm and pulse
energy E ¼ 87 mJ and E ¼ 27 mJ, respectively.
A qualitative analysis of these measurements can rely either on the heights
of the extinction peaks or their area. Both methods were pursued, and both
are suitable to achieve a discrimination of the substances. As can be seen in
Fig. 24.4(a) for the area and (b) for the peak height, distinction of PETN from
the other explosives is possible by plotting the respective values of NO2 versus
those of NO. For the discrimination of RDX and TNT, some ambiguity
remains, as the correlation ellipses show.
With this procedure, a database can be generated for different explosives
and nonenergetic materials. This graphical data presentation provides the
opportunity to identify unknown substances by image recognition.
To demonstrate the appropriateness of the simultaneous detection of NO
and NO2 with QCLs in a standoff configuration, the setup described in
Fig. 24.2 was used. Here, the nitrous oxides were not generated by PLF but
were provided by the chemical reaction of nitrous acid with copper. The
instrument was placed at a distance of 20 m from the beaker, and the light that
was backscattered and reflected by a metal plate positioned behind the beaker
was collected with a telescope and focused onto the detector. Figures 24.5(a)
and (b) show the measured extinction for NO2 and NO, respectively. Since the
generated concentrations of the gases as well as their distribution in the gas
phase above the beaker and the dimension of the volume with increased
concentration are unknown (especially when taking the influence of wind into
638 Chapter 24

Figure 24.3 Extinction for cell measurements of NO and NO2 after fragmentation of RDX
(a), TNT (b) and PETN (c), respectively.
Application of Quantum Cascade Lasers for Safety and Security 639

Figure 24.4 Discrimination of different explosives by the content of generated NO and NO2
based on (a) peak area and (b) peak height.

Figure 24.5 Extinction of (a) NO and (b) NO2 produced by the chemical reaction of nitric
acid and copper measured in standoff configuration.

account for the outdoor setup), a quantitative analysis and comparison with
theoretical values is not possible. However, in the lower traces, a simulation is
given for an extinction caused by absorption on a path length of 10 cm at
atmospheric pressure. The concentration was a free parameter; similar peak
extinction values were reached for concentrations of 150-ppm NO2 and 3700-
ppm NO, respectively. From the chemical reaction, a higher NO concentra-
tion is expected, supporting the derived result.

24.5 Discussion
With the analysis of generated molecular fragments, in this case NO and NO2,
subsequent to PLF, it is possible to discriminate different explosives. The
640 Chapter 24

methodology enables standoff measurements, as demonstrated with an


outdoor setup with a distance of 20 m between sample and equipment. Since
the interaction path length and distribution of the generated gases are not
measurable, only a qualitative analysis is possible. However, by the ratio of
the signals caused by NO and NO2, it is possible to decide if a specific
substance is present, which is the challenge for security applications. However,
several issues still need to be addressed in further investigations: The
background material and its reflectivity have major influence on signal
strength, signal to noise ratio, and, hence, sensing limit. Therefore, traces of a
substance might be detectable on a highly reflecting material but be concealed
on another background material. Furthermore, intensity fluctuations of the
lasers and drifting off the absorption lines need to be omitted, requiring either
a scanning or locking to the respective line. Application of a broader tuning
range might be advantageous, enabling also direct measurement of more
substances than the two fragmentation products. Figure 24.6 gives an example
of a measurement covering a major part of the NO2 absorption band (from
1570 cm 1 to 1635 cm 1). This measurement was derived with an external-
cavity QCL (LaserTune, Block Engineering). The measured extinction is in
good agreement with the simulation based on the respective line parameters
from the HITRAN database16 and a preset resolution of 1 cm 1.
Additionally, absorption bands of triacetone triperoxide (TATP) are
present within the tuning range of this laser. TATP is a peroxide-based
explosive that is widely used by terrorists because it is easily fabricated from

Figure 24.6 Measurement and simulation for the extinction caused by NO2 for a broad
spectral region and a resolution of 1 cm1. (See color plate section.)
Application of Quantum Cascade Lasers for Safety and Security 641

readily available ingredients; thus, there is a high demand for its sensing. In
contrast to nitrogen-based explosives, it possesses a high vapor pressure and is
present in the gas phase in a concentration that allows direct measurement.
Hence, not only the detection of nitrogen-based explosives subsequent to PLF
would be feasible but also the detection of TATP, with the same instrument.

24.6 Conclusions
Quantum cascade lasers are a valuable tool for the detection of gaseous
fragmentation products of explosives generated by pulsed laser fragmentation.
This method to circumvent the difficulties of their spectroscopic detection in
the gas phase caused by their extremely small vapor pressures enables the
discrimination of different harmful substances and their distinction from
harmless matter. As for all methods applied in security applications, the
demand for reliability and sensitivity as well as the prevention of false-positive
measurement results asks for a certain degree of redundancy and, thus, for the
combination of different sensing methods. An example of this combination
includes laser-induced breakdown spectroscopy, Raman spectroscopy, or
MIR absorption spectroscopy applied subsequently to PLF in one standoff
sensor device, as pursued within the European Union 7th framework project
OPTIX.17

References
1. B. C. Dionne, D. P Rounbehler, E. K. Achter, J. R. Hobbs, and
D. H. Fine, “Vapor pressure of explosives,” J. Energetic Mat. 4(1–4),
447–472 (1986).
2. J. Oxley, J. Smith, K. Shinde, and J. Moran, “Determination of the vapor
density of triacetone triperoxide (TATP) using a gas chromatography
headspace technique,” Propellants, Explosives, Pyrotechnics 30(2),
127–130 (2005).
3. A. Freedman, P. L. Kebabian, Z. Li, W. A. Robinson, and J. C.
Wormhoudt, “Apparatus for determination of vapor pressures at ambient
temperatures employing a Knudsen effusion cell and quartz crystal
microbalance,” Meas. Sci. Technol. 19(12), 125102 (2008).
4. V. Swayambunathan, G. Singh, and R. C. Sausa, “Photofragmentation:
Fragment detection and pyrolysis—Laser-induced fluorescence studies on
energetic materials,” Appl. Opt. 38(30), 6447 (1999).
5. J. Cabalo and R. C. Sausa, “Detection of hexahydro-1,3,5-trinitro-1,3,5-
triazine (RDX) by laser surface photofragmentation: Fragment detection
spectroscopy,” Appl. Spectroscopy Rev. 57(9), 1196–1199 (2003).
642 Chapter 24

6. J. Cabalo and R. C. Sausa, “Trace detection of explosives with low vapor


emissions by laser surface photofragmentation: Fragment detection
spectroscopy with an improved ionization probe,” Appl. Opt. 44(6),
1084 (2005).
7. D. Wu, J. P. Singh, F. Y. Yueh, and D. L. Monts, “2,4,6-Trinitrotoluene
detection by laser-photofragmentation-laser-induced fluorescence,” Appl.
Opt. 35(21), 3998 (1996).
8. G. M. Boudreaux, T. S. Miller, A. J. Kunefke, J. P. Singh, F.-Y. Yueh,
and D. L. Monts, “Development of a photofragmentation laser-induced-
fluorescence laser sensor for detection of 2, 4, 6-trinitrotoluene in soil and
groundwater,” Appl. Opt. 38(9), 1411 (1999).
9. T. Arusi-Parpar, D. Heflinger, and R. Lavi, “Photodissociation followed
by laser-induced fluorescence at atmospheric Pressure and 24 8C:
A unique scheme for remote detection of explosives,” Appl. Opt. 40(36),
6677 (2001).
10. D. Heflinger, T. Arusi-Parpar, Y. Ron, and R. Lavi, “Application of a
unique scheme for remote detection of explosives,” Opt. Comm. 204, 327–
331 (2002).
11. T. Arusi-Parpar and I. Levy, “Remote detection of explosives by
enhanced pulsed laser photodissociation/laser-induced fluorescence meth-
od,” in Stand-off Detection of Suicide Bombers and Mobile Subjects,
H. Schubert and A. Rimski-Korsakov, Eds., NATO Security through Science
Series B: Physics and Biophysics, Springer, Dordrecht, pp. 59–68 (2006).
12. C. Bauer, P. Geiser, J. Burgmeier, G. Holl, and W. Schade, “Pulsed laser
surface fragmentation and mid-infrared laser spectroscopy for remote
detection of explosives,” Appl. Phys. B 85(2–3), 251–256 (2006).
13. C. Bauer, A. Sharma, U. Willer, J. Burgmeier, B. Braunschweig,
W. Schade, S. Blaser, L. Hvozdara, A. Müller, and G. Holl, “Potentials
and limits of mid-infrared laser spectroscopy for the detection of
explosives,” Appl. Phys. B 92(3), 327–333 (2008).
14. M. Mordmueller, U. Willer, and W. Schade, “Detection of Explosives
Using Pulsed Laser Fragmentation and MIR Spectroscopy,” in Encyclo-
pedia of Analytical Chemistry, R. A. Meyers, Ed., John Wiley & Sons,
Chichester, UK (2006).
15. J. B. Simeonsson and R. C. Sausa, “A critical review of laser
photofragmentation and fragment detection techniques for gas-phase
chemical analysis,” Appl. Spectroscopy Rev. 31(1–2), 1–72 (1996).
16. L. S. Rothman, I. E. Gordon, A. Barbe, D. C. Benner, P. F. Bernath,
M. Birk, V. Boudon, L. R. Brown, A. Campargue, J.-P. Champion,
K. Chance, L. H. Coudert, V. Dana, V. M. Devi, S. Fally, J.-M. Flaud,
R. R. Gamache, A. Goldman, D. Jacquemart, I. Kleiner, N. Lacome,
Application of Quantum Cascade Lasers for Safety and Security 643

W. J. Lafferty, J.-Y. Mandin, S. T. Massie, S. N. Mikhailenko,


C. E. Miller, N. Moazzen-Ahmadi, O. V. Naumenko, A. V. Nikitin,
J. Orphal, V. I. Perevalov, A. Perrin, A. Predoi-Cross, C. P. Rinsland,
M. Rotger, M. Šimečková, M. A. H. Smith, K. Sung, S. A. Tashkun,
J. Tennyson, R. A. Toth, A. C. Vandaele, and J. Vander Auwera,
“The HITRAN 2008 molecular spectroscopic database,” J. Quantitative
Spectroscopy & Radiative Transfer 110(9–10), 533–572 (2009).
17. The European Union, Optical Technologies for the Identification of
explosives (OPTIX), http://www.fp7-optix.eu/ (2012).

Ulrike Willer received her Diploma in physics from


Christian-Albrechts University Kiel, Kiel, Germany, and
her Ph.D. degree from Clausthal University of Tech-
nology, Clausthal-Zellerfeld, Germany. Since 2001, she
has been a member of the scientific staff at Clausthal
University of Technology. Her research interests include
mid-infrared and photoacoustic spectroscopy, evanescent-
field sensing, and the development of sensor devices.

Mario Mordmüller received the Diploma in physics


from Clausthal University of Technology, Clausthal-
Zellerfeld, Germany. Since 2008, he has been working as
a Ph.D. student under Wolfgang Schade in the depart-
ment of Applied Photonics of the IEPT at Clausthal
University of Technology. His research topic is quantum-
cascade-laser-based mid-infrared spectroscopy and the
development of corresponding sensor devices.

Wolfgang Schade received the Ph.D. degree from


Christian-Albrechts University Kiel, Kiel, Germany,
in 1987. He is currently a full professor at the Institute
for Energy Research and Physical Technologies,
Clausthal University of Technology, Clausthal-Zeller-
feld, Germany, and the Head of the Department of
Fiber Optical Sensor Systems of the Fraunhofer
Heinrich Hertz Institute, Energy-Campus, Goslar,
Germany. His research interests include tailored material processing with
femtosecond-laser radiation, development of fiber-based optical sensor
systems, and laser spectroscopy.
Chapter 25
Broadband-Tunable External-
Cavity Quantum Cascade
Lasers for Spectroscopy
and Standoff Detection
Frank Fuchs, Stefan Hugger, Quankui Yang, Jan Jarvis, Michel Kinzer, Ralf
Ostendorf, Christian Schilling, Rachid Driad, Wolfgang Bronner, Andreas Bächle,
Rolf Aidam, and Joachim Wagner
Fraunhofer Institute for Applied Solid State Physics (IAF), Freiburg, Germany

25.1 Introduction
25.1.1 Standoff detection of explosives
25.1.2 In line spectroscopy of drinking water
25.2 Eye Safety in the Mid infrared Spectral Region
25.3 External Cavity Quantum Cascade Laser
25.3.1 Broadband tuning
25.3.2 Fast wavelength tuning
25.4 Standoff Detection of Explosives
25.4.1 Backscattering spectroscopy
25.4.2 Samples
25.5 Hyperspectral Data Analysis
25.5.1 Adaptive matched subspace detector
25.5.2 Background endmember extraction
25.5.3 Reference spectra
25.5.4 Experimental results
25.5.5 Larger distances
25.6 Spectroscopy of Hazardous Chemicals in Drinking Water
25.7 Conclusions
Acknowledgments
References

645
646 Chapter 25

25.1 Introduction
Broadband-tunable external-cavity quantum cascade lasers (EC-QCLs) are
established as attractive light sources for mid-infrared (MIR) ‘finger print’
molecular spectroscopy, e.g., for detection and identification of chemical
compounds. In this chapter we report on two prototypical examples of the use
of EC-QCLs for the spectroscopic detection of hazardous substances: standoff
detection of explosives and sensing of hazardous chemicals in water. In both
application scenarios, a multidisciplinary approach is applied. Our standoff
system allows the contactless identification of traces of various common
explosives over distances of several meters. Besides laser power and tuning
range, sophisticated hyperspectral image analysis is essential for providing high
sensitivity at a low false-alarm rate. For this purpose, we also address the
question of eye safety. Furthermore, because of the high spectral irradiance, the
QCL enables measurement on systems with extremely low light transmission. A
QCL-based infrared spectroscopic system allows extension of the optical path
length in water by a factor of ten. This feature enables an autonomous
measurement mode in a real-world environment using a simple transmission
cell for continuous water flow, avoiding the need for manual sampling.
QCLs are unipolar mid- to far-infrared light sources based on electrons
making intersubband transitions within the conduction band.1 In the past few
years, QCLs have experienced tremendous progress due to the improvement
in the design of both the active regions and waveguide structures. Advances
are also reported in the field of fabrication technology as well as in mounting
and encapsulating technology. The advances include room-temperature
continuous-wave (CW) operation, high output power, high wall-plug
efficiency, and wide spectral coverage.2 4 Exploiting the benefits of mature,
established materials, the emission wavelengths of QCLs can be engineered
from 3.3 mm5 to about 12 mm using InGaAs/AlInAs semiconductor
heterostructures, grown by lattice matching or strain compensation on InP
substrates.6 8 The high versatility and robustness of QCLs makes them ideal
radiation sources for real-world applications.
The MIR-wavelength range is of utmost importance for spectroscopic
applications, as the fundamental rotational–vibrational transitions of most
organic molecules are found in this energy range. Fourier transform infrared
spectroscopy (FTIR) has long been a standard method in analytical chemistry
for material analysis and identification. For certain types of applications,
spectroscopic methods based on laser sources offer superior possibilities in
comparison to the use of an FTIR setup. This is especially the case for high-
resolution spectroscopy in the gas phase, as the linewidth of a CW laser source
is much smaller than the resolution achievable even for FTIRs with very long
mirror paths. Other aspects include the much higher spectral power density
offered by a laser and the possibility of guiding a collimated laser beam over
large distances, a feature that is crucial for standoff measurement schemes.
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 647

Furthermore, efficient coupling of light into optical fibers is possible only


when using a laser light source.
In this chapter, we demonstrate the advantages offered by EC-QCLs for
field applications using two prototypical examples, both aimed at the
detection of hazardous substances. The presented techniques might also
prove to be useful in a much broader area of material identification.

25.1.1 Standoff detection of explosives


The first application to be discussed is contactless detection and identification of
solid traces of explosives on surfaces over distances of several meters. There is a
strong demand for standoff detection of explosives in noncooperative situations
where it is not possible to take samples. However, until now no established
technique is available to accomplish this task. Laser-based spectroscopic techniques
bear high potential for detection over large distances with high sensitivity.9 16
Our approach employs QCL-based backscattering spectroscopy. Surfaces
contaminated with explosive residues are analyzed by illuminating them with the
EC-QCL and collecting the diffusely backscattered light. From the characteristic
dependence of the backscattered light on the illumination wavelength, we are
able to detect and identify the explosives with excellent discrimination against the
substrate or other nonhazardous contaminations. Broadly tunable QCLs allow
the identification of a large number of relevant explosives, as most of them show
several absorption features in the range of 1000 cm 1 to 1400 cm 1.
In Fig. 25.1 we show the reflectance spectra of some explosives measured
with an FTIR setup. In addition, we present the transmission of the atmosphere
for an optical path length of 8 m. It becomes evident that, at a shorter
wavelength than 7.3 mm, the backscattering spectra will be strongly deteriorated
by the absorption of water and CO2. In principle, similar measurements are
possible in the second atmospheric window at wavelengths between 3 and 5 mm.
However, in general, the spectral features of most organic compounds become
less structured at shorter wavelengths. In addition, the absorbance strength is
much lower in comparison to the fundamental fingerprint absorbance in the
infrared spectral range around 6–12 mm.

25.1.2 In-line spectroscopy of drinking water


The second application to be discussed in this paper is in-line analysis of
drinking water. Several extremely sensitive and well established off-line
analytical techniques exist that are routinely applied to ensure the quality of
drinking water. However, these methods require transportation of samples to
off-site laboratories. Therefore, the reaction time to verify the chemical nature
of the contamination in the water supply by dangerous or toxic chemicals is
typically at least one or several days. Thus, there also exists a demand for in-line
and on-site detection systems that offer both continuous surveillance and fast
feedback. Moderate sensitivity levels are sufficient here, as the aim is not to
648 Chapter 25

Figure 25.1 Reflectance spectra measured with FTIR spectroscopy of 4 explosives from
6.7 to 11 mm. Several strong, characteristic absorption bands suitable for identification of the
compounds lie in this spectral range. For wavenumbers <1350 cm1, the atmosphere is
sufficiently transparent for standoff detection using laser light at these frequencies. [ANFO –
ammonium nitrate fuel oil, PETN – pentaerythritol tetranitrate, RDX – Research Department
Explosive (cyclotrimethylenetrinitramine), TNT – trinitrotoluene.] (See color plate section.)

evaluate the water quality with very high sensitivity, but to provide an early
warning system for harmful concentrations of toxic substances in case of critical
incidents or terror attacks. Ideally, such systems should seamlessly fit into
existing infrastructures and run maintenance free over extended time periods.
The high spectral power density of a laser source allows IR spectroscopic
measurements on highly absorbing water films with thicknesses about 10
larger compared to traditional FTIR spectroscopy. Therefore, a continuous
flow of water through the optical cell is already possible at moderate
pressures, and the risk of frequent clogging of a measurement cell that has
been in use for longer times is greatly reduced. Consequently, the laser source
will enable a maintenance-free measurement setup with a small footprint at
reasonable costs. The spectroscopic nature of this approach allows not only
detection of the presence of dangerous substances, but also their identification
and, in addition, their concentration. This statement also holds for the case of
explosives detection that is outlined above.

25.2 Eye Safety in the Mid-infrared Spectral Region


One major advantage of using the MIR rather than the near-infrared (NIR)
or the ultraviolet (UV) spectral range is that laser radiation at much higher
power levels can be tolerated. In Fig. 25.2, the maximum permissible exposure
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 649

Figure 25.2 Maximum permissible exposure (MPE) as power density versus exposure
time for various wavelengths according to IEC 6082517. (reprinted from Ref. 16).

(MPE) is shown for different wavelengths from the UV to the IR spectral


region according to IEC 60825 (from Ref. 17). Here, the maximum
permissible power density is plotted as a function of exposure time. Beyond
wavelengths of 3 mm, laser intensities of up to 100 mW/cm2 can be tolerated
for long exposure times. This is mainly due to the fact that the human eye is
not transparent for radiation in the infrared spectral range. The peak power
level can even be increased if lower duty cycles are employed. For standoff
Raman techniques, visible and near-UV lasers are predominantly used,
resulting in lower tolerated-intensity levels. One exception is constituted by
wavelengths between 320 nm and 400 nm. Even though the human eye is
transparent for radiation in this range, the light can no longer be efficiently
focused on the retina; hence, eye safety is re-established.17 19 Finally, the
aspect of concealed scanning using invisible infrared radiation might be
considered as an advantage in certain situations.
Spectroscopic applications require the possibility of tuning the laser’s
emission wavelength. In the gas phase, substances to be investigated have
narrow absorption lines that are often well separated from those of possible
interferants. Distributed-feedback (DFB) lasers that can be tuned by varying
the temperature of the active layer by varying the driving current or the
heatsink temperature are often used for this purpose. Due to the limited
tuning range of only a few wavenumbers, a DFB laser chip is specific for a
certain molecule (or rather, a certain absorption line of this molecule). In
contrast, absorption bands of solids and liquids (or substances dissolved
therein) are much broader and are therefore expected to often overlap with
those from other materials that may also be present. For unambiguous
650 Chapter 25

identification, a single absorption feature is usually not sufficient; therefore,


laser sources with broad tuning capability are required. In an external-cavity
configuration, the laser can be tuned over its entire gain spectrum, which can
exceed 30% of the center wavelength for sophisticated QCL designs.6,7
Nevertheless, compared to FTIR spectrometers, a single tunable QCL is
inferior in respect to spectral bandwidth. However, ongoing miniaturization
of EC-QCL modules enables the construction of a source containing several
EC-QCLs, covering the entire MIR while still retaining a small footprint of
the device.

25.3 External-Cavity Quantum Cascade Laser


Unlike traditional bipolar diode lasers, which are based on electrons in the
conduction band recombining with holes in the valence band, the light
emission in a QCL is generated by only one type of carrier, i.e., electrons
making intersubband transitions between quantized states within the
conduction band. We speak of a unipolar laser. For the case of diode lasers,
the valence band and the conduction band have opposite curvature in k-space;
therefore, diode lasers usually exhibit large linewidth. In contrast, for the
QCLs, the initial and final subbands have the same curvature (neglecting
nonparabolicity); therefore, the linewidth of a QCL depends only indirectly on
the subband populations through collision processes and is much smaller than
that of a diode laser.

25.3.1 Broadband tuning


Besides the advantage of an intrinsically narrow linewidth, QCLs are also
appropriate for broadband tuning. There are mainly two arguments for the
application of QCLs for broadband tuning. The first argument is that a QCL
has a symmetric gain spectrum; therefore, the active layer is transparent on
either side of its gain spectrum, compared to a diode laser, whose gain
spectrum is asymmetric. For a diode laser, the material is transparent only at
the lower side of the transition energy, while at the higher side of the transition
energy, the active layers are highly absorptive. However, for a QCL, the active
medium is transparent for light with energy either higher than the transition
energy (plus the half-linewidth of the gain spectrum) or lower than the
transition energy (minus the half-linewidth of the gain spectrum). The second
argument is that the cascading scheme of the QCL itself allows for
heterocascading of the active regions, i.e., active regions emitting at different
wavelengths. The emission wavelength of a QCL is mainly determined by the
quantization of energy levels in the multi-quantum wells and can be
engineered by tuning the layer thicknesses as well as the band structure.
Our QCLs are based on Ga0.47In0.53As/Al0.48In0.52As heterostructures grown
lattice matched on InP substrates by molecular beam epitaxy (MBE). We use
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 651

the bound-to-continuum (B-to-C) design20 concept to achieve a broad gain


spectrum while maintaining sufficient peak gain. For this design, electrons are
injected from the ground state in the injection region into a bound state, which
is the upper laser level. The lower laser level is not a single-energy level but
composed of an ensemble of electronic states that are closely coupled in
energy. These states form a so-called ‘continuum’ final laser level with a much
larger miniband width than that of a single discrete state. Electrons can make
transitions from the upper laser level to all the states in the lower continuum
miniband, offering transition energies and corresponding emission wave-
lengths that cover a wide range. In order to further increase the spectral
coverage of a single chip, two stacks made of different B-to-C active regions
with central emission wavelengths of 7.8 mm and 8.8 mm, respectively,
have been used to form a heterocascading design.6
Control of the emission wavelength over the entire gain spectrum is
obtained in an external-cavity setup. We use the Littrow configuration with a
blazed diffraction grating with around 150 lines/mm as a wavelength-selective
cavity mirror. The front facet (facing the grating) of the QCL chip needs an
anti-reflection coating (nominal reflectivity <1%). The light from the front
facet is collimated and directed toward the grating by a lens with a high
numerical aperture (NA) of 0.85. The radiation is coupled out through the
back facet, which is left uncoated and acts as the second cavity mirror with a
residual reflectivity of 30%. The laser is operated in pulsed mode (100- to
200-ns pulses) at room temperature. The maximum tuning range exceeds
300 cm 1 at a center wavelength of 1240 cm 1 (Fig. 25.3), i.e., Dl/l 25%.

Figure 25.3 (a) Normalized emission spectra of the external-cavity laser system made up of
a QCL chip with 3500-mm length. Also included are reflectance spectra recorded with the laser
setup in backscattering geometry of RDX, TNT, and PETN in the solid phase. It becomes clear
that the spectral width of the pulsed laser radiation is sufficiently narrow for spectroscopic
investigations on condensed matter. (b) EC-QCL module developed at Fraunhofer IAF.
652 Chapter 25

Due to the pulsed operation, the linewidth is typically about 1 cm 1, which is


sufficiently narrow for spectroscopy on solids or liquids. The maximum
average power at the center of the tuning curve reaches about 40 mW for a
duty cycle of 20%.

25.3.2 Fast wavelength tuning


In a conventional EC-QCL, the grating angle is usually controlled by
macroscopic rotational or linear stages, driven by, e.g., stepper motors. The
maximum scan rates for a spectrum covering some hundred wavenumbers
typically do not exceed a few hertz. Much faster scanning can be realized
using microelectromechanical (MEMS) components. In the following,
we demonstrate this by incorporating a MEMS mirror in the external cavity
[Fig. 25.4(a)]. Our MEMS mirror, provided by Fraunhofer IPMS in Dresden,
Germany, has a resonance frequency of about 6 kHz and a diameter of 3 mm.

Figure 25.4 (a) Setup for a fast scanning external-cavity laser using a MEMS mirror;
below, a photograph of the MEMS chip is shown. (b) Emission lines at different times during
the scan. (c) Emission wavelength as a function of time, showing the expected sinusoidal
behavior due to the harmonic oscillation of the mirror. (d) Magnified view of one emission line
from (b). (See color plate section.)
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 653

This mirror acts as a scanning folding mirror, while the diffractive grating is
fixed. To verify the fast wavelength tuning during oscillation of the mirror, the
laser emission is analyzed with an FTIR spectrometer equipped with a fast
mercury cadmium telluride (MCT) detector and a gated boxcar integrator.
The gate window of the boxcar and the driving current of the laser (both
having a width of 100 nm) are synchronized with the driving voltage of the
MEMS mirror. Therefore, the emission spectrum at arbitrary times during the
oscillation period of the mirror can be measured by varying the time
delay between the driving voltage of the mirror and the boxcar window.
Figure 25.4(b) shows the laser emission for different delay settings. In
Fig. 25.4(c), the spectral position and the corresponding angle of incidence on
the grating are displayed, following the expected.

25.4 Standoff Detection of Explosives


25.4.1 Backscattering spectroscopy
At Fraunhofer IAF we developed an imaging standoff detection system in
order to detect traces of explosives on arbitrary surfaces. The object under
investigation is illuminated with a broadly tunable EC-QCL, and the
backscattered radiation is collected by a detector that works in synchronicity
with the tuning of the laser wavelength. In a real-world situation with a
noncooperative subject under investigation, the backscattering angle cannot
be controlled. Therefore, we collect light that is diffusively scattering at angles
far from the direction of specular reflection. In typical scenarios, the intensity
of diffusely backscattered light is three to four orders of magnitude less than
that for direct specular reflection. For ideal Lambert scattering, the
backscattered intensity scales down with the second power of the distance.
Note that the intensity of the laser illumination at the object for a collimated
laser beam depends only weakly on the distance.
The spectra of the explosives to be detected are known, while the spectra
of the materials of the object under investigation are not known. Thus, with
weak coverage of the explosive trace on the material we have to expect a linear
superposition of the spectral signature of the material and the explosive.
Especially at very low concentrations of the explosive, the spectral signature
of the background material will dominate the backscattering spectra. This
problem can be solved with an imaging approach. We will show below that
proper hyperspectral analysis is able to decompose the spectral information in
such a way that the signature of an explosive can be extracted. Our setup is
made of a high-end MCT focal plane array camera equipped with f ¼ 100 mm
f/1 optics. The full-frame rate is 400 Hz with an integration time of 100 ms. We
use a broadly tunable EC-QCL operating in the wavelength range between
7.3 mm and about 10 mm. In this spectral range the atmosphere is transparent, and
almost all organic materials show very specific spectral features with maximum
654 Chapter 25

absorbance strength. For longer detection distances exceeding some meters, we


need to work beyond 7.3 mm (see above Fig. 25.1).
The EC-QCL was driven in a pulse-burst mode during the integration
period of the camera (100- or 200-ns pulses, up to 20% duty cycle during the
burst), resulting in a low average duty cycle of <1% for this mode of
operation. The advantage of this mode is twofold. First, the low average
power consumption allows uncooled operation of the laser. Secondly, the
average laser power relevant for eye-safety considerations is strongly reduced.
With an average power during the pulse burst of 70 mW at the center
wavelength, the total time-averaged output power remains below 3 mW.
To reduce speckle noise due to the coherence of the laser light as well as to
improve the homogeneity of the illumination, we also employ a rotating
diffuser21 made from polycrystalline diamond that leads to a further decrease
of the optical output power. With an output aperture of 2 in., we thus stay
well below a power level of 100 mW/cm2, the level at which eye safety
becomes an issue. Therefore, using more-powerful lasers or multiple
illumination sources, an enhancement of the operation distance or the
illuminated area will be possible without violating the conditions for eye
safety.
The strong thermal background present in the MIR is eliminated by
subtracting two consecutively recorded frames, one frame with active
illumination by the EC-QCL and the other with the laser turned off.
Taking a reference image every second frame proved to be an effective
measure to compensate for temporal changes in the thermal background
that can appear on a subsecond timescale. In all of the measurements
shown in Fig. 25.6 and in Section 25.5.4, the backscattering spectra were
corrected for the laser’s wavelength-dependent emission intensity. The
latter was obtained by performing a reference measurement of the
backscattering intensity from a rough, spectrally flat aluminum plate close
to the sample. Using this referencing procedure, atmospheric absorption
features are eliminated, too.
If a scene is illuminated with laser light of a specified frequency, the
intensity of the backscattered light at a detector can be decomposed into
three main contributions (see Fig. 25.5). The contribution by the light
backscattered from the substrate only (solid green line), the contribution by
the target only (solid blue line) and the contribution from the light that is
transmitted through the target, then backscattered by the subjacent substrate
and once more transmitted through the target (broken red line). While the
first two pure backscattering contributions can be mathematically described
by a linear superposition of intensities, the latter case would require a
nonlinear formulation.
In Fig. 25.6 the IR spectra of TNT and PETN are shown for three
different measurement geometries. Spectrum (a) is from a standard
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 655

Figure 25.5 Illustration of the linear mixing model of backscattering spectroscopy.

Figure 25.6 Spectra of TNT (left) and PETN (right) recorded in different geometries.
(a) Standard ATR measurement with FTIR and (b) reflectance with microscope attached to an
FTIR with an angular distribution close to the specular direction. (c) Diffuse backscattering with
standoff setup. (See color plate section.)
656 Chapter 25

attenuated total reflection (ATR) measurement using an FTIR setup.


Spectrum (b) is a measurement close to the specular reflectance using a
microscope attached to an FTIR. Spectrum (c) is obtained with the
standoff measurement setup detecting the diffusely backscattered laser
radiation. The spectra recorded in the two reflective modes (b) and (c) are
very similar, while the ATR geometry (a) shows significant differences. An
extensive analysis of similar experimental data revealed that, for
backscattering spectroscopy on trace amounts of solid materials, it suffices
to consider the pure backscattering cases and hence to disregard the
transmission contribution.
These measurements also clearly show that this approach is misleading
if the material is measured as a powder in an ATR measurement setup. In
the ATR geometry, the spectrum is a complicated mixture of absorbance
and reflectance contributions and depends on various parameters, such as
morphology, penetration depth of the light into the powder, etc.

25.4.2 Samples
Samples were prepared by deposition of artificial fingerprints of explosives on
various substrates using a synthetic replica of a human thumb. Such fingerprints
do not leave a well-defined reproducible surface coverage on the substrate but—
in contrast to common laboratory methods of sample deposition—realistically
represent the morphology of actual residues left by a person handling explosives.
The total amount varies roughly from 20 mg to >1 mg. As substrates, we used
pieces of factory-painted sheets from the autobody of common midsized cars,
polyamide, and tissue, as well as different everyday objects (e.g., keypad of a
telephone or laptop, keys, computer mouse).

25.5 Hyperspectral Data Analysis


Imaging detection using a camera for collection of the backscattered MIR
radiation offers significant advantages compared to point detection with a
single-element detector. With imaging methods, not only can the precise
location and spatial distribution of the substances to be detected be readily
provided, but, also, information on the backscattering spectrum of the
background material can be gained from analyzing uncontaminated regions
within the backscattering image. To fully exploit the rich information
collected by such hyperspectral imaging techniques, appropriate image
analysis and data processing algorithms are required.
A widely used data model on which many hyperspectral image analysis
algorithms are based is the linear mixture model. In the following we give a
short derivation of the model, as it is commonly used in the context of
backscattering spectroscopy. Following the model presented in Fig. 25.5, the
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 657

intensity p(v) of incident backscattered light at a sensor pixel when


illuminating the scene with laser light of wavelength v can be written as
pðvÞ ¼ tðvÞat þ bðvÞ ab , ð25:1Þ
where t(v) and b(v) denote the reflectivity of the target and substrate,
respectively, and the positive coefficients at and ab denote the pixel coverage
or abundance factors of the target and the substrate, respectively. As in
backscattering spectroscopy, the illumination wavelength is usually a discrete
parameter that can be switched to a vector notation to obtain
p ¼ tat þ bab , ð25:2Þ
where the bold-face letters denote vectors of dimension p 2 N, with p being the
number of discrete wavelengths that were used for illuminating the scene. As,
in general, the image can contain more than one substrate and there can be
more than one target of interest, it is convenient to extend the single-target
spectra to matrices containing the spectra as columns. However, in that case
the abundance factors need to be extended to abundance vectors:
p ¼ St at þ Sb ab : ð25:3Þ
This is a common notation for the well-known linear mixture model on which
many hyperspectral target detection algorithms are based.
In many target detection applications, including the application
under discussion, one is interested in recognizing a target with a known
signature in a generally unknown background clutter. However, the linear
mixture model in the formulation given in Eq. (25.1) obviously requires
knowledge of the background signatures (background endmembers) in the
image. Two common approaches can circumvent this general issue.
Subspace or structured target detectors require a background endmember
extraction step to generate the background subspace matrix Sb before
performing target detection. Many background endmember extraction
methods have been proposed; one showcase example called adaptive target
generation process will be explained later in this chapter. Another way to
avoid this issue is to model the background not as subspace but as multivariate
noise. Algorithms that choose this approach are sometimes referred to as
unstructured methods.

25.5.1 Adaptive matched subspace detector


A widely used hyperspectral target detection algorithm is the adaptive
matched subspace detection (AMSD), described in Ref. 22, that utilizes the
linear mixture model for a hypotheses testing approach. This approach
describes the image background as a subspace and therefore falls into the
category of structured detectors.
658 Chapter 25

By a slight modification of the linear mixture model notation in Eq. (25.1)


and by adding an additive multivariate noise vector n, two competing
hypotheses can be formulated:
H0 : x ¼ Sb ab,0 þ n
ð25:4Þ
H1 : x ¼ St at þ Sb ab, 1 þ n:
Under hypotheses H0 it is assumed that the pixel under test x contains
background only, while hypotheses H1 models an additional contribution of a
target mixture. Under the Gaussian white noise assumption, x follows the
distribution x  NðBab, 0 , s20 IÞ under hypothesis H0 and x  NðSt at þ
Sb ab, 1 , s21 IÞ under H1. Using the maximum likelihood estimates s
^ i and a
^ i that
are used as model parameters, a slight modification of the likelihood ratio for
the competing hypotheses yields the AMSD detector output:
xT ðp? p?E Þx
DAMSD ðxÞ ¼ B
T ? : ð25:5Þ
x pE x
Here p? ?
B and pE denote the orthogonal projection matrices for the
background matrix Sb and the concatenated target and background matrix
Se ¼ [Sb St], respectively. (The orthogonal projection matrix p?A for an arbitrary
pN ? 1 T
matrix A 2 R is given by PA ¼ I T
AðA AÞ A and maps any vector
x 2 R to the space orthogonal to the space spanned by the column vectors in
p

A). Finally, a threshold has to be applied to the (dimensionless) detector output.

25.5.2 Background endmember extraction


As previously stated, the structured hyperspectral target detection algorithms
(e.g., AMSD) require knowledge of the spectra that span the background
subspace. Hence, the background endmember matrix Sb must be estimated
from the available image data. One well-known algorithm that can be
applied to solve this issue is the adaptive target generation process (ATGP)
described by Ren and Chang in Ref. 23. The basic principle of this algorithm—
in fact, for most endmember extraction algorithms—is to iteratively identify a
set of pixel vectors that are unlikely to contain the target spectra and differ
strongly among each other given a measure of difference. The ATGP employs
an orthogonal projection criterion for this purpose.
To be applied for the task of background endmember extraction,
the ATGP algorithm requires a target spectrum t0 as an input parameter.
The algorithm’s output is a set of image pixel vectors that are expected to span
the background subspace. In each iteration, the ATGP algorithm calculates
the projections of all pixel vectors in the space obtained by applying the
orthogonal projection operator of the current set of background vectors to the
pixel vectors in the image. The pixel vector having the largest magnitude in
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 659

that space is identified and added to the background endmember matrix.


More formally, the following steps are taken:
1. Calculate and apply P? t0 to all pixel vectors.
2. Find t1 ¼ argfmaxr ½ðP? T ?
t0 rÞ ðPt0 rÞg, set U1 ¼ t1 :
3. Until a specified cutoff criterion is met, extend endmember matrix U by
adding ti with

ti ¼ argfmaxr ½ðP? T ?
½t0 Ui 1 rÞ ðP½t0 Ui 1 rÞg, where Ui 1 ¼ ½t1 t2 . . . ti 1 :
If multiple target spectra are available, the initialization phase (steps one and
two) can be easily adjusted, accordingly.
The algorithm terminates either using a cutoff criterion based on the
magnitude of the projected target signature or, alternatively, using a fixed
number of endmembers (i.e., fixed dimensions of B). An appropriate choice
for the latter would be the number of spectrally distinct materials present in
the scene and corresponds to the notion of the intrinsic dimensionality of the
image.

25.5.3 Reference spectra


A reliable database of reference spectra of the explosives must be provided to
the image analysis algorithm. To the best of our knowledge, no database is
available that contains spectra that are suitable for our measurement
geometry. It is important to note that the most common laboratory method
for infrared (absorption) spectroscopy on solid powders is ATR spectroscopy.
Although very similar spectral features are observed, ATR spectra do not
exactly match the spectra obtained using our diffuse backscattering geometry.
As outlined above, the reason is that, in an ATR geometry, a nontrivial
mixture of reflectance and transmission contribution is measured. No simple
relation exists between backscattering spectra and ATR data. Therefore, we
took our reference spectra for the different explosives with the actual setup on
substrates that show no noticeable diffuse scattering.

25.5.4 Experimental results


Figure 25.7(b) shows the background-corrected image of an HMDT
(hexamethylene triperoxide diamine) fingerprint on a painted autobody sheet
[Fig. 25.7(a)] illuminated at 1150 cm 1, recorded from a distance of about
2 m. On such a flat, smooth surface, no significant scattering occurs in
directions differing more than a few degrees from the direction of specular
reflection. Therefore, only the diffuse scattering from the explosives or other
contaminations is visible. In the present example, light is also scattered from a
scratch in the car paint above the fingerprint. However, the scratch can be
clearly distinguished from the explosive by its backscattering spectrum, as
660 Chapter 25

Figure 25.7 (a) Photograph of a painted autobody sheet covered with fingerprints of different
explosives. (b) Background-corrected IR image with active illumination at 1150 cm1 of the
encircled area from (a), showing diffuse scattering from fingerprint 1 and a scratch in the car paint
above the fingerprint. (c) Fingerprint (HMTD) and the scratch can easily be distinguished by their
IR spectra. (d) Backscattering spectra of three other explosives on this sample, compared with our
reference spectra taken on a different type of autobody sheet. (See color plate section.)

shown in Fig. 25.7(c). Figure 25.7(d) also displays spectra (integrated over the
region in the camera image where the respective component resides) from
PETN, TNT, and RDX fingerprints on the same substrate. These spectra
closely match our reference spectra, recorded on fingerprints on another
painted autobody sheet from a different car manufacturer [Fig. 27.7(d)].
Figure 25.8 shows the visible image of a polyamide substrate with a series
of eight consecutive fingerprints of PETN. The green circle indicates the part
of the sample illuminated by the MIR laser, i.e., the seventh fingerprint, for
recording the backscattering image shown in Fig. 25.8(b). The amount of
deposited material was 20 mg, and the measurement distance was
approximately 1.5 m. In contrast to the autobody sheets shown above, the
polyamide surface acts as a source of diffusive scattering, as can be seen from
the background-corrected IR image with active illumination at 1200 cm 1
[Fig. 25.8(b)]. The backscattering image contains significant contributions
from the substrate. Applying the detection algorithm outlined above to the
recorded hyperspectral datacube, PETN was clearly detected, while no false
positives for TNT and RDX were obtained [Fig. 25.8(c)].
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 661

Figure 25.8 (a) Photograph of the sample: repeated PETN fingerprints on polyamide;
green circle: illuminated area. (b) Background-corrected IR image with active laser
illumination; the substrate shows strong diffuse scattering. (c) Results of the detection
algorithm (AMS detector) applied to the hyperspectral datacube. The area colored red
indicates where PETN was detected with sufficient accuracy by setting a suitable threshold;
the light blue area is the part of the illuminated area where no PETN signatures in the
backscattering signal were found. Using the same threshold value as for PETN, no false
positives were found for TNT or RDX (that would have showed up as green/orange
areas). (Reprinted from Ref. 16.) (See color plate section.)

Figure 25.9 (a) Detection of PETN residues on the plastic handle of a key. The area where
PETN is detected (colored in red) is overlaid on the passive IR image of the scene. The
detection algorithm also recognized the PETN signature in the mirror image of the key on the
metallic table (lower red spot). (b) Photograph of the key (from a somewhat different angle).
The arrow indicates where the PETN fingerprint has been applied. (See color plate section.)

In Fig. 25.9 we show the detection results for PETN residues on the plastic
handle of a key. The detected area is overlaid as a color map over the passive
IR image. The PETN quantity is roughly estimated to be some tens of
micrograms, based on visual comparison to other samples with known
amounts of material. The fingerprint is clearly detected by the analysis
algorithm. Again, no false alarms appeared when the system searched for
662 Chapter 25

RDX and TNT. What seems to be a PETN false alarm just below the key is
actually the mirror image of the contaminated key on the metallic surface of
the table. Similar results have been obtained on several other everyday
objects, e.g., a computer mouse, the keypad of a telephone, or the touchscreen
of a smartphone.

25.5.5 Larger distances


The maximum measurement distance strongly depends on the type of sample.
For example, a given surface coverage that results in sufficient signal strength
at a distance of, e.g., 1 m will also be observable at much larger distances, as
long as the contamination is large enough to be imaged on one or more pixels.
The reason is that, although the fraction of scattered light collected by the
camera follows an inverse-square law, for a single pixel this is offset by the
fact that the scattering area that is imaged on one pixel increases with growing
distance. In Fig. 25.10 we show that the signal collected from a 1 cm2
fingerprint of FOX-12 (N-guanylurea-dinitramide) on an autobody sheet at a
distance of 20 m is easily detected. However, with the present optics, we are
approaching the limit of single-pixel detection (instead of true imaging), even
for this rather extended coverage. For true imaging detection of smaller
quantities of explosives over larger distances, we are currently setting up a
system equipped with collection optics that features both a large aperture and
a long focal length.

Figure 25.10 (a) Background-corrected IR signature of a sample of FOX-12 (1 cm2) on a


painted autobody sheet, illuminated with laser radiation at 8.3 mm (1200 cm1) at 20 m distance.
The numbering in the X-Y plane corresponds to relative pixel coordinates. The signal is well
above noise level. (b) Passive IR image of the complete scene; the arrow indicates the
backscattered laser spot on the FOX12 sample, shown in the left panel. (See color plate section.)
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 663

25.6 Spectroscopy of Hazardous Chemicals in Drinking Water


Water is highly absorbing in the mid-infrared; hence, only thin layers can be
probed by transmission spectroscopy. However, we want to detect low
concentrations of dissolved contaminations that consequently will give rise to
only small signal changes that are approximately proportional to the layer
thickness. Conventional transmission measurements in laboratory FTIR
spectrometers are carried out on liquid cells with a transmission path of about
10 mm. The spectral power density of the EC-QCL is orders of magnitude higher
than that of a spectrometer’s globar source. Therefore, the laser system enables a
transmission length exceeding 100 mm between 1000 cm 1 to 1500 cm 1, where
many absorption bands of organic compounds are found and the absorbance of
water is lower than in the neighboring regions below 1000 cm 1 and between
1500 and 1700 cm 1. Beyond 1700 cm 1, the water absorbance drops again;
however, this region is less interesting for spectroscopy.
From a technical point of view, flow cells with a very short optical path
length as required for FTIR spectroscopy on water are very susceptible to
frequent clogging, making this approach rather impractical for reliable in-line
analysis where a continuous flow of water needs to be maintained over an
extended time period. Furthermore, short-path-length transmission cells
require a large pressure difference to be applied to maintain a sufficient
water flow. Therefore, EC-QCL-based transmission spectroscopy appears to
be very attractive for in-line spectroscopic analysis of aqueous solutions, as it
allows the usage of flow cells with an optical path length that is increased by
one order of magnitude, resulting in a significantly reduced pressure difference
and risk of flow interruption.24,25
Our measurement setup is schematically shown in Fig. 25.11. The
laser beam with a diameter of 3 mm is directed toward a transmission cell
(Bruker Optics) with wedged ZnSe windows. The reflection from the front
surface of the first window is used for monitoring the output power of the
laser. The transmitted beam passes a 100-mm-thick water layer before entering
the second detector. For both signal and reference, we used uncooled

Figure 25.11 Setup for transmission measurements on water. The spacer thickness was
100 mm for uncooled pyrodetectors and 200 mm for a CMT detector at 77 K.
664 Chapter 25

pyroelectric detectors in combination with lock-in detection at a modulation


frequency of 400 Hz. With cooled CMT detectors, we obtained a reasonable
signal strength, even after a transmission path length of 200 mm.
As the system requirements include detection of contaminations in
drinking water on a short timescale, we are interested in small differences to
previous measurements of clean water. Therefore, the absorbance obtained by
the latest measurement is compared with one taken a certain time period (a
couple of minutes) earlier. The resulting difference between these measure-
ments is the product of the absorbance coefficient aC (l) and the optical path
length d in water of the contamination alone. Note that, in contrast to the
total absorbance measured by such a setup, the latter no longer contains
the characteristics of the transmission cell. Due to the presence of the
contamination, the transmission signal changes by a factor of
aC ðlÞ d
e  1 aC ðlÞ  d: ð25:6Þ
In the case of dangerous concentrations of certain relevant pesticides in water,
this factor is in the range of 10 3. Therefore, the signal-to-noise ratio (SNR) of
the detection system needs to be better than 103.
In contrast to the backscattering spectroscopy technique described above,
QCL-based transmission measurements lead to identical results as common
laboratory methods (i.e., the absorbance of the sample). Therefore, a reference
database obtained by FTIR spectroscopy can be used.
Figure 25.12(a) shows the absorbance spectrum of a harmful pesticide
with a concentration of 100 mg/l in water, measured with the QCL system on
a 100-mm-thick water film. This spectrum agrees well with the corresponding
spectrum obtained by a high-end FTIR spectrometer on a 7-mm film. In Fig.
25.12(b), the absorbance of caffeine in water (200 mg/l) is shown as another

Figure 25.12 Absorption spectra of (a) a pesticide (100 mg/l) and (b) caffeine (200 mg/l) in
water. The spectra obtained with the QCL system agrees well with FTIR measurements on
the same substance.
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 665

example. The SNR in these measurements exceeds 103. We use caffeine as a


harmless substitute for the actual pesticides, as it shows absorption features in
the same spectral region. Measurements on this and other harmless
substances are also valuable to evaluate possible cross sensitivities of the
detection algorithm employed for subsequent chemometric analysis of the raw
spectra.
The experiments shown above are intended to serve as a proof of principle
for IR spectroscopy on small concentrations of analytes dissolved in a highly
absorbing matrix. Several improvements are possible, the most important of
which is a precise temperature control in the flow cell, as the background water
absorbance shows noticeable temperature dependence. The first results obtained
with a prototype demonstrator system for field use, including this and other
modifications, show that the sensitivity of the present EC-QCL-based sensing
system can be substantially increased.

25.7 Conclusions
We reported on the application of broadband-tunable EC-QCLs for the
imaging standoff detection of explosives as well as for detection of
hazardous contaminations in drinking water. The EC-QCL-based standoff
detection system operates at fully eye-safe power levels. As an example,
contactless spectroscopy of fingerprints of PETN, TNT, and RDX on
different substrates has been demonstrated. A hyperspectral data analysis
algorithm was successfully employed to suppress background contributions.
As a second application for EC-QCL-based spectroscopy, detection of small
concentrations of hazardous contaminations in drinking water has been
demonstrated. Despite the strong IR absorbance of water, the high spectral
power density of the laser allows transmission spectroscopy for path lengths
exceeding 100 mm.

Acknowledgments
Most of our results have been obtained within the collaborative projects
IRLDEX, funded by the German Federal Ministry of Education and
Research (contract number FKZ 13N4543) and IRLSENS (contract number
FKZ13N11034), as well as the EU project EMPHASIS (grant agreement
number 261381). Helpful discussions with J. Beyerer (Fraunhofer IOSB) are
gratefully acknowledged. We thank K. Schwarz, U. Weinberg, O. Göhlich,
and A. Michailov for expert technical assistance, W. Schweikert and
F. Schnürer (Fraunhofer ICT) for sample preparation, and D. Richter
(TZW Karlsruhe) and M. Germer (Bruker Optics) for FTIR spectra on
drinking water samples.
666 Chapter 25

References
1. J. Faist, F. Capasso, D. L. Sivco, C. A. Sitori, A. L. Hutchinson, and
A. Y. Cho, “Quantum cascade laser,” Science 264, 553 (1994).
2. M. Razeghi, Y. Bai, S. Slivken, and S. R. Darvish, “High-performance
InP-based mid-infrared quantum cascade lasers at Northwestern Uni-
versity,” Opt. Eng. 49(11), 111103 (2010) [doi: 10.1117.1.3497623].
3. Y. Bai, N. Bandyopadhyay, S. Tsao, S. Slivken, and M. Razeghi, “Room
temperature quantum cascade lasers with 27% wall plug efficiency,” Appl.
Phys. Lett. 98(18), 181102 (2011).
4. R. Maulini, A. Lyakh, A. Tsekoun, and C. K. N. Patel, “l similar to
7.1 mm quantum cascade lasers with 19% wall-plug efficiency at room
temperature,” Opt. Express 19(18), 17203–17211(2011).
5. A. Bismuto, M. Beck, and J. Faist, “High power Sb-free quantum cascade
laser emitting at 3.3 mm above 350 K,” Appl. Phys. Lett. 98, 191104
(2011).
6. R. Maulini, A. Mohan, M. Giovannini, J. Faist, and E. Gini, “External
cavity quantum-cascade laser tunable from 8.2 mm to 10.4 mm using a
gain element with a heterogeneous cascade,” Appl. Phys. Lett. 88, 201113
(2006).
7. T. Dougakiuchi, K. Fujita, N. Akikusa, A. Sugiyama, T. Edamura, and
M. Yamanishi, “Broadband tuning of external cavity dual-upper-state
quantum-cascade lasers in continuous wave operation,” Appl. Phys.
Express 4(10) 102101 (2011).
8. A. Hugi, R. Maulini, and J. Faist, “External cavity quantum cascade
laser,” Semicond. Sci. Technol. 25(8), 083001 (2010).
9. S. Wallin, A. Petterson, H. Östmark, and H. Hobro, “Laser-based
standoff detection of explosives: A critical review,” Analyt. and Bioanalyt.
Chem. 395(2), 259–274 (2009).
10. M. Nordberg, M. Åkeson, H. Östmark, and T. E. Carlsson, “Stand-off
detection of explosive particles by imaging Raman spectroscopy,” Proc.
SPIE 8017, 80171B (2011) [doi: 10.1117/12.883179].
11. R. Furstenberg, C. A. Kendziora, J. Stepnowski, S. V. Stepnowski,
M. Rake, M. R. Papantonakis, V. Nguyen, G. K. Hubler, and
R. A. McGill, “Stand-off detection of trace explosives via resonant
infrared photothermal imaging,” Appl. Phys. Lett. 93, 224103 (2008).
12. M. B. Pushkarsky, I. G. Dunayevskiy, M. Prasanna, A. Tsekoun, R. Go,
and C. K. N Patel, “High sensitivity detection of TNT,” Proc. of the
National Academy of Sciences of the United States of America, 103(52),
19630–19634 (2006).
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 667

13. C. W. van Neste, L. R. Senesac, and T. Thundat, “Standoff photoacoustic


spectroscopy,” Appl. Phys. Lett. 92(23), 234102 (2008).
14. R. E. Hummel, A. M. Fuller, C. Schollhorn, and P. H. Holloway,
“Detection of explosive materials by differential reflection spectroscopy,”
Appl. Phys. Lett. 88(23), 231903 (2006).
15. F. Fuchs, S. Hugger, M. Kinzer, R. Aidam, W. Bronner, R. Lösch, Q. K.
Yang, K. Degreif, and F. Schnürer, “Imaging standoff detection of
explosives using widely tunable mid-infrared quantum cascade lasers,”
Opt. Eng. 49(11), 111127 (2010) [doi: 10.1117/1.3506195].
16. S. Hugger, F. Fuchs, J. Jarvis, M. Kinzer, Q. K. Yang, W. Bronner,
R. Driad, R. Aidam, K. Degreif, and F. Schnürer, “Broadband tunable
external cavity quantum cascade lasers for standoff detection of
explosives,” Proc. SPIE 8373, 83732G (2012) [doi: 10.1117/12.918398].
17. British and European Standard BS EN 60825-1 2007, International
Standard IEC 60825-1 (2007).
18. F. M. Barker and G. C. Brainard, “The direct spectral transmittance of
the excised human lens as a function of age,” FDA 785345 0090 RA, US
Food and Drug Administration, Washington, D.C. (1991).
19. T. Laube, H. Apel, and H. R. Koch, “Ultraviolet radiation absorption of
intraocular lenses,” Ophthalmol. 111, 880–885 (2004).
20. J. Faist, M. Beck, and T. Aellen, “Quantum-cascade lasers based on
bound-to-continuum transition,” Appl. Phys. Lett. 78, 147–149 (2001).
21. S. Lowenthal and D. Joyeux, “Speckle removal by a slowly moving
diffuser associated with a motionless diffuser,” J. Opt. Soc. Am. 61, 847–
851 (1971).
22. D. Manolakis, C. Siracusa, and G. Shaw, “Hyperspectral subpixel target
detection using the linear mixing model,” IEEE Trans. Geosci. Remote
Sensing 39, 1392–1409 (2001).
23. H. Ren and C. Chang, “Automatic spectral target recognition in
hyperspectral imagery,” IEEE Trans. Aerospace Electronic Systems 39,
1232–1249 (2003).
24. M. Brandstetter, A. Genner, K. Anic, and B. Lendl, “Tunable external
cavity quantum cascade laser for the simultaneous determination of
glucose and lactate,” Analyst 135(12), 3260–3265 (2010).
25. M. Brandstetter, L. Volgger, A. Genner, C. Jungbauer, and B. Lendl,
“Direct determination of glucose, lactate and triglycerides in blood serum
by a tunable quantum cascade laser-based mid-IR sensor,” Appl. Phys. B.
110(2), 233–239 (2013).
668 Chapter 25

Frank Fuchs received the Ph.D. degree in physics from


Freiburg University, Freiburg, Germany, in 1991. Since
then he has carried out applied research at the
Fraunhofer Institute for Applied Physics (IAF),
Freiburg, Germany. During 2004–2005, he worked as
a Visiting Scientist at the Center for Quantum Devices
at Northwestern University, Evanston, IL. His research
interests include work on infrared devices based on low-
gap semiconductors. More recently, he has been concentrating on standoff
detection of hazardous substances using broadband-tunable quantum cascade
lasers. He has coauthored more than 100 refereed journal articles and 2 book
chapters, contributed to an edition of the Landoldt–Börnstein index, and
presented 17 invited talks on international conferences.

Stefan Hugger received the Ph.D. degree in physics from the Heinrich-Heine-
University in Duesseldorf, Germany, in 2008. He joined the Fraunhofer IAF
in Freiburg, Germany in 2008, where he works on quantum cascade lasers and
their applications. Currently, he focuses on sensing of hazardous substances
using quantum-cascade-laser-based spectroscopic techniques.

Quankui Yang received the B.Sc. degree in physics from


Wuhan University, Wuhan, China, in 1995, and the
Ph.D. degree in solid state electronics from the Chinese
Academy of Sciences, Shanghai, China, in 2000, both
with honors. After obtaining his Ph.D., he joined the
Fraunhofer IAF, Freiburg, Germany, as a research
scientist. His work mainly focuses on the development
and application of infrared semiconductor lasers, in
particular, quantum cascade lasers. His interests also include other
semiconductor devices such as infrared detectors, heterojunction bipolar
transistors, and backward diodes. Dr. Yang is a coinventor of 3 patents, has
coauthored more than 70 peer-reviewed journal papers, and contributed to an
edition of the Landolt–Börnstein index dedicated to quantum cascade lasers.
Broadband-Tunable External-Cavity Quantum Cascade Lasers… 669

Jan Jarvis received the Diploma in computer science


from the Karlsruhe Institute of Technology (KIT) in
2012. Since then, he has been a Ph.D. student at the
Fraunhofer IAF, Freiburg. His work is focused on data
analysis and hyperspectral target detection algorithms.

Michel Kinzer received the Diploma in physics from the


Ruprecht-Karls-Universität Heidelberg in 2009 and the
Ph.D. degree from the Albert-Ludwigs-Universität
Freiburg in 2013. As a research scientist at the
Fraunhofer IAF, Freiburg, he focuses on the investiga-
tion and the development of quantum cascade lasers as
well as spectroscopy devices based thereon.

Ralf Ostendorf recieved his Ph.D. degree in physics


from University of Muenster, Germany in 2005. His
Ph.D. research focused on the analysis of electronic states
on surfaces of wide-bandgap semiconductor materials. In
2007 he joined the Fraunhofer IAF in Freiburg,
Germany, where he was involved in development of
GaAs-based high-power diode lasers in the near infrared.
Since 2009 he has been working on semiconductor lasers
and quantum cascade lasers in the mid-infrared range. His current research
interests include the development of QCL-based laser modules and systems.

Christian Schilling received the an engineer degree in


nanostructure technology from the Julius-Maximilians-
University in Würzburg, Germany, in 2009. He joined
the Fraunhofer IAF in Freiburg, Germany in 2008. His
work focuses on packaging technology and facet
coating of quantum cascade lasers.
670 Chapter 25

Rachid Driad received the B.S. and M.S. degrees from


the University of Sciences and Technology, Algiers,
Algeria, in 1989 and 1992, respectively, and the Ph.D.
degree from the University of Evry, Paris, France, in
1996. From 1991 to 1992, he was with the Solid-State
Laboratory, Centre National de la Recherche Scienti-
fique (CNRS), Paris, where he was involved with
MOCVD growth of II-VI compounds. From 1992 to
1996, he was with the France-Télécom Research Lab. (CNET), Bagneux,
France, where his research concerned the development of GaAs-based
heterojunction bipolar transistors (HBTs). In 1996, he joined the National
Research Council (NRC), Ottawa, Canada, where he worked on the
development of InP-based HBTs. From 2000 to 2002, he was with Nortel
Networks, Ottawa, Canada, where he was involved in the development of
high-speed optical components. In 2002, he joined the Fraunhofer IAF,
Freiburg, Germany, as a member of the scientific staff. His current research
interests are related to the development of III-V-based electronic and
optoelectronic components.

Wolfgang Bronner studied physics at the Albert-


Ludwigs-Universität in Freiburg, where he earned his
Diploma in 1984 and his Ph.D. degree in 1989. In 1984
he joined the Fraunhofer Institut Solare Energiesysteme
in Freiburg where he investigated the heteroepitaxy of
GaAs on silicon and epitaxial lateral overgrowth (ELO)
of GaAs/SiO2 for solar cell applications. Since 1991, he
has been with the Fraunhofer IAF, Freiburg, working
on III-V technology. He is involved in the process development and
fabrication technologies of various electronic and optoelectronic devices,
such as GaN high-electron-mobility transistors (HEMTs) and quantum
cascade lasers. His primary work is in managing all plasma-assisted processes
at IAF.

Biography for Andreas Bächle not available.


Broadband-Tunable External-Cavity Quantum Cascade Lasers… 671

Rolf Aidam received his Diploma and Ph.D. degrees in


physics from the University in Karlsruhe, Germany in
1996 and 1998, respectively. His Ph.D. research focused
on epitaxy of high-temperature superconductors for
high-frequency applications. This work was done in
cooperation with the Forschungszentrum of Karlsruhe,
where he worked until 2000. From 2000 to 2002 he was
with Robert Bosch GmbH developing electronics for
automotive industries. In 2002 he joined the Fraunhofer IAF, Freiburg,
Germany as a research physicist in the area of III-V molecular beam epitaxy.
His research interests include InP- and GaAs-based electronics and
optoelectronics as well as GaN-based electronics.

Joachim Wagner received the Ph.D. degree in physics


from the University of Stuttgart, Stuttgart, Germany, in
1982. From 1982 to 1984 he worked at the Max-Planck-
Institut für Festkörperforschung, Stuttgart, Germany,
in the group of Prof. M. Cardona before joining the
Fraunhofer IAF, Freiburg, Germany, in 1985. There he
is currently deputy director and head of the Department
of Optoelectronic Modules. He is also Professor at the
Institute of Physics of the University of Freiburg and an associated member of
the Materials Research Center Freiburg (FMF). His current research interests
include III/V-semiconductor heterostructures and their application in
optoelectronic devices for both the infrared and the visible/UV spectral
ranges. He is author or coauthor of more than 400 scientific publications,
including several review papers and book chapters.
Chapter 26
Emission Spectroscopy
in the Mid-infrared using
FTIR Spectrometry
Yong-gang Zhang
State Key Laboratory of Functional Materials for Informatics, Shanghai Institute
of Microsystem and Information Technology, Chinese Academy of Sciences,
Shanghai, China

26.1 Introduction
26.2 Overall Considerations
26.3 System Configuration
26.4 Demonstrations and Discussion
26.5 Summary and Future Perspectives
Acknowledgments
References

26.1 Introduction
Emission spectroscopy, including the photoluminescence (PL) spectrum, the
electroluminescence (EL) spectrum, and lasing spectra (LS), has become a
useful tool in the scientific research and industry development of semicon-
ductor optoelectronic materials and devices. Among different spectroscopy
schemes, Fourier transform infrared (FTIR) spectrometers are widely used,
especially in the mid-infrared (MIR) band. Through a simple review of FTIR
and detailed analysis of its merits and limitations in emission spectroscopy, an
improved FTIR spectroscopy system adopting a simple, convenient, and
universal emission accessory attached to a commercial FTIR spectrometer
with a diode-pumped solid state (DPSS) laser for PL is introduced in this
chapter. Based on this system, three different FTIR spectroscopy modes,

673
674 Chapter 26

rapid scan (RS), double modulation (DM), and step scan (SS), are adequately
demonstrated on various PL, EL, and LS samples.
The FTIR spectrometer, which is based on a Michelson interferometer
adopting a beam spliter with a light source, moving mirror, fixed mirror, and
photodetector on four opposite arms, is a mature and powerful tool used in
numerous fields to distinguish diversified features of materials and devices.
While dispersive spectroscopy uses a prism or grating to differentiate the
wavelengths of light in an actual spectrum, in FTIR, only an interferogram is
acquired, from which a virtual spectrum is then gained by using a Fourier
transform algorithm. Compared to a dispersive spectrometer, the high flux,
low equivalent noise, fast scan speed, and parallel beam features of FTIR
spectroscopy make it an optimal option, especially in the MIR or far-infrared
(FIR) bands, although in certain cases some artifacts in the measurement
might exist. Starting in the mid-1900s, these features have contributed to the
multiplexing (Fellgett)1 and throughput (Jacquinot)2 advantages of FTIR.
Since then, FTIR instrumentation has evolved to include computer
technology for fast Fourier transforms.3 Because of high demand,
commercial FTIR spectrometers are mainly designed for obtaining absorption
or reflection measurements using an internal polychromatic infrared radiation
source, with the specimen mounted into the sample compartment inside the
spectrometer.
Emission spectroscopy such as for PL, EL, or LS is indispensable in the
research and development of optoelectronic materials and devices. However,
most commercial FTIR spectrometers are unsuitable for direct application to
these spectra. Fortunately, for most modern FTIR instruments, an emission
port for accepting an external light signal has been incorporated, through
which the spectral features of materials and devices from external emission
sources can be characterized. Based on this arrangement, acquisition
configurations for different approaches have been developed,4 22 whereas
commercial accessories with versatility to apply to PL, EL, or LS are still rare.
In performing spectroscopy in the MIR, two difficulties are often
encountered: a weak signal from the sample and a relatively strong
background from the scene, especially uncontrollable room-temperature
(RT) blackbody radiation from surrounding objects. For example, measuring
PL in the MIR is difficult, especially at RT. PL is a strong nondestructive tool
for both scientific research and daily production examination of semiconduc-
tor materials. The combination of PL with FTIR increases the functionality
and is therefore becoming popular for use in the near-infrared (NIR)
extending to the MIR bands. Acquiring relatively strong PL signals from a
background using a conventional RS mode of FTIR is feasible.4,5 The RS
mode is also effective for device characterization, such as for the narrow-band
emission spectra of quantum well lasers in the NIR6,7 and for quantum
cascade lasers (QCLs) with sufficient lasing power in the MIR,8 regardless of
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 675

whether in continuous wave or pulsed operation. However, because the PL


signal is frequently quite weak, and in many cases is much weaker than the
RT thermal background radiation (mainly distributing at MIR peak around
10 mm) or stray-light background (mainly in the visible and NIR), extracting a
weak signal from the background while retaining the convenience of FTIR
remains a challenge. This challenge is also encountered with some lower-
quality devices when acquiring EL or LS.
Persistent efforts have been made to find a solution. For example,
background subtraction or frame-to-frame subtraction has been used
to suppress the thermal background in PL.9 A DM mode mechanical
modulator,9 acousto-optic modulator10 or electro-optical modulator,11 15 in
which phase-sensitive detection or phase-sensitive excitation schemes have
been adopted, has been used to more effectively remove the background. For
devices in the MIR, such as a pulsed QCL with a rather weak emission
overlapped to the thermal background, the DM mode is also preferred since
the pulsed device is already modulated (normally at tens of kilohertz) and no
extra modulator is needed.16,17 An SS mode adopting a mechanical modulator
has also proved to be an effective way to eliminate the background in PL.18,19
These methods are successful to a certain degree but involve more expenditure
of data acquisition time, loss of operational convenience, and complication of
the acquisition system. With an overall comparative analysis of the FTIR
system for emission spectroscopy, an improved FTIR emission spectroscopy
system based on a DPSS excitation laser approach20 was developed. Using
this system, a group of samples extending from the NIR to the MIR with
different PL, EL, and LS features have been demonstrated to reveal the
validity of the system.

26.2. Overall Considerations


In an FTIR spectrometer, the optical frequency n [wavenumbers (cm 1)] are
transformed to a Fourier frequency of fF ¼ 2vn (Hz) through a Michelson
interferometer with a moving-mirror scan speed of v (cm/s). In general, the
moving mirror speed v could be changed 2–3 orders of magnitude and scaled
at the wavelength (632.8 nm) of the internal He-Ne laser with quite high
stability for internal calibration, alignment, and sampling purposes. The high
end of this range is mainly limited by the response speed of the photodetector
(hundreds of kilohertz for the quantum type and a few kilohertz for thermal
type, with a relatively large sensitive area). The low end of the range depends
on the mechanical and stability demands for the instruments and scene. At a
low scan speed, the spectrometer response is slow, and the time needed for
data acquisition is long. As previously mentioned, if the emission signal was
not very weak and located outside of the strong background, the RS mode
would be a preferred option. Advantages and warnings concerning FTIR-PL
676 Chapter 26

use have been reviewed.21 Approaching the MIR, the thermal background
signals rise. For a 300-K RT background, the radiation peaks at 1035 cm 1,
with a magnitude greater than 0.1%, 1%, or 10% of the peak at 3831,
3144, and 2375 cm 1, respectively, from the short-wavelength side. The
long-wavelength tail extends to the FIR. It is not unusual for the emission
signal intensity to be low or much weaker than the thermal background,
especially at the long-wavelength side. As such, for FTIR emission
spectroscopy in the MIR (4000–400 cm 1) band, the RS mode should be
improved.
The DM mode adopting a phase-sensitive detection scheme is developed
with the addition of an RS mode using a modulated excitation laser, where the
modulation frequency addition to the Fourier frequency forms double
modulation. Through the introduction of a lock-in technique, the modulated
emission signal can be extracted, and the unmodulated background can be
effectively suppressed. In this approach, the modulation frequency for the
device or for the PL-excitation laser should be much higher than the Fourier
frequency (at least >five times higher, as a rule of thumb) in the spectrometer.
In this case, through a lock-in amplifier locked to the modulation frequency
with a suitable time constant, the amplified Fourier signal going back to the
spectrometer can be utilized.
A mechanical modulator (chopper) is conceivable for modulating the PL-
excitation laser, whose maximum frequency is limited to only a few kilohertz
(4 kHz in common). For example, at 4-kHz modulation, the Fourier fre-
quency should be below 800 Hz. In this case, for a wavenumber of 10,000 cm 1,
the moving mirror speed is expected to be below 0.04 cm/s. At this rather low
scan speed, the phase locking of the lock-in amplifier becomes very difficult, as
the spectrometer response is too slow for convenient alignment of the FTIR-
PL setup; additionally, the data acquisition time will be quite long. For
example, at a scan speed of 0.0475 cm/s, about 6 min was needed to acquire a
spectrum span of 4000–600 cm 1. At 16-cm 1 resolution with 32 scans in our
spectrometer, in a presetting mode, the data refresh period was more than 10 s.
For an electro-optical modulator, the modulation frequency is not a problem
(direct current to >1 MHz), whereas the higher driving voltage (>200 V),
small aperture, and relatively large size are incompatible with FTIR-PL
application. A similar case exists for acousto-optic modulators. Additionally,
the acousto-optic modulator is operated at a fixed frequency or around a
center frequency. Although its driving voltage could be lower than that of an
electro-optical modulator, this frequency is normally too high (tens of
megahertz) for lock-in amplifiers and photodetectors used in FTIR. Also,
the prices of the additional modulator and driver are quite high.
Based on the above considerations, the optimal modulation frequency for
the excitation laser of PL in DM mode should be tens of kilohertz, which is
sufficiently high to be far from the Fourier frequency of a few kilohertz for
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 677

FTIR at a normal scan speed (e.g., 0.4 cm/s, at which speed the system
response time is adequate), and still low enough for common photodetectors/
lock-in amplifiers. Thus, an effective, maneuverable, and inexpensive laser
with a convenient electrical modulation scheme is expected. In this case, the
instrument settings could be similar to, and the data acquiring time as fast as,
those of the conventional RS mode.
Another approach to eliminate the background uses the SS mode. In this
mode, the excitation laser modulation is still needed, whereas, the scan of the
interferometer is not continuous, but step by step; therefore, the restrictions on
the modulation frequency are completely lifted. In an SS mode, a mechanical
chopper could be conveniently used, and the thermal background could be
effectively eliminated.18,19 The SS mode has become a standard configuration
for current FTIR spectrometers, or is one of the optional modes. The
instrument software is conveniently switchable between RS mode and SS
mode. However, the SS mode presents a notable problem, especially in
practical operation of the FTIR system. In SS mode, the total scan steps are
directly related to the spectral resolution, and the time spent on each step
cannot be very short, mainly due to the mechanical limitations of the
instruments. Therefore, at the same spectral span, resolution, and scan times,
the data acquisition time for the SS mode should be hundreds of times that of
the RS mode. In this extraordinarily long acquisition time, the stability of the
sample becomes compromised, the reliability of the system and random
interference from the surroundings become uncontrollable, and the patience
of the operator is tested. Furthermore, in SS mode, the “instant” response
feature of the FTIR is entirely lost, as the operator cannot instantly see the
effects of operation (such as optical path alignment) but needs to wait a few
minutes for the results. In practice, without the assistance of other modes to
acquire a weak traceable emission signal, the operation of the SS mode alone
becomes extremely difficult, especially for a new operator with an unknown
sample at hand. These nontheoretical but practical demerits remarkably
restrict the adoption of SS mode in FTIR-PL.

26.3. System Configuration


Based on the above considerations, an improved FTIR emission configura-
tion adopting a DPSS excitation laser for PL was developed, as shown
schematically in Fig. 26.1. With the progress in high-power semiconductor
lasers, DPSS lasers are becoming popular for their high reliability, moderate
stability, small size, and relatively low price. Since this type of solid state laser
is pumped by an electrically driven semiconductor diode laser, it could be
inherently electrically modulated. DPSS lasers are also inherently free from
the strong nonlaser plasma emission (quite troublesome in PL measurements)
of gas lasers. For most DPSS laser products, an analog or digital modulation
678 Chapter 26

Figure 26.1 Schematic diagram of the FTIR emission spectroscopy setup for PL, EL, and
LS. (OAPM is off-axis parabolic mirror; FM, MM, BS, PD, and PC are fixed mirror, moving
mirror, beamsplitter, photodetector, and computer, respectively, for the FTIR spectrometer;
EP is its emission port, and RM and FL are the reflecting mirror and focus lens, respectively,
for the emission spectroscopy setup.) (Reprinted from Ref. 20.)

input port with a 0- to 5-V scale has become a common option, and the
modulation frequency can be from direct current to tens of kilohertz, fitting
the demands of the DM mode. DPSS lasers have many wavelength options,
with different power efficiencies, etc. For numerous wavelengths, the 808-nm
diode-laser-pumped 1064-nm DPSS laser and its frequency-doubled 532-nm
DPSS laser are preferred for their high power efficiencies and, therefore, lower
price of unit power. The pump power efficiency can exceed 50% for 1064 nm,
and attains 20–35% for 532-nm DPSS lasers. In general, the spectral feature
and beam quality of low-end DPSS laser products are already good enough
for PL excitation. The visible 532-nm DPSS laser could be a direct substitute
for the 514.5-nm argon laser frequently used in earlier PL systems. For
reaching deeper excitation depths and higher excitation power, the infrared
1064-nm DPSS laser should also be a good choice. Although the 1064-nm
DPSS laser is invisible to the human eye, it can be clearly seen by silicon CCD
or CMOS image sensors such as those in handsets, so the adjustment of the
system is still quite easy. Certainly, the diode laser itself could also be used
more directly for PL excitation, but its beam quality remains a problem, as
further shaping of the beam is troublesome.
Our system was based on a Nicolet Magna-IR System 860 FTIR
spectrometer, which is quite an old spectrometer model but has a
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 679

simultaneous synchronous technique module for the SS mode. The FTIR


emission accessory was built on a 30  30 cm2 attachable optical breadboard
with beam height matched to the spectrometer. The excitation light for PL
from the exchangeable DPSS laser head (532 nm or 1064 nm) was steered by
an adjustable reflecting mirror and then focused onto the PL sample by a
small focus lens with a focal length of a few centimeters. The PL sample was
placed horizontally on an adjustable sample holder X-Y stage. The beam
diameter of the DPSS lasers was normally <3 mm, so the spot diameter
through the focus lens on the sample could be below 1 mm. The laser beam
reflected from the sample was blocked and absorbed using a covering. The
excited PL from the sample was collected and collimated toward the emission
port of the FTIR spectrometer using a 90-deg off-axis parabolic mirror
(OAPM) having a diameter of 2 in. and a focal length of 3 in. The gold-coated
OAPM is wavelength nonselective, so this FTIR emission accessory was
suitable from the visible to the FIR. The maximum CW output power of the
DPSS lasers were >1 W, with an analog modulation BNC connector jack.
The output power of the laser is proportional to the modulation voltage in a
5-V range, with maximum modulation frequency extending to >30 kHz. An
EG&G 7265 digital-signal-processing lock-in amplifier was introduced for
phase-sensitive detection, and the connections were quite simple, using only
the external jack of the instruments. The input of the lock-in amplifier was
connected to the detector output jack of the FTIR spectrometer, and its fast
output jack was connected back to the external detector input jack on the
simultaneous synchronous technique module. The purpose of using the fast
output port was to permit a pass through of the signal at Fourier frequency
and to more effectively lock in the emission signal at modulation frequency
in DM mode by properly selecting the time constant related to this port. The
internal oscillator output of the lock-in amplifier with sine wave output
voltage adjustable from 0 to 5 V was connected to the laser driver to
modulate the DPSS laser at the expected lock-in frequency and to control
the output power.
Other option for modulating the DPSS laser was to use an external pulse
generator (square wave was suitable); in this case, the lock-in amplifier was
trigged by the pulse generator. By using this arrangement, the software for
RS, DM, and SS modes could be freely interchanged, without changing the
hardware or connections. A removable reflecting mirror can be simply
inserted into the light path for EL or LS measurements, as shown in Fig. 26.1.
The triggered output from the EL and LS driver was used as the reference for
lock-in amplifier. This attachable PL, EL, and LS accessory was rather
simple, with only four optical parts; its operation was also quite easy. As
illustrated in Fig. 26.1, this FTIR emission accessory was for RT
measurement exposed to air, so the PL sample was simply placed horizontally
on the holder without temperature control; the holder X-Y stage was useful
680 Chapter 26

for checking the PL distribution across the sample area. For EL or LS


measurements, the sample could be temperature controlled using a thermal-
electric cooler on the sample stage. When taking measurements, the operator
simply put the sample on the focus point of the red He-Ne light from the
emission port of the FTIR spectrometer, then steered and focused the DPSS
laser light on the same point for PL. In this setup, no further adjustment was
needed when changing samples, making it very suitable for daily examining of
products. For low-temperature measurements, a similar accessory at an
optical breadboard of the same size was also developed (not shown). For low-
temperature measurements, the samples were normally mounted vertically
on the holder of cryostat or Dewar, so a 2-in. reflecting mirror was added to
turn the light path 90 deg, making the setup more convenient. When using a
1064-nm DPSS excitation laser, a small net video camera was attached to
monitor the laser beam on the computer screen.

26.4 Demonstrations and Discussion


In order to demonstrate the validity of this approach and system, a set of III-V
or II-VI PL samples including substrates, bulk epitaxial layers, and device
structures were measured using this setup; quantum cascade EL and LS
samples were also measured. Those samples were chosen to cover a wider
spectral span of the most interesting NIR-to-MIR bands, and with a large
difference in their intensities. Details of the PL samples and their FTIR
spectrometer settings are listed in Table 26.1. The PL samples are divided into
two groups. The first group (samples a to c) covers the spectral span of NIR–
MIR from 11500 to 2100 cm 1 and is recommended for the combination of a
CaF2 beamsplitter with an InSb (77 K) detector. The second group of samples
(d and e) covers the spectral span of MIR from 4000 to 600 cm 1 and
is recommended for the combination of a KBr beamsplitter with an MCT-A
(77 K) detector. (MCT-A is an A-type detectors that is used for shorter
wavelengths with higher sensitivity.) This work did not focus on analysis of the
spectral details of the samples but on the overall performance of the FTIR
emission system. For easy comparison, all of the samples were measured at RT,
and each group was measured under the same conditions.
Figure 26.2 shows the measured PL signals of the first group of samples in
RS and DM mode, with the background signal (without sample) also
recorded. All of the PL signals in Fig. 26.2 were recorded at a reasonable
resolution of 16 cm 1 with 32 scans at a scan speed of 0.3165 cm/s. The data
acquisition time for each PL signal was 25 s using the FTIR spectrometer. At
this scan speed, the response time of the spectrometer was still comfortable for
the operator, whereas at even lower scan speeds the presetting window of the
instrument became stagnant. These measurements used a 532-nm DPSS
excitation laser with its output power fixed at about 0.3 W. From the left side
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 681

Table 26.1 Details of the PL samples as well as their FTIR spectrometer settings. (MBE –
molecular beam epitaxy, LPE – liquid phase epitaxy. R – resolution.)

No. Sample description RT PL peak (intensity) FTIR setup

a n InP substrate 10761 cm 1 (31%) 11500 2100 cm 1


b MBE n In0.53Ga0.47As 5908 cm 1 (100%) R 16 cm 1
c n InAs substrate 2908 cm 1 (49%) InSb (77 K)/CaF2
d LPE HgCdTe 2130 cm 1 (6%) 4000 600 cm 1
e MBE p InSb/n InSb 1590 cm 1 (0.39%) R 16 cm 1
MCT A (77 K)/KBr

Figure 26.2 Measured PL signals of samples a, b, and c and background signals in both
RS (left) and DM (right) modes (adapted from Ref. 20).

of Fig. 26.2, it can be seen that in RS mode the shortwave thermal


background rises steeply (from about 3500 cm 1) for this quite sensitive InSb
(77 K) detector. The strong CO2 absorption around 2360 cm 1 can be clearly
seen. Normally, for samples a and b with PL at NIR, the influence of the
background is not a problem. Therefore, through the appropriate selection of
the wavelength span, even weaker PL signals could appear as far as the point
where the detection threshold of the FTIR is exceeded.21 Further increase of the
scan times has inconspicuous effects, mainly due to the detector/amplifier noise.
For sample c with PL entering MIR, a different situation occurred. For
sample c of an InAs substrate, its PL intensity was comparable to the
background. In this case, the PL could just be seen but had overlapped the
background. Subtracting the background from the signal often shows poor
results because of random effects; in this case, the RS mode became
inadequate. At even weaker PL intensity, the RS mode was invalidated. For a
sample with unknown features (peak wavelength, intensity, etc.) as in the case
682 Chapter 26

of most scientific research, collecting PL signals using the RS mode in the


MIR is a real challenge.
The right side of Fig. 26.2 shows the measured PL of the same group of
samples in DM mode, with the background signal also shown. All of the PL
signals were still recorded at 16 cm 1 resolution with 32 scans at a speed of
0.3165 cm/s, so the acquiring time for each PL signal remained unchanged at
25 s. For these measurements, the 532-nm DPSS excitation laser was
modulated at about 35 kHz with an average output power of about 0.3 W. In
this wavelength span, the Fourier frequency was limited to about 7 kHz, so
the time constant of the lock-in amplifier was set to 80 ms (at a slope of 6 dB/
octave), quite a critical value for this span and speed. The sensitivity of the
lock-in amplifier was set to a high but unsaturated value of 5 mV. With these
parameters, the system still ran properly, as demonstrated. From the right side
of Fig. 26.2 it can be seen that in DM mode the thermal background was
effectively suppressed, and all of the samples showed flat baselines. The PL
signal of sample c that was inundated in the background in RS mode was
totally emerged. The SNR in DM mode also notably improved. With
adoption of phase-sensitive detection, the SNR can experimentally increase
3–4. Note that in Figs. 26.2 through 26.6, although all of the y axes are in
arbitrary units, their numerical values are still quantitative for comparison.
The signal intensity increased more than 2 orders of magnitude in DM mode
due to the introduction of a lock-in amplifier; therefore, the detection
threshold of FTIR21 was also improved.
The modulation frequency of the detector and lock-in amplifier could
easily be even higher (>100 kHz), whereas, for this ordinary DPSS laser, the
modulation frequency was experimentally limited to <50 kHz. Therefore, if
the spectral span extended further to the shortwave side, the scan speed would
still need to be slowed down, for instance, 2 times to 0.1571 cm/s. At this
speed, the instrument was somewhat stagnant during presetting, and the data
acquisition time increased slightly, but all were still acceptable. At the short-
wave side, the stray-light background rather than the thermal background
might also play an important role. The stray-light background in the visible–
NIR bands could be from sunlight or artificial illumination, or even from an
indicator light or screen; completely blocking this background is very
challenging. Also, working in a completely dark room is not feasible for the
operator. At the shortwave side, the stray-light background can form a fake
signal or even an inundating PL signal in RS mode for the more sensitive
detectors at this band (e.g., InGaAs or Si), whereas in the DM or SS mode
with a modulated excitation source, this unmodulated background can also be
effectively removed (not shown).
Figure 26.3 shows the measured PL signal of the second group of samples
(d and e) in RS (left) and DM (right) modes, at a spectral span of 4000–
600 cm 1 of the MIR band. The background signals were also recorded.
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 683

Figure 26.3 Measured PL of sample d, sample e, and background in RS (left) and DM


(right) modes. The measured PL of sample e in SS mode with one scan is also shown in the
right side (adapted from Ref. 20).

All of the PL signals were still recorded at 16-cm 1 resolution with 32 scans at
a speed of 0.3165 cm/s, with an acquisition time of 25 s for each signal.
The 532-nm DPSS excitation laser was modulated at about 32 kHz, with an
average power of about 0.3 W in DM or SS modes. In this wavelength
span, the Fourier frequency was limited to about 2.5 kHz, and the time
constant of the lock-in amplifier was still set to 80 ms. In this case, the second
modulation frequency is >10 that of the Fourier frequency. The sensitivity
of the lock-in amplifier was set to a high but unsaturated value of 50 mV.
Sample d was an LPE-grown HgCdTe bulk layer in the midwave infrared
band of 3–5 mm, and sample e was an MBE-grown InSb pn structure with PL
at its bandgap wavelength of about 7.3 mm. A resolution of 16 cm 1 was just
enough for most of the PL samples without fine spectral structure, especially
at RT. For a higher resolution of 8 cm 1, the acquisition time correspondingly
increased to 38 s.
Normally, with the increase of wavelength in the long-wavelength side of
the MIR, the PL intensity dramatically decreases, and observation of RT PL
at longer wavelengths becomes more difficult. From the left side of Fig. 26.3,
it can be seen that, in RS mode with MCT-A detector in the MIR band,
the entire RT thermal background appears with a peak around about
1000 cm 1. For samples d and e at RT, no noticeable PL signal could be
found, excepting for the strong thermal background. The recorded
background and “PL” curves were identical. Zooming in or subtracting the
background from the signal still resulted in no trace of the PL signal, implying
that the PL of those samples was much weaker than the RT background.
By adopting the DM mode, the PL signals were clearly extracted, and the
684 Chapter 26

thermal background was effectively suppressed, as shown in the right side of


Fig. 26.3. In this group of samples, the PL of sample d of an LPE-grown MCT
material in the MIR was relatively “strong” with moderate SNR; a sharp
absorption band of CO2 around 2360 cm 1 still occurs clearly in the curve.
Through the purge of the FTIR spectrometer with dry nitrogen, those strong
CO2- or H2O- related absorption bands could be weakened, whereas for this
open-path FTIR emission accessory, they still could not be totally removed.
Therefore, in case of those bands severely overlapping with useful PL
information, a hermetic emission accessory box connected in an air-tight
fashion to the emission port of the FTIR spectrometer should be developed,
despite the inconvenience in operation. The PL intensity of sample e of an
MBE-grown InSb p-n structure on a GaAs substrate at RT was more than one
order of magnitude lower than that of sampled; however, in this case the PL
with some fine structures could still be clearly differentiated in the DM mode
but with a lower SNR.
Notice that for the samples in the MIR, the PL signals were observed only
at low temperatures;4,9 15 therefore, the overall performance of the DM mode
using a DPSS excitation laser modulated at the appropriate frequency was
favorable. It should be noted that, for FTIR spectroscopy, any noise from the
light source to be measured will inherently spread to the entire wavelength
span, even if it actually exists only at a fixed or narrow wavelength range. For
the noise from the electronics, the case is almost the same, while the situation
is more complex. Observing the PL of sample f and background data in DM
mode, a very weak residual background hill (most likely around the position
of the thermal background) with its amplitude comparable to the noise floor
could be noticed. We find that this noise hill was related to the
electromagnetic interference between the adjunctive lock-in amplifier/laser
driver and the FTIR spectrometer. This interference was effectively weakened
through appropriate grounding. It can also be noticed experimentally that,
due to the introduction of the second modulation frequency, some extra
interference or noise at an unpredictable wavenumber zone might present.
That interference or noise was most possibly caused by the weaker cross-
modulation components of the second modulation frequency with the Fourier
signal frequency in the lock-in amplifier, although the modulation frequency
originated far from the Fourier frequency. Through the fine adjustment of the
excitation laser modulation frequency, that interference or noise can be
adequately removed or suppressed. In experiments, the DPSS-excitation-laser
modulation frequency was finely adjusted around the setting value to see the
cleanness (the degree to which it is free of interference peak or strong noise in
the window, without sample) of the monitor wavenumber window in the
presetting mode of the FTIR spectrometer; or, the wavelength span was
shifted/narrowed to keeping it away from the interference peak or strong noise
zone. In this case, a better SNR could be reached for the acquired data.
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 685

Table 26.2 Comparison of the data acquisition time for each mode under certain
conditions.

4000 to RS mode (0.3165 cm/s) DM mode (0.3165 cm/s) SS mode


1
600 cm
R 8 cm 1
R 16 cm 1
R 8 cm 1
R 16 cm 1
R 8 cm 1
R 16 cm 1

1 Scan <2 s <1 s <2 s <1 s 12 min 7.4 min


32 Scans 38 s 25 s 38 s 25 s 6.2 h 3.8 h

In the right side of Fig. 26.3, the PL of sample e in SS mode is also shown;
this data was also acquired at a resolution of 16 cm 1 but only in one scan.
The DPSS laser was still modulated at the same frequency. From this trace, it
can be seen that, even using only one scan, the SNR in SS mode is still better
than that in the DM mode. Adopting this approach, in the SS mode, the
excitation laser modulation frequency is more appropriate than the DM mode
for the lock-in amplifier to reach lower noise. Also, the Fourier frequency is
“zero,” so there is no possible cross interference with the excitation laser
modulation frequency; therefore, a better SNR was achieved, even at only one
scan. However, the data acquisition time for one scan in SS mode at the same
spectral span was 7.4 min, a value that is still acceptable but much longer than
those of 32 scans in RS or DM modes. For 32 scans in SS mode, data
acquisition time was increased to an unpractical value of 3.8 h. Table 26.2
compares the data acquisition time for etch modes under certain conditions on
this spectrometer.
It should be noted that the time consumption in the SS mode was an
obvious practical demerit. For FTIR PL measurements at this lower
resolution, at least several minutes will be needed in SS mode. This longer
time period is not only a challenge to the stability of the system, including
laser and spectrometer, but also a perilous factor for data acquisition failure
in catching a random strong electromagnetic interference from the power
line and so on, despite the patience of the operator. Depending on the
circumstances, the SS mode could be an effective spare mode for FTIR PL in
this approach. That is, when a weak PL signal has been caught in DM mode
but with poor SNR, switching the FTIR spectrometer directly to the SS mode
with a few scans could be attempted in order to acquire better data. In each of
the RS, DM, and SS modes, the data acquisition time is directly related to the
spectral span and resolution. For device characterization using an emission
port, such as the lasing spectrum of semiconductor laser, the highest
resolution (0.125 cm 1 in this spectrometer) with a limited spectral span is
commonly recommended for differentiating the lasing modes and line shape in
a narrower-wavelength range. At this highest resolution, the repeatability of
different scans and stability of the device under test cannot be guaranteed;
686 Chapter 26

Figure 26.4 Measured EL spectra of a RT quantum cascade sample around 7.7 mm in RS


(left), DM (right), and SS (right) modes. The inset in the right is a zoom in of the spectrum in
DM mode. (Adapted from Ref. 20.) (See color plate section.)

therefore, normally, only one scan is used, even in RS or DM modes. In the


SS mode the highest resolution is normally lower than that in the RS mode
(0.5 cm 1 in this spectrometer) because of the unacceptably long data
acquisition time at high resolution and servo-precision of the spectrometer. If
the highest resolution in SS mode is still sufficient for device characterization,
the spectral span should be as narrow as possible for decreasing the total data
acquisition time; in this case, the SS mode could still be a useful spare mode.
As a demonstration, EL spectra of a quantum cascade sample22 at RT
around 7.7 mm were also measured in RS, DM, and SS modes under the same
driving condition, as shown in Fig. 26.4. This sample was electrically driven
below its threshold using square pulses of 200- ns duration at a repeat frequency
of 50 kHz. The sample length was 3 mm. EL intensity is normally much weaker
than lasing signal intensity; at the MIR band, it is often comparable to the
thermal background. As shown in the left side of Fig. 26.4, in RS mode at the
highest resolution of 0.125 cm 1 of this instrument, with one scan, the EL signal
can be clearly seen but overlapping the thermal background. Some absorption
features of the water vapor on the thermal background also appear. The
acquisition time for this spectrum was 15 s at a scan speed of 0.9495 cm/s. In this
case, the resolution was almost enough, but the background was really too high;
therefore, the data were unsuitable for extracting the gain/loss features of the
sample using Hakki–Paoli23 or modified methods.
The right side of Fig. 26.4 shows the spectrum in DM mode using the same
acquisition time; the thermal background is totally restrained, and the data
quality remarkably improved. This data with appropriate contrast, as shown in
the inset, was good enough for extracting the gain/loss features. The
EL spectrum was also measured using the SS mode at a 32 lower resolution
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 687

Figure 26.5 Measured lasing spectra of a low-temperature QCL around 7.9 mm in RS (left)
and DM (right) modes. (Adapted from Ref. 8.)

of 4 cm 1, with one scan, as also shown in the right side. In this case, the SNR
was good, but the acquisition time increased dramatically to 1268 s at the same
wavelength span. Only an envelope of the spectral feature could be acquired.
Notice that the highest resolution in SS mode was limited to a lower value of
0.5 cm 1 in this instrument for the unacceptably long acquisition time (>2.5 h at
0.5 cm 1 resolution, 100-cm 1 wavelength span with one scan). The sample
chirp and random effect during this long acquiring time was uncontrollable;
therefore, the SS mode was unsuitable for acquiring such fine spectral features.
The DM mode was also recommended for LS, especially for the device
with a lasing wavelength >5 mm (<2000 cm 1) and with low output power.
Figure 26.5 shows the LS of a Fabry–Pérot QCL at a wavelength of about
7.9 mm measured in both RS and DM modes. This device was lasing at a very
low temperature of 20 K with quite weak intensity. In the measurement, the
device was driven using a square pulse of 50 ns at a repeat frequency of
100 kHz, and the resolution was still at 0.125 cm 1 with one scan. From the
left side it can be seen that in RS mode the lasing intensity is still comparable
to the thermal background, in this case, even if the signal can be observed but
is quite difficult to catch in the measurement. By switching to the DM mode,
as shown in the right side, the background was effectively eliminated; the
signal was amplified by more than three orders of magnitude. In this case,
catching the lasing signal became considerably easier.
Figure 26.6 shows the measurement results of another LS sample.17 The
sample was a DFB-QCL lasing around 7.8 mm that was driven using square
pulses at a repeat frequency of 10 kHz. All of the spectra were acquired at DM
mode, and the resolution was still at 0.125 cm 1 with one scan. The left side
shows that the lasing spectra of the device was driven at a relatively short
688 Chapter 26

Figure 26.6 Measured lasing spectra of a RT QCL around 7.8 mm in DM mode at various
temperatures (left) and pulse durations (right). (Reprinted from Ref. 17.)

pulse duration of 100 ns with different currents. In this short pulse duration,
the self-heating effect was inconspicuous, and a apparent lasing line width of
about 0.24 cm 1 could be estimated. The heat sink temperature of the laser
was changed from 0 8C to 50 8C, and the temperature coefficient of the lasing
peak is about –0.089 cm 1/K (0.54 nm/K).
The right side of Fig. 26.6 shows that the laser spectra of the device were
driven at different pulse durations, from 50 to 600 ns, while the driving current
and heat sink temperature were fixed. It can be clearly seen that, with the
increase in pulse duration, the apparent lasing spectra broadened dramatically
toward the red side, revealing the self-heating and wavelength scan effects of
the driving pulse. An average wavelength scan rate of about 0.012 nm/ns
(–0.002 cm 1/ns) could be estimated from those measurements. All of the fine
features were valuable for adapting the device to tunable-diode-laser
absorption spectroscopy applications.
It should be noticed that, if the output power of the laser is high enough,
then the RS mode is sufficient for LS measurement, so the DM mode becomes
unnecessary. In certain cases, if the output power of the laser is too high, it
should be attenuated to prevent saturation of the photodetector in the FTIR
spectrometer. Nevertheless, the SS mode is almost futile in LS measurements
for its limited resolusion and extremely long acquision time.

26.5 Summary and Future Perspectives


In summary, the basis of FTIR spectroscopy has been reviewed, and the
necessity and possibility of emission spectroscopy adapting FTIR spectrome-
try to the MIR has been developed. An improved FTIR spectroscopy
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 689

approach developed for emission spectroscopy of PL, EL, and LS from the
NIR to MIR has been introduced, in which diode-pumped solid state
excitation lasers were adopted for PL excitation. In this approach, three
different FTIR modes of rapid scan, double modulation, and step scan were
software switchable without changing of the hardware or connections. The
advantages and limitations of each mode were analyzed in detail. Using this
approach, a group of III-V and II-VI PL samples from the NIR extending to
the MIR with PL intensities in a wider range were characterized at RT to
demonstrate the validity and overall performances of the system. The EL and
LS of different quantum cascade samples in the MIR band were also surveyed
at different modes and resolutions. Results show that for samples with
relatively strong PL, EL, or LS signals outside the background signals, the RS
mode is the most preferable. For weaker PL, EL, or LS signals overlapped
with the background signals, double modulation is the most effective mode.
To obtain a better SNR when weaker PL or EL signals have been observed in
the DM mode, switching to the SS mode should be an advisable option,
despite the long data acquisition time and limited resolution.
Through this work, a simple, convenient, and universal emission accessory
attached to a commercial FTIR spectrometer has been developed; its
feasibility and performance have been adequately validated, although it has
not progressed past the laboratory. Accordingly, installing this accessory into
a hermetic box connected to the emission port of the FTIR spectrometer in an
air-tight manner to prevent the influence of water and CO2 absorption
features through nitrogen purge will be necessary. Furthermore, a commercial
integrated FTIR system including PL, EL, LS and conventional absorption/
reflection functions for semiconductor material and device specifically are
expected imminently, although this may still depend on volume demands.

Acknowledgments
I am very grateful to my colleagues and students for their cooperation and
encouragement. This work is supported in part by the National Basic
Research Program of China under grant No. 2012CB619200 and the National
Natural Science Foundation of China under grant No. 61275113.

References
1. P. Fellgett, “The Multiplex Advantage,” Ph.D. thesis, Cambridge
University, Cambridge, UK (1951).
2. P. Jacquinot, “New developments in interference spectroscopy,” Rep.
Prog. Phys. 23, 267–312 (1960).
690 Chapter 26

3. D. Baker, A. Steed, and A. T. Stair, Jr., “Development of infrared


interferometry for upper atmospheric emission studies,” Appl. Opt. 20(10),
1734–1746 (1981).
4. K. A. Harris, S. Hwang, D. K. Blanks, J. W. Cook, Jr., J. F. Schetzina,
N. Otsuka, J. P. Baukus, and A. T. Hunter, “Characterization of a HgTe-
CdTe superlattice by means of transmission electron microscopy and
infrared photoluminescence,” Appl. Phys. Lett. 48, 396–398 (1986).
5. Y. Gu, Y. G. Zhang, K. Wang, X. Fang, C. Li, Y. Y. Cao, A. Z. Li, and
Y. Y. Li, “InP-based InAs/InGaAs quantum wells with type-I emission
beyond 3 mm,” Appl. Phys. Lett. 99, 081914 (2011).
6. Y. G. Zhang, J. X. Chen, Y. Q. Chen, M. Qi, A. Z. Li, K. Fröjdh, and
B. Stoltz, “Characteristics of strain compensated 1.3 mm InAsP/InGaAsP
ridge waveguide laser diodes grown by gas source MBE,” J. Crystal
Growth, 227–228, 329–333 (2001).
7. Y. G. Zhang, A. Z. Li, Y. L. Zheng, C. Lin, and G. Z. Jian, “MBE grown
2.0 mm InGaAsSb/AlGaAsSb MQW ridge waveguide laser diodes,”
J. Crystal Growth, 227–228, 582–585 (2001).
8. G. Y. Xu, A. Z. Li, Y. Y. Li, L. Wei, Y. G. Zhang, C. Lin, and H. Li,
“Low threshold current density distributed feedback quantum cascade
lasers with deep top gratings,” Appl. Phys. Lett. 89, 161102 (2006).
9. A. R. Reisinger, R. N. Roberts, S. R. Chinn, and T. H. Myers II,
“Photoluminescence of infrared sensing materials using a FTIR spectro-
meter,” Rev. Sci. Instrum. 60, 82–86 (1989).
10. N. L. Rowell and H. Buijs, “Double modulation Fourier transform
infrared photoluminescence of InSb,” Mikrochim. Acta 1, 435–439 (1988).
11. F. Fuchs, A. Lusson, J. Wagner, and P. Koidl, “Double modulation
techniques in Fourier transform infrared photoluminescence,” Proc. SPIE
1145, 323–326 (1989) [doi: 10.1117/12.969482].
12. F. Fuchs, A. Lusson, and P. Koidl, “Fourier transform infrared
photoluminescence of Hg1 xCdxTe,” J. Crystal Growth 101, 722–726
(1990).
13. F. Fuchs, J. Schmitz, J. D. Ralston, P. Koidl, R. Heitz, and A. Hoffmann,
“Spatially indirect photoluminescence from InAs/AlSb heterostructures,”
Superlattices and Microstructures 16, 35–40 (1994).
14. F. Fuchs, J. Schmitz, H. Obloh, J. D. Ralston, and P. Koidl,
“Photoluminescence of InAs/AlSb single quantum wells,” Appl. Phys.
Lett. 64, 1665–1667 (1994).
15. T. K. Tran, A. Parikh, T. Kelz, J. W. Tomm, W. Hoerstel, P. Schäfer,
B. K. Wagner, S. D. Pearson, R. B. Tassius, and C. J. Summers,
Emission Spectroscopy in the Mid-infrared using FTIR Spectrometry 691

“Properties of Hg0.7Cd0.3Te/CdTe superlattices with semiconducting


wells,” J. Crystal Growth 159, 1080–1084 (1996).
16. Y. G. Zhang, K. J. Nan, and A. Z. Li, “Characterization of InAlAs/
InGaAs/InP mid-infrared quantum cascade lasers,” Spectrochimica Acta
Part A 58, 2323–2328 (2002).
17. Y. G. Zhang, G. Y. Xu, A. Z. Li, Y. Y. Li, Y. Gu, S. Liu, and L. Wei,
“Pulse wavelength scan of room-temperature mid-infrared distributed
feedback quantum cascade lasers for N2O gas detection,” Chin. Phys.
Lett. 23, 1780–1783 (2006).
18. J. Shao, W. Lu, X. Lü, F. Y. Yue, Z. F. Li, S. L. Guo, and J. H. Chu,
“Modulated photoluminescence spectroscopy with a step-scan Fourier
transform infrared spectrometer,” Rev. Sci. Instrum. 77, 063104 (2006).
19. X. H. Zhang, J. Shao, L. Chen, X. Lü, S. L. Guo, and H. Li, “Infrared
photoluminescence of arsenic-doped HgCdTe in a wide temperature range
of up to 290 K,” J. Appl. Phys. 110, 043503 (2011).
20. Y. G. Zhang, Y. Gu, K. Wang, X. Fang, A. Z. Li, and K. H. Liu,
“Fourier transform infrared spectroscopy approach for measurements of
photoluminescence and electroluminescence in mid-infrared,” Rev. Sci.
Instrum. 83, 053106 (2012).
21. A. Bignazzi, E. Grilli, M. Radice, M. Guzzi, and E. Castiglioni, “Fourier
transform spectroscopy applied to photoluminescence: Advantages and
warnings,” Rev. Sci. Instrum. 67, 666–671 (1996).
22. G. Y. Xu, A. Z. Li, Y. G. Zhang, and H. Li, “Continuous-wave operation
quantum cascade lasers at 7.95 mm,” J. Crystal Growth 278, 780–784 (2005).
23. B. W. Hakki and T. L. Paoli, “Gain spectra in GaAs double-
heterostructure injection lasers,” J. Appl. Phys. 46(3), 1299–1305 (1975).

Yong-gang Zhang received the B.S. degree in semicon-


ductor devices from Nanjing Institute of Posts and
Telecommunications, China, in 1982, and M.S. and
Ph.D. degrees in semiconductor physics from Shanghai
Institute of Metallurgy, Chinese Academy of Sciences in
1987 and 1996, respectively. He was a teacher from 1982
to 1984 and joined Shanghai Institute of Metallurgy (now
Shanghai Institute of Microsystem and Information
Technology), Chinese Academy of Sciences in 1987. His research interests
include III-V semiconductor optoelectronic materials, devices, and applica-
tions. Since 1996, he has been a research professor at the State Key Laboratory
of Functional Materials for Informatics, where he has supervised more than
20 Ph.D. and M.S. students. He is a senior member of IEEE.
Chapter 27
Photonic Sensing of
Environmental Gaseous Nitrous
Acid (HONO): Opportunities
and Challenges
Weidong Chen and Rabih Maamary
Laboratory of Physical Chemistry of the Atmosphere, University
of the Littoral Opal Coast, Dunkerque, France

Xiaojuan Cui
Laboratory of Physical Chemistry of the Atmosphere, University of the Littoral Opal
Coast, Dunkerque, France and Anhui Institute of Optics & Fine Mechanics,
Chinese Academy of Sciences, Hefei, China

Tao Wu
Laboratory of Physical Chemistry of the Atmosphere, University of the Littoral Opal
Coast, Dunkerque, France and Key Laboratory of Nondestructive Testing,
Nanchang Hangkong University, Nanchang, China

Eric Fertein
Laboratory of Physical Chemistry of the Atmosphere, University of the Littoral Opal
Coast, Dunkerque, France

Dorothée Dewaele and Fabrice Cazier


Joint Center for Measurement, University of the Littoral Opal Coast, Dunkerque,
France

Qiaozhi Zha, Zheng Xu, and Tao Wang


Department of Civil and Structural Engineering, The Hong Kong Polytechnic
University, Hong Kong, China

693
694 Chapter 27

Yingjian Wang, Weijun Zhang, Xiaoming Gao, Wenqing Liu, and Fengzhong Dong
Anhui Institute of Optics & Fine Mechanics, Chinese Academy of Sciences,
Hefei, China

27.1 Introduction
27.2 State of the Art Instruments for Measurement of Atmospheric HONO
27.2.1 Wet chemical analytical methods
27.2.2 Gas phase spectroscopic analytical methods
27.2.2.1 Long path length absorption based direct spectroscopic detection (DOAS,
TLAS, IBBCEAS, CRDS, FTIR)
27.2.2.2 Indirect spectroscopic detection (PF LIF, CIMS, TDC)
27.3 HONO Sample Production and Quantification
27.3.1 Production of HONO samples in the laboratory
27.3.2 Quantification of HONO concentration
27.3.3 HONO losses on absorption cell wall
27.4 Photonic Monitoring using Infrared Laser
27.4.1 Environmental HONO monitoring by multipass cell based long path absorption
spectroscopy using an 8 mm QCL
27.4.2 HONO monitoring near 2.8 mm
27.5 Photonic Monitoring using LED based IBBCCEAS
27.5.1 Concentration retrieval of multiple absorbers from a structured broadband
absorption spectrum
27.5.2 Determination of cavity mirror reflectivity
27.5.3 Allan variance
27.5.4 Instrumental development and application
27.5.4.1 Open cavity configuration
27.5.4.2 Closed cavity configuration
27.6 Summary and Outlook
Acknowledgments
References

27.1 Introduction
Most pollutants emitted into the atmosphere by natural sources or human
activities are removed by atmospheric oxidants (OH and NO3 radicals, O3, Cl
atom, etc.) via chemical oxidation processes. For instance, the hydroxyl free
radical (OH), as a primary “cleansing agent,” removes 85% CO, 90% CH4,
30% SO2, 50% NO2, and most volatile organic compounds (VOCs) from the
atmosphere.1 It is well known that, besides ozone (O3) and formaldehyde
(CH2O), nitrous acid (HONO in gas phase and HNO2 in liquid phase) acts as
an important source of OH radical.2 4 HONO is usually considered to be an
important OH source by its photolysis in the early morning.5,6 Recent
measurements revealed, however, a strongly enhanced formation of HONO
during daytime via unknown mechanisms, the photolysis of which accounts
for up to 60% of the integrated hydroxyl radical source strengths,4,7 10
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 695

resulting in the photolysis of HONO being viewed as a much more important


source of daytime OH radical than previously thought.
Despite its importance and several decades of research, the sources and
sinks of HONO as well as their formation mechanism in the atmosphere
are still not completely defined and understood. Field observations show that
modeled HONO concentrations are often significantly below observed values,
suggesting a large missing source of HONO. In the lower atmosphere,
the following formation pathways of gaseous HONO are commonly
considered.3,4,11 14
Direct combustion emissions: On average, 0.3–0.8% of total traffic-induced
NOx can be apportioned to direct HONO emission.15,16 Recent results show
that when industrial plumes are affecting the area, discrepancies between the
modeled HONO levels and observations are larger, suggesting that HONO
sources (from both industrially derived emissions and additional chemical
formation pathways) are still missing in the model.17 Another study has been
recently carried out on measurement of HONO from jet engine exhaust.18 The
authors observed that the photolysis of HONO in these plumes is roughly
three orders of magnitude faster than that observed in a typical sunny urban
atmosphere, is by far the biggest source of HOx during the daytime, and has
an important impact on local air quality and climate in heavily traveled flight
corridors. HONO concentrations as high as some hundreds of ppbv (parts per
billion by volume) have been recently observed in gas emissions from biomass
combustion.19
Homogeneous production mainly from the reaction between OH radical
and nitric oxide (NO).11,20,21, Potential contribution of the reaction of
electronically excited NO2 (indicated as NO2*) with water vapor to the
oxidation capacity of the troposphere was investigated in recent modeling
studies.13,22
Heterogeneous formation from nitrogen dioxide (NO2) hydrolysis on
aerosol and ground surfaces.23 25 Photoenhanced conversion of NO2 into
HONO on surfaces covered with humic acid and other similar organic
compounds has been proposed as a strong source of daytime HONO.9,26 An
additional nighttime formation of HONO on aqueous surfaces in the marine
boundary layer was recently reported.27
Photolysis production: HONO production from the photolysis of
nitrophenols in a flow tube photoreactor was observed and suggested as
a non-negligible new HONO source for the urban daytime atmosphere.28
Recently, a significant upward flux of HONO over a rural forest canopy in
Michigan was measured during the day, with a peak around noontime that
was positively correlated with the product of leaf surface nitrate loading
and the rate constant of nitrate photolysis. The photolysis of nitric acid on
forest canopies was proposed as an important daytime source of HONO to
the lower atmosphere in rural environments.29 In addition, elevated
696 Chapter 27

concentrations of HONO emitted by snowpack were measured,30 32 resulting in


considering the photolysis of nitrate (NO3 ) within the snowpack as the
dominant origin of NOx and HONO, and giving rise to a much greater
production of OH radicals in the polar atmospheric boundary layer than
previously believed.33
Recent research34,35 showed that nitrite in soil can produce daytime
HONO released into the atmosphere, and the amount of HONO increases
with increasing soil acidity. This finding not only helps identify one source of
“missing” atmospheric HONO but also shows a potentially strong source of
HONO and thus OH: agricultural activities and land-use changes may
strongly influence the oxidizing capacity of the atmosphere.
The heterogeneous reaction and the surface photolysis reaction are usually
considered as the most important sources of HONO in the atmosphere,
accounting for about 86% of the predicted HONO.36 Recent studies indicate
that concentrations of HONO simulated with only gas phase chemistry do not
change with altitude, while measured HONO concentrations decrease with
height. A trend of decreasing HONO concentration with altitude was well
captured with Community Multi-scale Air Quality (CMAQ)-predicted
concentrations when heterogeneous chemistry and photolytic sources of
HONO were taken into account.
Furthermore, measurements of the vertical profiles of HONO concentra-
tion are of importance when considering the contribution of nighttime radical
reservoirs. It was observed that nighttime accumulated radical sources
account for about 10% of new radicals at the Pasadena ground site.37
Gradient measurements of HONO have been performed in order to improve
our understanding of daytime HONO and its spatial gradients for better
constraining radical budgets.3,38 43
However, to date, knowledge of the sources and the formation
mechanism of HONO remains somewhat controversial.22,44 This is due to
the difficulty in measuring this highly reactive short-lived species, though
various instruments have been developed and field established for HONO
detection.45,46 In order to improve our understanding of atmospheric
HONO chemistry and evaluate the various techniques available for its
routine measurements, during the last decade, more than 10 intensive field
campaigns have been organized, such as INTERCOMP2000 (Melpitz,
Germany)47, ESCOMPTE2001 (Marseille, France),48 PRIDE-PRD2004
(Pearl River Delta, China),49 BEARPEX2007 (Lake Tahoe, California),50
DOMINO2008 (southern Spain),13 SHARP2009 (Houston, Texas),43
CalNex2010 (California),37 FIONA2010 (Spain),51 conducted in typical
urban and semirural conditions or smog simulation chambers.
Apart from its crucial role in tropospheric chemistry, HONO is also an
important indoor air pollutant. Its reaction with amines can lead to
carcinogenic nitrosamines under atmospheric conditions.52
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 697

27.2 State-of-the-Art Instruments for Measurement of


Atmospheric HONO
Reliable and real-time assessment of the concentration change of HONO in
the atmosphere is thus crucial for understanding HONO chemistry: its
formation and role in OH-radical production, and, hence, the oxidation
capacity of the atmosphere. This oxidation capacity might have a severe
impact on air pollution models, on prediction of tropospheric chemical
processes, and on global environmental change as well as on political
decisions related to emission control strategies. Due to its atmospheric and
environmental interests, a number of analytical techniques have been
developed for HONO monitoring in the atmosphere and can be classified
into two categories: (1) analysis of HONO in the aqueous phase after chemical
conversion by wet chemical methods or (2) analysis of HONO in the gas phase
using spectroscopic techniques.

27.2.1 Wet chemical analytical methods


By wet chemical sampling (by means of denuder, mist chamber, or stripping
coil), HONO is sampled on aqueous/humid surfaces and converted into a
species suitable to be analyzed with conventional chemical analytical techniques
such as ion chromatography (IC), fluorescence (FL), chemiluminescence (CL),
long-path absorption photometer (LOPAP), and high-performance liquid
chromatography (HPLC).
In the mist chamber / ion chromatography (MC/IC) approach, sampled
gases are concentrated into a small volume of ultrapure water in a mist
chamber, and HONO is converted to nitrite (NO2 ). The nitrite is then
analyzed by IC. This technique has a detection limit of 1 ppt (parts per
trillion) for 30 min of collection and is widely used in many field
experiments.31,53,54
LOPAP is based on wet chemical sampling and photometric detection.
HONO from sample gas is collected via a stripping coil into the liquid phase
and reacts immediately to form a diazonium salt and is then converted into
the final color intensive azo dye, which is photometrically detected in long-
path absorption. The detection limit is down to 0.2 pptv (parts per trillion by
volume) in 7 min with a measurement accuracy of 7%.55 58
HPLC relies on aqueous scrubbing of HONO in a coil sampler containing
a pH7 phosphate buffer, followed by derivatization of nitrite with
sulfanilamide (SA) and N-(1-naphthyl)-ethylenediamine (NED) to form an
azo dye. HONO is then separated from other impurities on a C-18 HPLC
column and detected by a detector at 540 nm30,59 or by derivatization with
2,4-dinitrophenylhydrazine (DNPH) to form 2,4-dinitophenyl azide (NDPA)
and then separated from DNPH on a C-18 HPLC column. The detection is
698 Chapter 27

made using an ultraviolet (UV) detector at 290 nm.60 The detection limit is
less than 5 pptv for a sample collected in 5 min.
The wet denuder48,61 63 is an effective and relatively inexpensive wet-
chemical sampling approach that allows separating particles from the trace
gases to be analyzed. The detection limit can be as low as a few pptv with a
time resolution ranging from a few minutes to several hours. A variety of
denuders have been developed and coupled to different chemical analytical
techniques for HONO quantification, such as:
• Air-dragged aqua-membrane denuder (ADAMD) combined with FL
detection,64
• Wet effluent diffusion denuder (WEDD) coupled to flow-injection CL
detection65 or coupled to IC.47,62,66 68, and
• Rotated wet annular denuder (RWAD) coupled with IC.47,63
The chemical instruments are typically inexpensive, easy to use, and very
sensitive, with detection limits as low as a few pptv with a time resolution in
the range of minutes to hours. However, since HONO is sampled on humid/
aqueous surfaces and can be likely formed heterogeneously on the surfaces,
these wet-chemical-based instruments often suffer from unquantified
chemical interferences and sampling artifacts.48,69 Significant differences
were observed in previous intercomparisons involving different measure-
ment techniques.68,70,71 Validation and calibration of chemical instruments
against self-calibrated spectroscopic instruments free of sampling artifacts
are of paramount importance.

27.2.2 Gas phase spectroscopic analytical methods


Spectroscopic analytical techniques are capable of making nonintrusive real-
time in situ point measurement or remote sensing of multiple species. No
additional sample preparation (such as species separation and trapping,
chemical conversion and ionization, preconcentration, etc., usually used in
chemical analytical techniques) is needed. Sampling artifacts and loss
(chemical interferences resulting from sampling or/and analytical induced
artifacts) are often not encountered by spectroscopic methods; this fact could
significantly improve the measurement accuracy.
The spectroscopic instruments allowing HONO quantification directly in
the gas phase can be classified into two categories: direct and indirect
spectroscopic detection schemes.

27.2.2.1 Long-path-length-absorption-based direct spectroscopic detection


(DOAS, TLAS, IBBCEAS, CRDS, FTIR)
Quantification of HONO in the gas phase by spectroscopy is based on the
Beer–Lambert law. The use of long-path-length absorption (of up to several
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 699

kilometers) is the key issue to reach the required sensitivity for successful
tropospheric sensing.
One well-established technique is based on differential optical absorption
spectroscopy (DOAS), involving long-path (some tens of meters to kilometers)
light absorption in the visible and near-UV wavelength range. Absorption by
molecules along the open path through the atmosphere is recorded with a
grating spectrometer and multivariate-fitted to reference spectra of the
corresponding absorbers to identify the molecular species and retrieve their
path-integrated concentrations.6,70,72 74 By use of a relatively wide spectral
source, DOAS allows simultaneous quantification of multiple absorbing species
(typically 2–10) having structured broadband absorption features. The typical
detection limit for HONO is from 30 to 200 pptv, depending on the optical
length of DOAS, with an average time resolution of about 2 min. So far, it is an
optical instrument well adapted for gradient measurement of HONO
concentration.38,42,43,75 Though the DOAS technique is selective, free of
sampling induced artifacts and chemical interferences,72 with a good detection
limit,73,76 the small-scale spatial distribution of HONO in the atmosphere
cannot be well resolved with such a long optical absorption configuration.
For high-spatial-resolution in situ measurements, long-path-length absorp-
tion can be achieved by means of multiple reflections of the probing light in a
multipass optical cell (Ref. 77 and references therein), providing effective optical
path length from 18 to 200 m, or in a high-finesse optical cavity formed with 2 or
3 high-reflectivity dielectric mirrors, on which the light may bounce back and
forth up to one thousand times, leading to 1–10-km-long effective absorption
path lengths. The spectroscopic techniques used for in situ concentration
measurements are discussed next.
Tunable laser absorption spectroscopy (TLAS) in the infrared was first
applied to the measurement of ambient HONO in 2001 using the absorption of
an R-branch line of the n3 band near 1280.4 cm 1 in a 126-m cell. A detection
limit of 300 pptv [1 s, for signal-to-noise ratio (SNR) ¼ 1] was obtained using
30-min-time-averaged data.78 Though the temporal resolution was low, this
technique demonstrated higher sensitivity than FTIR (Fourier-transform
infrared) spectroscopy and greater spatial resolution than DOAS. Li et al.79
reported an improved 1 s detection limit of <200 pptv for a 1-s integration time
by using a lead-salt tunable diode laser operating around 1713.5 cm 1
combined with a 153-m-long absorption cell. Recently, Lee et al.80 developed a
two-channel quantum cascade laser (QCL)-based spectrometer for simulta-
neous measurement of HONO (1660 cm 1) and NO2 (1604 cm 1) absorptions
in a 210-m-long multipass cell at a reduced pressure. The resulting 1-s 1 s
detection limits were 100 and 10 ppt for HONO and NO2, respectively.
Incoherent broadband cavity-enhanced absorption spectroscopy (IBB-
CEAS), introduced by Ruth and coworkers in 2003,81 is an approach
employing a cavity-enhanced absorption scheme associated with a broadband
700 Chapter 27

probing source in the visible and UV spectral regions. IBBCEAS instruments


offer the capacity of high-sensitivity multispecies detection (like DOAS) with
high spatial resolution. A typical DOAS analysis algorithm is used for
retrieving the concentrations of the multiple absorbers via a multivariate fit.74
Cavity ring-down spectroscopy (CRDS) in the UV at 354 nm was
demonstrated as a promising method for HONO detection82 in 2000. However,
to our knowledge, it has not yet been deployed for field measurements. The
difficulty in field application of the CRDS technique is to isolate the absorption
signal of nitrogen dioxide (NO2) from that of HONO, as NO2 absorbs in the
same wavelength region,82 requiring a DOAS-type data processing approach.
FTIR spectroscopy, in conjunction with a multipass absorption cell, can
simultaneously measure multispecies absorption spectra in a wide infrared
spectral range. However, its detection sensitivity is rather suitable for HONO
measurements in highly polluted environments.83 This technique is used more
in laboratory studies than in atmospheric measurements.

27.2.2.2 Indirect spectroscopic detection (PF-LIF, CIMS, TDC)


Besides the long-path-length-absorption-based direct spectroscopic detection
approaches discussed above, several sensitive detection techniques based on
indirect spectroscopic measurement have been developed and successfully
applied to gaseous HONO sensing. These techniques are discussed below.
Photofragmentation laser-induced fluorescence (PF-LIF).12,84 This method
involves photofragmentation (lPF ¼ 355 nm) of HONO into OH and ON. The
HONO quantification is made by highly sensitive laser-induced FL measure-
ment of OH (llaser ¼ 282 nm, lLIF ¼ 309 nm). HONO detection sensitivity of
15 pptv for 1-min integration time has been achieved,12 but the uncertainty was
as high as 35% due to the generation of artificial OH signals by photolysis
of potential atmospheric species (such as H2O2, HNO3, CH2O, HO2NO2)
at 282 nm or 355 nm, and the background signal resulting from ozone and water
vapor.
Chemical ionization mass spectrometry (CIMS). This is a sensitive and fast
measurement method. Chemical ionization of HONO by a reagent ion to
yield a product ion (HONO  F by ion drift or NO2 by negative ion proton
transfer) that is then analyzed by a quadrupole mass analyzer for HONO
identification and quantification.19,85
Thermal dissociation chemiluminescence (TDC). This method relies on
thermal dissociation of HONO into nitric oxide (NO) and OH radical. The
quantification of HONO is realized via detection of the NO fragment in the
gas phase by the CL of its reaction with ozone.86 Detection limit of 50 ppt in
3 s was estimated based on the performance of commercially available
instruments for NO detection.
Table 27.1 provides an overview of the most common techniques used
for routine measurements of atmospheric HONO, with selected references.
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 701

Table 27.1 Comparative overview of the main techniques available for routine atmospheric
measurements of HONO (with typical concentration ranging from 30 pptv to 15 ppbv).
Techniques’ acronyms are (re)defined below the table.

Technique Detection Precision/ Remarks Reference


limit* Accuracy
(int. time)

Wet chemical analytical methods


Wet denuders
RWAD/IC 4 pptv 10 15% Trace gaseous HONO is collected in 63
(20 60 min) NaHCO3 absorption solution in a RWAD,
and then is analyzed online by mobile IC
with suppressed conductivity detection.
Sensitive; long integration time.
ADAMD/FL 3 pptv HONO sampled in water in an ADAMD. 64
(2 min) The absorbed nitrite is reacted with
2,3 diaminonaphthalene (DAN) to form
1 naphthotriazol that is detected by FL
(llaser 360 nm, lLIF 405 nm).
WEDD/ 15 pptv HONO sampled in deionized water in a 65
FIA-CL (70 s) cylindrical WEDD and then oxidized into
peroxynitrous acid, followed by online
measurement of the chemiluminescent light
emitted during the reaction of peroxynitrite
with luminol by flow injection CL analysis
(FIA CL).
Influence of the presence of NO2, PAN, and
the mixture of NO2/SO2 on the HONO
determination?
MC/IC 3 pptv 10% Soluble gaseous HONO is scavenged from 53, 54
(5 min) the sampled air stream by a dense mist of
ultrapure water in a mist chamber and
converted into nitrite ion (NO2 ) in water,
which is then measured by IC.
Sensitive.
Unknown interferant in polar regions and at
low HONO concentrations.
D-HPLC 3 5 pptv HONO sampled via a stripping coil 59, 60
(5 min) and converted into DNPH or azo
dye, and measured by HPLC analysis
(UV/VIS).
Sensitive; Potential interference from
HO2, NOx, O3, PAN and aerosol species?
LOPAP 0.2 pptv 1% / 7% HONO sampled with a stripping coil 55, 57, 58
(7 min) and converted into an azo dye that is
photometrically detected in long path
absorption.
Well established, sensitive, in situ instru
ment with high precision and compact.
Interferences from unknown interferants,
in particular during the day and at low
HONO concentrations?

(continued)
702 Chapter 27

Table 27.1 (continued )

Technique Detection Precision/ Remarks Reference


limit* Accuracy
(int. time)

Spectroscopic analytical methods


DOAS 78 pptv** <10%*** Well established, open path (no sampling 6, 54,
(5 20 min) artifacts), self calibration, path integrated 74
concentration measurement of multiple species;
Vertical profiling possible; High accuracy
(5%) determined by accuracy of cross section.
Low detection limit at optimal condition.
Performance degradation under poor visibility
conditions; Careful spectral retrieval of multiple
absorbers.
TLAS 100 pptv 10%*** Multipass cell (>100 m) based long path 45, 79,
(1 s) absorption; self calibration, fast and in situ 80
measurement of absolute concentration;
Potentially low detection limit.
Secondary formation of HONO in inlet and
cell (when closed cell used for working at an
optimum reduced pressure)?
IBBCEAS 300 pptv 10%*** In situ absolute concentration measurement 87,
(2 min) of multispecies; Open path demonstrated 88, 89
(free of sampling induced artifacts and
chemical interferences);
Mirror reflectivity needs to be characterized in
real time; Secondary HONO formation in inlet
and cell (when closed cavity used)? Careful
spectral retrieval of multiple absorbers.
CRDS 5 ppbv Self calibration, fast and in situ measurement 82
(15 s) of absolute concentration; Potentially low
detection limit.
Secondary HONO formation in inlet and cell?
Application in field not yet reported. Careful
spectral retrieval of multiple absorbers.
CIMS 10 20 pptv Chemical ionization of HONO by a reagent 19, 85
(1 s) for ion to yield a product ion:
ID CIMS HONO þ SF6 ! HONO F þ SF5
16 pptv (13ID CIMS) or
(1 min) for HONO þ CH3C(O)O ! NO2 þ CH3C(O)
NI PT CIMS OH (14NI PT CIMS),
which is then analyzed by a quadrupole
mass analyzer for HONO identification
and quantification.
Secondary HONO formation in inlet?
PF-LIF 15 pptv 35% HONO þ hn ! OH þ NO 12, 84
(1 min) Photofragmentation of HONO (@ 355 nm)
into OH and NO: OH detected by LIF
(llaser 282 nm, lLIF 309 nm). Sensitive and
fast measurement.
Potential interference of laser generated OH; low
accuracy; Secondary HONO formation in inlet?

(continued)
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 703

Table 27.1 (continued )

Technique Detection Precision/ Remarks Reference


limit* Accuracy
(int. time)

TDC 50 pptv (3 s) HONO þ heat (>650 8C) ! NO þ OH 86


depending on HONO decomposited into OH and NO by
NO analyzer thermal dissociation; OH radicals are then
scavenged, and NO is detected by CL. Simple
setup based on existing technology.
Other chemical reaction can produce NO in
inlet; Interference from other NOy species?

*1 s detection limit (for SNR ¼ 1); int. time: integration time


**for an L ¼ 5-km path length and a minimum detectable optical density of 5  10 4

***combined precision and accuracy


RWAD/IC: rotating wet annular denuder / ion chromatography
ADAMD/FL: air-dragged aqua-membrane-type denuder / FL detection
WEDD/FIA-CL: wet effluent diffusion denuder/flow-injection CL detection
MC/IC: mist chamber coupled to ion chromatograph
D-HPLC: derivatization high-performance liquid chromatography
DNPH: 2,4-dinitrophenylhydrazine
LOPAP: long-path-absorption photometer
DOAS: differential optical absorption spectroscopy
TLAS: tunable laser absorption spectroscopy
IBBCEAS: incoherent broadband cavity-enhanced absorption spectroscopy
CDRS: cavity ring-down spectroscopy
CIMS: chemical ionization mass spectrometry
ID-CIMS: ion drift - chemical ionization mass spectrometry
NI-PT-CIMS: negative-ion proton-transfer chemical ionization mass spectrometry
PF-LIF: photofragmentation laser-induced fluorescence
TDC: thermal dissociation chemiluminescence

The detection limit (associated with the corresponding measurement


integration time) as well as the measurement accuracy are given for each
technique for comparison.
Each of these techniques suffers from varying limitations in terms of
detection limit, sampling approach, and potential interference effects.
Assessment of HONO concentration is more challenging: the reactivity and
solubility of HONO combined with its fast photolysis process makes it subject
to sampling-induced artifacts and losses, which constrain, for instance, the use
of filters for air sampling (potential artifact generation of HONO on the
surface of the filter by heterogeneous reaction of NO2?) and the use of
absorption cells (wall losses of HONO?). Any emerging and promising
analytical techniques aiming at atmospheric measurements of such short-lived
reactive species should primarily fulfill the following requirements:
• Ultrahigh sensitivity and measurement precision: Typical HONO concen-
tration must vary from 30 pptv to a few ppbv in the early morning, more
than 10 ppbv in indoor air, and some tens of ppbv in a vehicle car.
704 Chapter 27

• High specificity: HONO cannot be subject to any chemical or spectral


interference from species naturally present in the atmosphere or
resulting from sampling or/and analytical artifacts.
• Fast time response and high spatial resolution: Short-lived species vary
significantly on small spatial and temporal scales due to their very short
atmospheric lifetime (1 to 100 s, and approximately a few minutes for
HONO).
• High measurement accuracy: The measurement process requires use of
available methods for laboratory production of the target sample (as no
standard gas reference is commercially available) by chemical reaction
or electrical discharge. This is very important for validation and
calibration of the developed instruments, as well as for laboratory study
of spectral line parameters (such as line position, line intensity, etc.) that
are indispensable for spectroscopic measurement techniques and usually
not available in the common databases such as HITRAN90 and
GEISA.91 The measurement method also requires available practical
methods involving conventional technologies for concentration deter-
mination of the synthesized sample.
Although high sensitivity can be usually achieved, wet chemical analytical
methods mainly suffer from potential chemical interference. Spectroscopic
analytical methods can offer nonintrusive measurement with fast time
response, high spatial resolution, and high specificity without additional
sample preparation. However, improvement in measurement sensitivity and
accuracy is indispensable and requires (1) high-performance probing light
sources and detectors, and (2) sensitive spectroscopic sensing schemes
(multipass cell, resonant cavity, etc.).
In the last decade, significant breakthroughs have been made in photonic
technology and spectroscopic measurement techniques. These breakthroughs
include: newly available photonic sources operating at the desired wavelengths
allowing for high-accuracy and high-precision spectroscopic measurements with
high sensitivity and specificity; high-performance, room-temperature (RT)
semiconductor detectors providing fast time response and shot-noise-limited
detection capacity; and modern high-sensitivity spectroscopic detection
techniques. The incorporation of these advanced technologies opens up new
opportunities for development of new/novel high-performance photonic
instrumentation for atmospheric environmental monitoring.
In this chapter, we report on our recent progress in the instrumental
developments for spectroscopic sensing of atmospheric HONO. Modern
photonic sources based on QCLs, light-emitting diodes (LEDs), and difference-
frequency generation (DFG) parametric sources are implemented in conjunction
with high-sensitivity spectroscopic measurement techniques for HONO monitor-
ing by tunable infrared QCLs and by broadband incoherent UV LEDs.
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 705

27.3 HONO Sample Production and Quantification


As no standard gas reference is commercially available, laboratory production
and calibration of gaseous HONO samples is indispensable for performance
evaluation of the developed spectroscopic instruments. This requirement is
also very important for spectral identification as well as for laboratory study
of the spectral line parameters being used for concentration inversion.

27.3.1 Production of HONO samples in the laboratory


The three primary methods for practical production of gaseous HONO in the
laboratory use the following chemical reactions:
(1) Gas phase reaction (NO2 þ NO þ H2O $ 2HONO):92 The advantage
of using this reaction is the ability to produce nearly pure HONO. However,
this reaction can yield byproducts such as HNO3 and NO. If no spectral
interference of NO needs to be taken into account, one can add excessive NO
to minimize the amount of HNO3 and thus maximize the quantity of HONO.
(2) Gas and solid phase reaction [HCl(g) þ NaNO2(s) ! HONO þ
NaCl]:89,93,94 Though this method has the advantage of generating very pure
HONO (>99.5%), the reaction only occurs on the surface and cannot
continue for a long time. Moreover, if the HCl flow is not kept moist, the
HONO yield quickly reduces to low levels.
(3) Liquid phase reaction (NaNO2 þ H2SO4 ¼ NaHSO4 þ HONO):79,95
This reaction provides the maximum mixing ratio of [HONO]/([NO] þ
[NO2]). The production process is very easy to control, and the materials are
readily available. The absolute concentration of HONO can be determined by
using a CL analyzer, IC, or a denuder system associated with a NOx analyzer.
Although the above methods can generate unavoidable byproducts, such
as NO2, NO, HNO3, N2O3, and N2O4, the number of artifacts can be
minimized by controlling experimental reaction conditions. Moreover, a small
quantity of these byproducts has no influence on spectroscopic measurement
of HONO in most cases.
In the present work, gas-phase samples of HONO were prepared through
liquid phase reaction by slowly dripping NaNO2 solution into H2SO4 solution:
NaNO2 þ H2 SO4 ¼ NaHSO4 þ HONO ð27:1Þ

2HONO $ NO þ NO2 þ H2 O ð27:2Þ


By means of a three-neck flask [Fig. 27.1 (a)], dilute NaNO2 solution (0.1%),
contained in a dropping funnel that was installed in a neck of the flask, was
slowly added to dilute H2SO4 solution (0.5%) in the flask. The flask
was cooled in an ice-water bath. A magnetic stirrer was used to keep the
NaNO2-H2SO4 solution well mixed and to make the chemical reaction
efficient. Dry air or nitrogen flow was introduced into the flask through a
706 Chapter 27

Figure 27.1 (a) Apparatus for HONO production via reaction Eq. (27.1) by slowly dripping
dilute NaNO2 solution into diluted H2SO4 solution. (b) Denuder in combination with a NOx
analyzer used in the present work for absolute HONO concentration determination.
(c) HONO concentration deduced from the difference in the CL signals measured by the NOx
analyzer without and with the carbonate denuder in the sampling lines.

second neck to flush the gaseous products (containing gaseous HONO) from
the solution surface to a dark bag through the third neck of the flask at a rate
of 0.16 l/min. The HONO synthesis was carried out in the dark to avoid
photolysis of HONO. Although this synthesis produced not only HONO
[Eq. (27.1)], but also NO, NO2, and H2O by self-reactions [Eq. (27.2)], these
gaseous byproducts do not affect the HONO measurements by spectroscopy
at 8 mm since there is no spectral interference of such components with
HONO in the relevant laser wavelength range. By controlling the solution
concentration of NaNO2 and H2SO4, some tens of ppmv (parts per million by
volume) of HONO can be produced.

27.3.2 Quantification of HONO concentration


A practical method involving conventionally available technologies to quantify
short-lived reactive species synthesized in the laboratory is important for
validation and calibration of the newly emerging instrumentation. In our
experiment, the denuder technique was implemented in association with a
conventional NOx analyzer to determine the concentration of the produced
gaseous HONO samples. The used denuder, similar to that reported in Ref. 96,
was coated with alkali (potassium sodium carbonate: K2CO3/Na2CO3 in the
present work). Gaseous sample flows (containing HONO, NO2, NO) from the
HONO production flask were directed to a NOx analyzer (Hartmann & Braun)
by alternately passing through a sodium carbonate denuder channel (trapping
HONO species) and a non-denuder channel (in this case, HONO is detected by
the NOx analyzer, as with NO and NO2) prior to entering the NOx analyzer
[Fig. 27.1(b)]. The HONO concentrations were obtained as the difference
between the NOx signals in the two channels [Fig. 27.1(c)].79,96
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 707

As the denuder method relies on removing acidic species by an alkalic


component (such as Na2CO3), the capacity of the denuder and, hence, the
measurement accuracy of the HONO concentration truly depend on the
alkalic coating and HONO concentration. Correct HONO concentration can
be deduced only when the sodium carbonate denuder can trap 100% of
HONO without any residual HONO reaching the NOx analyzer after the
denuder filtering. The efficiency of the denuder was carefully checked by
direct absorption spectroscopy using the line intensities reported in Ref. 97.
Figure 27.2(a) shows absorption spectra of the gas sampled after the denuder
filtering. As can be seen, the spectrum of 70-ppmv HONO sampled after the
denuder channel still exhibits absorption peaks, while the sample of 40-ppmv
HONO does not present any absorption feature after being filtered by the
denuder system, validating that our denuder system allows the correct
determination of HONO concentration below 40 ppmv.

27.3.3 HONO losses on absorption cell wall


HONO is an unstable short-lived species because of its high reactivity and
solubility combined with photolysis effects. Even if the experiments were
carried out in dark conditions, measured HONO signals would not be
constant in a closed absorption cell and would decrease with time [Fig. 27.2(b)].
In our work using a multipass cell, the cell was heated at about 303 K to avoid
the deposition of aqueous nitrous acid on the optical cell wall as well as
any artifact production due to heterogeneous reaction inside the cell. Wall
effects on HONO losses were investigated in a closed absorption cell made of
borosilicate glass.

Figure 27.2 (a) HONO absorption spectra at different concentrations (100, 70, 40 ppmv),
sampled after the alkalic denuder, showing that HONO samples with concentration less than
40 ppmv might be totally trapped by the denuder. (b) Plot of HONO absorption intensity
versus time that allows deduction of a lifetime of about 318 s in a closed absorption cell
made of borosilicate glass heated at about 303 K. [(b) reprinted from Ref. 127.]
708 Chapter 27

A mean lifetime for the exponential decay of HONO concentration was


about 318 s (defined as the time taken for concentration to decay to 1/e of its
initial value once the cell is closed). In order to minimize such wall surface
effects, a fast scan of QCL frequency at a rate of 2.5 kHz was employed, and
all concentration measurements were performed within a few ms in the
present work so that this relatively long decay time did not affect our
measurement accuracy.

27.4 Photonic Monitoring using Infrared Laser


The mid-infrared (mid-IR) spectral region involving fundamental transitions
related to molecular vibration–rotation is of particular interest for sensitive
spectroscopic detection of atmospheric species. Several types of continuous-
wave (CW) laser sources are available as modern photonic probing lights in
the mid-IR region, such as QCLs98 as well as optical parametric sources by
optical parametric oscillation (OPO99) and DFG100. Since their first
demonstration in 1994,98 QCLs have become the most useful laser source
for mid-IR gas sensor applications owing to the high single-mode output
power combined with the single-frequency tunability, narrow emission line
width, wide spectral coverage, RT operation, and commercial availabil-
ity.101 Optical parametric sources allowing access to a wide spectral region
(more than 1000 cm 1) are useful laboratory tools for determining
molecular line parameters (such as line position, line intensity, etc.) that are
used for identification and quantification of atmospheric trace species in
photonic sensing. This is of particular importance for short-lived key
atmospheric species for which these line parameters are usually not available
in common databases.

27.4.1 Environmental HONO monitoring by multipass-cell-based


long-path-absorption spectroscopy using an 8-mm QCL
In the present work, absolute quantitative assessments of gaseous HONO
using the line of the n3-band trans-HONO near 8 mm were performed by
QCL absorption spectroscopy (QCLAS). The developed instrument is
schematically depicted in Fig. 27.3(a). A CW, RT-operation, distributed
feedback (DFB) QCL (DQ7-M776H, Maxion Technologies, Inc.), operating
at 1254.6 cm 1 (8 mm), was used as a probe light with a single-mode output
power of up to 35 mW. The laser beam from the QCL was first split into two
parts: 10% of the laser beam was directed into a Fabry–Pérot etalon with a
free spectral range of 0.03 cm 1 for relative wavelength metrology, and the
main beam (90%) was directed into a multipass cell with an effective optical
path length of up to 150 m. The emerging absorption signal from the
optical cell was detected with a thermoelectrically cooled (TEC) VIGO
detector (PVMI-4TE-10.6).
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 709

Figure 27.3 (a) QCL-based laser instrument setup. (b) Top panel: direct absorption
spectrum of the n3 band trans-HONO in the range of 1254.8–1255.1 cm1 (at a scan rate of
2.5 kHz recorded at 18.68 mbar in a 125-m-long absorption cell; spectrum is an average of
210 spectra). Bottom panel: fit residual. [(a) reprinted from Ref. 45, and (b) reprinted from
Ref. 127.]

By absorption spectroscopy measurement, trace concentration is generally


retrieved by fitting a theoretical spectral profile (Gaussian, Lorentzian, or
Voigt, depending on the gas pressure) to the experimentally measured
absorption spectrum using the molecular line parameters (such as line
position, line intensity, and pressure-broadened line-width coefficients).102
However, for reactive short-lived molecules, the line parameters related to
broadened line width are usually difficult to determine with accuracy and
precision. In the present work, a gaseous HONO concentration was retrieved
from the integrated absorbance103 using the line intensities provided in
Ref. 97. Based on the Beer–Lambert law, the absorbance A(n) at frequency n
can be expressed as
AðnÞ ¼ lnðI0 ðnÞ=I ðnÞÞ ¼ NsðnÞL, ð27:3Þ
where I(n) and I0(n) are the transmitted and incident probing light intensities,
respectively, N is the number of absorbing molecules in units of molecules/cm3,
s(n) is the frequency-dependent absorption cross section in units of cm2/molecule,
and L is the optical absorption path length in centimeters. The integrated
absorbance, AI in cm 1 can be written as
Z Z Z
AI ¼ AðnÞdn ¼ lnðI0 ðnÞ=I ðnÞÞdn ¼ NL sðnÞdn ¼ NLS, ð27:4Þ
R
with S ¼ sðnÞdn, the molecule absorption line intensity in units of
cm 1=(molecule  cm 2).
Based on Eq. (27.4), the gas species concentration C can be retrieved from
the integrated absorbance AI measured at temperature T and pressure P:
N AI P0 T
C¼ ¼ , ð27:5Þ
NT NL PT0 LS
710 Chapter 27

where the Loschmidt number NL ¼ 2.6868  1019 molecules/cm3 at T0 ¼


273.15 K, P0 ¼ 760 Torr, and NT is the number of molecules of an ideal gas
per unit volume. The dependence of the absorption line intensity S on the
temperature T can be described as follows:90
SðTÞ QðTref Þ ½1 expð c2 n0 =TÞ expð c2 El =TÞ
¼ , ð27:6Þ
SðTref Þ QðTÞ ½1 expð c2 n0 =Tref Þ expð c2 El =Tref Þ
where Tref ¼ 296 K, n0 is the frequency (in cm 1) of the line transition between
lower and upper states, c2 is the second radiation constant ¼ hc/k ¼ 1.4388
cmK with h being the Planck constant in Js, c the speed of light (in vacuum)
in m/s, and k the Boltzmann constant in J/K. Q is the total internal partition
sum, and El is the lower state energy in cm 1.
Figure 27.3(b) shows a direct absorption spectrum (top panel) of the n3 band
trans-HONO in the range of 1254.8–1255.1 cm 1 used for estimating the
detection sensitivity in terms of minimum detectable concentration, and the
corresponding fit residual from spectroscopic retrieval of the HONO concentra-
tion (bottom panel). 1 S (in miniscule) detection sensitivity in 1 s was found to be
<100 pptv. The accuracy was estimated to be 10%, mainly limited by the
accuracy of the line intensity of HONO used for concentration retrieval. Revision
of the HONO line intensity in the laboratory is highly desirable. Further
improvement in sensitivity is ongoing by implementation of longer optical
absorption path length in combination with a wavelength modulation approach
to lower the minimum detectable concentration (MDC) to the pptv level.
The developed QCL instrument was used for monitoring diurnal variation
of outdoor HONO in the environment near a road with moderate traffic.
Observation of HONO concentration variation was performed during a
period of 2 weeks. Figure 27.4 shows diurnal variations in environmental

Figure 27.4 Monitoring of HONO diurnal variation (blue lines) associated with the
corresponding solar radiation curve (black lines). (a) A decrease in HONO concentration due
to photolysis under solar radiation on a no-snow day. (b) Photochemically enhanced HONO
production by solar radiation on a snow day. (See color plate section.)
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 711

HONO concentration: Fig. 27.4(a) shows a decrease in HONO concentration


due to photolysis3 under solar radiation on a no-snow day; Fig. 27.4(b)
shows photochemically enhanced HONO production30 by solar radiation on a
snow day.

27.4.2 HONO monitoring near 2.8 mm


Our recent work using a laser DFG spectrometer104 showed that the
absorption of the n1-band trans-HONO in the infrared around 2.8 mm would
be very attractive for HONO trace gas sensing because photonic technology
can provide more-cost-effective and higher-performance devices in this
spectral region than in other spectral regions (Fig. 27.5).

Figure 27.5 (a) A typical periodically poled LiNbO3 (PPLN) DFG architecture100 operating
in the mid-IR of 2.5–5 mm (Ge – germanium filter, PC – polarization controller, WDM –
wavelength-division multiplexer, AFS – achromatic focusing system.105 (b) A portion of the
DFG spectrum of 625-ppmv HONO at 2.78 mm, recorded in a 106-m absorption cell at 10
mbar by means of a PPLN-based DFG laser spectrometer.104 [(a) reprinted from Ref. 100,
and (b) reprinted from Ref. 128.] (See color plate section.)
712 Chapter 27

27.5 Photonic Monitoring using LED-based IBBCCEAS


The IBBCEAS technique, introduced by Ruth and coworkers in 2003,81 relies
on the use of a high-finesse optical cavity to meet the required ultrahigh
sensitivity, in conjunction with a broadband light source (such as LED or Xe
arc lamp) to monitor multiple trace gas species in the visible and UV spectral
regions. LEDs, widely used today in various domains (e.g., night club lighting,
video displays, and other lighting applications), allow access to the spectral
regions involving stronger fundamental electronic transition, a very attractive
characteristic for sensitive optical sensing (Fig. 27.6).
The IBBCEAS approach offers the following four advantages: (1) Based
on a 1-m-long high-finesse optical resonant cavity, a long effective
absorption path (1–10 km), comparable to that used in DOAS measure-
ment, can be realized to reach very high sensitivity while keeping the setup
very compact, suitable for high-spatial-resolution measurement. (2) IBBCEAS
allows simultaneous detection of multispecies by using a broadband spectral
source. (3) The need for mode matching and optical cavity dither (as required
for CRDS) or off-axis optical alignment [used in off-axis integrated-cavity
output spectroscopy (OA-ICOS)] are no longer necessary, making the IBBCEAS
apparatus much less sensitive to environmental vibration resulting in optical
misalignment, more stable and robust. (4) The technique is truly cost effective,
resulting from mass production of low-cost LEDs (from several dollars to some
tens of dollars per piece, in comparison with $2000 for a DFB near-infrared
telecom laser and up to $25,000 for a suitable DFB mid-infrared QCL).
In our recent developments, the LED-based IBBCEAS technique was
implemented for simultaneous measurement of environmental HONO/NO2 in

Figure 27.6 (a) Strong and structured broadband molecular absorptions in the UV and
visible spectral regions, arising from the fundamental electronic transition, allow for high-
sensitivity detection of key atmospheric species at the ppbv–pptv levels. (b) Characterization
of some LEDs used in our IBBCEAS experiments.89,107,108 (Reprinted from Ref. 87.)
(See color plate section.)
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 713

air. In fact, the photochemistry of HONO is closely connected to that of NO2,


so simultaneous measurement of time-dependent HONO and NO2 mixing
ratios is of particular importance. In field studies, the ratio of HONO to NO2
can be used as an index to estimate the efficiency of heterogeneous NO2–
HONO conversion because this ratio is less influenced by transport processes
than their individual concentrations.25,106 Simultaneous measurement of
HONO and NO2 with good spatial and temporal resolution should aid in
understanding the production of HONO as well as possible loss mechanisms.
In addition, NO2 is an important sink of OH.

27.5.1 Concentration retrieval of multiple absorbers from a structured


broadband absorption spectrum
Quantification of multiabsorbers can be simultaneously achieved using a
typical DOAS analysis method by a multivariate fit74 of reference cross
section si(l) to the measured experimental spectrum a(l):
X
aðlÞ ¼ si ðlÞ  Ni , ð27:7Þ
where si(l) and Ni are the absolute absorption cross section and number
density of i species, respectively.
In real-environment sensing, not only the absorptions by molecular
species, but also Rayleigh scattering by gas molecules and Mie scattering by
aerosol particles, contribute to the total optical extinction in the cavity. By
taking into account these scattering-induced extinction effects, the extinction
a(l) due to absorption can be described as109,110
   
1 RðlÞ I0 ðlÞ
aðlÞ ¼ þ aRay ðlÞ þ aMie ðlÞ  1 , ð27:8Þ
d I ðlÞ
where I0(l) and I(l) are the light intensities measured without and with the
absorbing sample inside the cavity, respectively, R(l) is the cavity mirror
reflectivity, d is the distance between two cavity mirrors, and aRay(l) and
aMie(l) are the extinction coefficient for Rayleigh and Mie scatterings,
respectively. According to Eq. (27.8), in order to calculate the absolute
extinction coefficient, the mirror reflectivity must be accurately determined
over the entire investigated wavelength range.

27.5.2 Determination of cavity mirror reflectivity


In contrast to the CRDS technique, the IBBCEAS approach provides only
relative absorption measurement, and calibration is needed to determine
the absolute cavity loss due to species absorption. This calibration can be
performed by determination of the cavity mirror reflectivity via one of
the following three methods: (1) measuring the extinction of the absorbing
sample with known concentration89,111 or the Rayleigh extinction
714 Chapter 27

coefficient,109 (2) using an antireflection-coated optical substrate of known


losses,112 or (3) using step-scan phase-shift CRDS (PS-CRDS).113 In our
experiments, the absolute reflectivity of the cavity mirrors was determined
in two steps: at first, the relative mirror reflectivity curve over the wide
spectral range of 358–378 nm was obtained from a wide absorption
spectrum of NO2 samples diluted in pure N2 gas (free of aerosol-induced
Mie scattering):
 
INO2 ðlÞ Ray
Rrelative ðlÞ ¼ 1 d aNO2 ðlÞ  aN2 ðlÞ : ð27:9Þ
IN2 ðlÞ INO2 ðlÞ
The relative mirror reflectivity curve was then scaled to the absolute mirror
reflectivity with known absorption of oxygen collisional pair (O2–O2):107,114,115
  
Ray Ray IO2 ðlÞ Ray
Rabsolute ðlÞ ¼ 1  d aO2 ðlÞ  aN2 ðlÞ þ aO2 O2 ðlÞ  aN2 ðlÞ :
IN2 ðlÞ  IO2 ðlÞ

ð27:10Þ
The cross section of the oxygen collisional pair reported by Greenblatt
et al.,116 the cross section of NO2 from Ref. 117, and the Rayleigh-
scattering cross section of N2 and O2 from Ref. 118 were used for the mirror
reflectivity determination. The effective path length can be expressed as
follows:
d
Leff ðlÞ ¼ P : ð27:11Þ
1 RðlÞ þ aRay ðlÞd þ aMie ðlÞd þ si Ni d
27.5.3 Allan variance
The measurement accuracy of an instrument system usually depends on
calibration, while the measurement precision can be improved by spectral
averaging. By averaging k spectra, the white noise may be reduced by a factor
of k1/2. However, the maximum averaging number is limited by the stability of
both the LED emission and the charge-coupled device (CCD) spectrometer
system. The optimal averaging time, corresponding to the maximum
averaging number, can be determined by an Allan variance analysis.107,113
For simultaneous detection of HONO and NO2, the minimum absorption
coefficient can be expressed by the following equation:
 
1 RðlÞ DI ðlÞ
amin ðlÞ ¼ NHONOmin sHONO ðlÞ þ NNO2min sNO2 ðlÞ ¼ ,
d I0 ðlÞ min
ð27:12Þ
where [DI(l)/I0(l)]min is the smallest detectable change DI(l) in the light
intensity I0(l) when an absorber is present. NHONOmin and NNO2min are the
minimum detectable number densities (in molecules/cm3) of HONO and NO2.
According to Eq. (27.12), besides enhancement of the cavity length d and the
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 715

mirror reflectivity R, the minimum absorption coefficient amin corresponding


to the detection limits for HONO and NO2 can be improved by reducing the
fluctuation in light intensity resulting from the instability of the light source
and CCD detector. System stability of the developed instrument was
characterized by means of an Allan variance analysis. The cavity was flushed
with pure nitrogen, and the cavity output intensity was recorded over 250
consecutive 2.4-s spectra (i.e., four averaged spectra with a 600-ms integration
time providing one spectral datum). The data set with 250 spectra was divided
into M subsets containing k spectra (k ¼ 1, 2, . . . 125, and M ¼ 250/k ¼ 250,
249, . . . 2), and then the k spectra are averaged in each subset. The absorption
coefficient aj (l) ( j ¼ 1, 2, . . . M) in each subset is calculated with k-averaged
spectra I(l), and the first k-averaged spectrum is selected as reference
spectrum I0(l) according to Eq. (27.8). HONO concentration XHONOj and
NO2 concentration XNO2j are determined by a nonlinear least-squares fit of
HONO and NO2 absorption cross sections to the absorption coefficient aj(l).
The Allan variances of these M concentrations of HONO and NO2 are then
calculated using the following expressions, respectively:
M  2
1 X
s2AHONO ðtÞ ¼ XHONOjþ1 ðtÞ XHONOj ðtÞ , ð27:13Þ
2M j 1

M  2
1 X
s2ANO2 ðtÞ ¼ XNO2jþ1 ðtÞ XNO2j ðtÞ : ð27:14Þ
2M j 1

Plots of these Allan variances as a function of the averaging time allow for
determination of the system stability and hence the optimum averaging time.

27.5.4 Instrumental development and application


Two configurations, open and closed cavity, have been implemented in LED-
based IBBCEAS for ambient HONO and NO2 measurements.

27.5.4.1 Open-cavity configuration89


An open-path detection scheme is highly desirable for field application and
enables accurate retrieval of atmospheric concentrations of HONO and NO2
without any effects from the cell wall surfaces and sampling artifacts. The
experimental arrangement is shown in Fig. 27.7(a). An LED (Nichia,
NCSU033AT) emitting 250-mW optical power in the UV spectral region
around 365 nm was used as a probing light source. The UV LED was
mounted on a temperature-controlled copper plate to stabilize the output
optical intensity and spectral distribution of the LED. The temperature of the
copper plate was stabilized at 20 8C, within 0.01 8C, with the help of a
temperature sensor (Analog Device, AD590) and a single-stage TEC (Pacific
Supercool). A laser diode controller (Stanford Research System, LDC501)
716 Chapter 27

Figure 27.7 (a) Schematic diagram of the developed open-path IBBCEAS setup.
(b) Photograph of the infrastructure deployed for open-path environmental testing in ambient
air measurements. An LED emission image is shown in the inset. (Reprinted from Ref. 89.)

provided power for both the TEC and UV LED. The optical cavity was
formed with two high-reflectivity mirrors (Layertec GmbH) separated by a
distance of 1.85 m. The mirrors had a diameter of 25 mm, 2-m radius of
curvature, and a reflectivity of 99.97% between 340 and 370 nm (specified
by the manufacturer). The light emitting from the LED was directly focused
into the cavity with a UV lens ( f ¼ 75 mm). In order to avoid CCD saturation
at the edges of the high-reflectivity range of the cavity mirrors, a UV bandpass
filter (Semrock) was placed between the lens and the cavity to block the light
at undesirable wavelengths. The 8-mm diameter of the light beam injected
into the cavity was controlled with an iris. Light transmitted through the
cavity was collected, by means of a second UV lens ( f ¼ 75 mm), into a
multimode optical fiber (1000 mm in diameter with a numerical aperture of
0.22), and then transferred to a RT CCD spectrometer (Avantes, AvaSpec-
2048-USB2) for spectral dispersion and absorption signal detection. The
spectrograph was equipped with a 25-mm entrance slit, a 600-groove/mm
grating, and a 2048-pixel linear CCD array that allowed covering the entire
220- to 740-nm spectral range with a spectral resolution of 0.77 nm around
360 nm. This spectral resolution, experimentally checked in the present work
using known atomic transition lines, is sufficient for selective recognition of
the structured broadband absorption of HONO and NO2. The whole system,
including LED source, optical cavity, focusing lens, and fiber coupler, was
mounted on a 2.6-m-long optical rail [Fig. 27.7(b)]. A He-Ne laser and a CCD
camera were used to help initial optical cavity alignment.
The cavity mirrors were housed in custom-made mounts that were purged
with pure nitrogen to prevent contamination of the optical surfaces by aerosol
particles. During system calibration, a 185-cm-long polytetrafluoroethylene
(PTFE) mobile tube with an inner diameter of 25 mm was inserted
between the cavity mirror mounts. The tube was removed from the cavity
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 717

Figure 27.8 (a) Top panel: reference absorption cross sections of NO2 (black curve) and
O2-O2 (blue line) used for determination of the cavity mirror reflectivity. Middle panel:
measured (black) and fitted (red) absorption of O2-O2 in 1-atm pure oxygen, and the
absolute mirror reflectivity (blue). Bottom panel: fit residual (calculated as the difference
between the measured and fitted spectra). (b) Simultaneous measurements of HONO and
NO2 concentrations in the environment. Measured (gray) and fit (red) absorption spectra of a
mixture of 3.1  0.3-ppbv HONO and 22.2  0.5-ppbv NO2 in laboratory ambient air. The
absorption coefficients of NO2 (blue)117 and HONO (purple)75 as well as the background
(green) from the fit are included for reference. Lower panel: residual spectrum with a
standard deviation of 5.7  109 cm1. (Reprinted from Ref. 89.) (See color plate section.)

for open-path measurement of HONO and NO2 in ambient air. In the present
work, the mirror reflectivity was determined according to Eqs. (27.9) and
(27.10). Figure 27.8(a) shows the measured and fitted absorption of O2-O2 at
1-atm (atmosphere) pure oxygen. The fit result (middle panel) provided the
absolute mirror reflectivity scale (blue curve). The maximum mirror
reflectivity was found to be 0.99945 around 360 nm. Considering the
uncertainties in the cross section of the oxygen collisional pair (2%), in the O2
pressure within the cavity (3%), in the cavity length (1%), in DI/I (0.5%), and
in the statistical uncertainty from the fit (4%), the total uncertainty in the
factor of (1 R) was approximately estimated to be 6.2%. Rayleigh extinction
amounts of pure N2 or air (aRay  7  10 7 cm 1 at 20 8C and 1 atm) can be
up to 23% of the cavity mirror losses ([1 – R(l)]/d), and its contributions are
certainly non-negligible. By taking into account Rayleigh extinction in
aerosol-free samples and in an urban environment at modest aerosol levels
(i.e., aMie < 5% of the cavity mirror losses), the effective optical absorption
length was estimated to be 2.8 km.
Figure 27.8(b) shows a simultaneous measurement result of HONO
and NO2 concentrations. The atmospheric HONO and NO2 concentra-
tions are retrieved via a multivariate fit to the experimental spectrum a(l):
aðlÞ ¼ NHONO sHONO ðlÞ þ NNO2 sNO2 ðlÞ þ BðlÞ, ð27:15Þ
718 Chapter 27

where NHONO and NNO2 are the number densities (in moleculecm 3) of
HONO and NO2, respectively. sHONO and sNO2 are the cross sections
obtained by convolution of high-resolution cross-section spectra of HONO75
and NO2,117 respectively, with an instrument function of 0.77 nm full-width at
half-maximum (FWHM). B(l) is a polynomial function used to account for
smooth variation in spectral background. The 1s MDC of 430 pptv for
HONO and 1 ppbv for NO2 were experimentally determined for a 90-s
optimum acquisition time (determined by an Allan variance analysis). The
total measurement uncertainty, including statistical uncertainty from the fit
and the systematic uncertainty, was approximately estimated to be 10%. The
HONO detectivity could be improved to several tens of pptv by using higher
reflectivity mirrors (99.99%) and a TEC CCD detector.

27.5.4.2 Closed-cavity configuration87


The demonstrated sensitivity and specificity in the work presented above
showed high potential of this cost-effective and compact infrastructure for
field applications with high spatial resolution. However, in urban and coastal
environments at heavy aerosol particle levels [where the extinction loss aMie is
similar to, or even higher than, the mirror loss ([1 – R(l)]/d)], Mie scattering
by aerosols can strongly modify the wavelength-dependent effective cavity
path length [Eq. (27.11)] and hence the detection sensitivity. This would be a
major challenge for IBBCEAS measurements in an open-cavity configura-
tion.89 For a field intercomparison campaign at a suburban site of Tung
Chung in Hong Kong, a transportable IBBCEAS instrument involving a
closed cavity (Fig. 27.9) has been developed and deployed for simultaneous
measurement of environmental HONO and NO2.
The experimental apparatus was similar to that described above.
The primary modification was to use a temperature-stabilized spectrograph
QE65000 (Ocean Optics) to improve the detection sensitivity. The spectrometer
has a focal length of 101 mm and was equipped with an 1800-line/mm
Holographic UV grating and a 100-mm entrance slit, resulting in 0.53-nm
spectral resolution. The detector used in the QE65000 spectrometer is a scientific-
grade, back-thinned, TEC, 1044  64 element CCD array (Hamamatsu

Figure 27.9 The LED-based IBBCEAS instrument deployed for a field intercomparison
campaign in Hong Kong (reprinted from Ref. 87).
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 719

Figure 27.10 (a) Plot of the Allan variance s2AHONO for HONO as a function of the signal
integration time, associated with a t–1/2 curve (red curve). The optimum averaging time was found
to be 120 s. (b) Time series measurements of environmental HONO and NO2 concentrations from
14:20 on 5 May 2012 to 14:20 on 6 May. [(b) reprinted from Ref. 87.] (See color plate section.)

Photonics, S7031-1006). Its temperature was stabilized at 15 8C to minimize


dark current of the detector.
The Allan variance s2AHONO for HONO [Eq. (27.13)] is plotted as a
function of the averaging time in Fig. 27.10(a). As can be seen, the stability
time of the system was 120 s. This is the optimum averaging time for an
optimum sensitivity, corresponding to an optimum averaging number of 200
spectra with an integration time of 600 ms per spectral datum. The red curve
indicates a white-noise behavior of the IBBCEAS system until the limits of
the instrument stability are reached. The optimum averaging time of the
current IBBCEAS instrument was mainly limited by: (1) turbulence caused
by the air sampling pump, resulting in periodic noise overlapping on the
white noise in observed spectra, (2) the CCD dark-current fluctuation when
the temperature of the CCD detector is not well stabilized, and (3) fluctua-
tion in the LED emission due to ambient temperature variation. With an
effective optical path length of 2.1 km, 1s MDCs of 0.3 ppbv for HONO
and 1 ppbv for NO2 were achieved with an optimum acquisition time of
120 s. Improvement in the detection limit down to 0.25 ppbv for HONO and
0.8 ppbv for NO2 could be achieved when using a total acquisition time of
300 s, if the system were more stable.
We measured daytime and nighttime concentrations and compared these
with data from instruments commercially available for routine measurements.
The HONO concentration measurement was tested against a long-path-
absorption photometer (QUMA, Model LOPAP-03), and a NOy analyzer
(TEI, Model 42CY) equipped with a blue-light converter was used to validate
the NO2 measurement from the LED-based IBBCEAS. Quantitative
assessments from these techniques showed similar data during day and night,
720 Chapter 27

as shown in Fig. 27.10(b), which displays time series measurements of


environmental HONO and NO concentrations for the period 14:20 on 5 May
2012 through 14:20 on 6 May.
Testing LED-based IBBCEAS in a real atmospheric environment
demonstrated the technique’s feasibility for measuring environmental HONO
and NO2 concentrations in a manner that is free of chemical and spectral
interference. During the in-field campaign, we observed occasional incon-
sistencies in the quantitative assessment of HONO, resulting from instability
of the instrument. It is crucial, therefore, to provide real-time spectral baseline
correction and cavity mirror reflectivity calibration to make the LED-based
IBBCEAS instruments well established for field observation in a complex
environment.

27.6 Summary and Outlook


In summary, we overviewed our recent developments of field-based spectro-
scopic instruments using quantum devices for in situ environmental monitoring
of nitrous acid. Absolute quantitative assessment of trace atmospheric species,
in particular, chemically reactive short-lived ultratrace species in the complex
and constantly changing atmosphere, is challenging.119,120 Although the
detection sensitivity could be further improved (compared to that obtained
by LOPAP; see Table 27.1), the techniques using UV-based IBBCEAS (near
368 nm) and TLAS in the mid-infrared show high potential for monitoring
HONO concentration in the open atmosphere.87,89 In particular, the open-path
detection ability makes such spectroscopic measurement techniques very
attractive for avoiding any surface losses and interference from sampling and/
or analytically induced artifacts. Therefore, absorption-spectroscopy-based
analytical techniques can provide a better measurement accuracy that is
primarily determined by the uncertainty in determination of the line parameters
(such as absorption cross section or line intensity) being used for concentration
retrieval. Although accurate determination of these parameters is challenging
because the short-lived species are unstable and no certified reference gases
exist, high-quality spectral-line parameters are indispensable for further
improvement in measurement accuracy.
Spectroscopic detection in the UV–visible region using very low-cost LED
sources permits absolute quantification of multiple species with high
sensitivity, whereas narrowband laser sensing in the infrared spectral region
offers the unique advantage of real-time spectroscopic analysis of the isotopic
composition of the key atmospheric species, an analysis that is crucial for
study of the origin, evolution, and dispersion of these chemical species in the
tropospheric process.121 In addition, the availability of broadband external-
cavity QCLs (EC-QCLs) with a tunability over more than 100 cm 1 101 makes
infrared-laser-based sensor devices capable of sensing multiple species.
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 721

Considerable advances have been made during the past decade in


measurement techniques based on modern photonic absorption spectroscopy
for gas-phase tropospheric chemistry. These emerging and promising spectro-
scopic measurement techniques will constantly undergo incremental improve-
ments to provide new and improved instrumentation suitable for fast, accurate,
and precise measurement. For instance, broadband CRDS (BBCRDS)122 124
keeps the typical advantages of CRDS: the approach is free of mirror
reflectivity calibration and immune to light intensity noise, while providing the
ability to simultaneously measure multiple species by using a broadband
probing source. Another exciting prospect is cavity-enhanced DOAS (CE-
DOAS), which is based on a low-cost LED. This combination provides a
kilometer-long optical absorption path length in a very compact optical cavity
for in situ point measurement of multiple species, while keeping all of the
advantages of the well-established DOAS technology in terms of sensitivity and
specificity. Demonstration measurements of NO2, CHOCHO, CH3COCHO,
IO, as well as NO3 have been recently reported.125,126 The advance in
atmospheric measurement techniques allows new research avenues to open up
for observation of spatial and long-term trends in key atmospheric precursors.
These new techniques will improve our understanding of tropospheric chemical
processes and trends, and have a large impact on the prediction of future
changes in atmospheric composition.

Acknowledgments
This work was mainly supported by the IRENI program of the Région Nord-
Pas de Calais and the ANR NexCILAS project. The support of the
Groupement de Recherche International SAMIA between CNRS (France),
RFBR (Russia), and CAS (China) is acknowledged.

References
1. R. G. Prinn, “The cleansing capacity of the atmosphere,” Annu. Rev.
Environ. Resour. 28, 29–57 (2003).
2. B. J. Finlayson-Pitts, and J. N. Pitts, Jr., Chemistry of the Upper and
Lower Atmosphere, Academic Press, New York, 273–276 (2000).
3. J. Stutz, B. Alicke, and A. Neftel, “Nitrous acid formation in the urban
atmosphere: Gradient measurements of NO2 and HONO over grass in
Milan, Italy,” Geophys. Res. 107, 8192 (2002).
4. J. Kleffmann, “Daytime sources of nitrous acid (HONO) in the
atmospheric boundary layer,” Chem. Phys. Chem. 8, 1137–1144 (2007).
5. U. Platt, B. Alicke, R. Dubois, A. Geyer, A. Hofzumahaus, F. Holland,
M. Martinez, D. Mihelcic, T. Klüpfel, B. Lohrmann, W. Pätz, D. Pernez,
722 Chapter 27

F. Rohrer, J. Schäfer, and J. Stutz, “Free radicals and fast photochemistry


during BERLIOZ,” J. Atmos. Chem. 42, 359–394 (2002).
6. B. Alicke, A. Geyer, A. Hofzumahaus, F. Holland, S. Konrad, H. W. Pätz,
J. Schäfer, J. Stutz, A. Volz-Thomas, and U. Platt, “OH formation
by HONO photolysis during the BERLIOZ experiment,” J. Geophys. Res.
108, 8247 (2003).
7. J. Kleffmann, T. Gavriloaiei, A. Hofzumahaus, F. Holland, R. Koppmann,
L. Rupp, E. Schlosser, M. Siese, and A. Wahner, “Daytime formation
of nitrous acid: A major source of OH radicals in a forest,” Geophys. Res.
Lett. 32, L05818 (2005).
8. K. Acker, D. Möller, W. Wieprecht, F. X. Meixner, B. Bohn, S. Gilge,
C. Plass-Dülmer, and H. Berresheim, “Strong daytime production of OH
from HNO2 at a rural mountain site,” Geophys. Res. Lett. 33, L02809
(2006).
9. K. Stemmler, M. Ammann, C. Donders, J. Kleffmann, and C. George,
“Photosensitized reduction of nitrogen dioxide on humic acid as a source
of nitrous acid,” Nature 440, 195–198 (2006).
10. J. Stutz, K. W. Wong, and C. Tsai, “Field Observation of Daytime
HONO Chemistry and its Impact on the OH Radical Budget,” in
Disposal of Dangerous Chemicals in Urban Areas and Mega Cities,
I. Barnes and K. J. Rudzinski, Eds., NATO Science for Peace and
Security Series C: Environmental Security, Springer ScienceþBusiness
Media, Dordrecht (2013).
11. J. G. Calvert, G. Yarwood, and A. M. Dunker, “An evaluation of the
mechanism of nitrous acid formation in the urban atmosphere,” Res.
Chem. Intermediat. 20, 463–502 (1994).
12. W. Liao, A. Hecobian, J. Mastromarino1, and D. Tan, “Development of
a photo-fragmentation / laser-induced fluorescence measurement of
atmospheric nitrous acid, Atmos. Environ. 40, 17–26 (2006).
13. M. Sörgel, E. Regelin, H. Bozem, J.-M. Diesch, F. Drewnick, H. Fischer,
H. Harder, A. Held, Z. Hosaynali-Beygi, M. Martinez, and C. Zetzsch,
“Quantification of the unknown HONO daytime source and its relation to
NO2,” Atmos. Chem. Phys. 11, 10433–10447 (2011).
14. R. Zhang, G. Sarwar, J. C. H. Fung, A. K. H. Lau, and Y. Zhang,
“Impact of nitrous acid chemistry on air quality modeling results over
the Pearl River Delta region,” Atmos. Chem. Phys. Discuss. 11, 15075–
15117 (2011).
15. T. W. Kirchstetter and D. Littlejohn, “Measurements of nitrous acid
in motor vehicle exhaust,” Environ. Sci. Technol. 30, 2843–2849
(1996).
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 723

16. R. Ackermann, “Auswirkung von Kraftfahrzeugemissionen in der


Urbane Atmosphäre,” Ph.D. thesis, Univ. Heidelberg, Heidelberg,
Germany (2000).
17. M. Gonçalves, D. Dabdub, W. L. Chang, O. Jorba, and J. M. Baldasano,
“Impact of HONO sources on the performance of mesoscale air quality
models,” Atmos. Environ. 54, 168–176 (2012).
18. B. H. Lee, G. W. Santoni, E. C. Wood, S. C. Herndon, R. C. Miake-Lye,
M. S. Zahniser, S. C. Wofsy, and J. W. Munger, “Measurements of
nitrous acid in commercial aircraft exhaust at the alternative aviation
fuel experiment,” Environ. Sci. Technol. 45, 7648–7654 (2011).
19. J. M. Roberts, P. Veres, C. Warneke, J. A. Neuman, R. A. Washenfelder,
S. S. Brown, M. Baasandorj, J. B. Burkholder, I. R. Burling, T. J. Johnson,
R. J. Yokelson, and J. de Gouw, “Measurement of HONO, HNCO, and
other inorganic acids by negative-ion proton-transfer chemical-ionization
mass spectrometry (NI-PT-CIMS): Application to biomass burning
emissions,” Atmos. Meas. Tech. 3, 981–990 (2010).
20. R. M. Harrison, J. D. Peak, and G. M. Collins, “Tropospheric cycle of
nitrous acid,” J. Geophys. Res. 101, 14429–14439 (1996).
21. P. Pagsberg, E. Bjergbakke, E. Ratajczak, and A. Sillesen, “Kinetics of
the gas phase reaction OHþNO (þM) ! HONO (þM) and the
determination of the UV absorption cross sections of HONO,” Chem.
Phys. Lett. 272, 383–390 (1997).
22. B. H. Czader, B. Rappenglück, P. Percell, D. W. Byun, F. Ngan, and
S. Kim, “Modeling nitrous acid and its impact on ozone and hydroxyl
radical during the Texas Air Quality Study 2006,” Atmos. Chem. Phys.
12, 6939–6951 (2012).
23. J. Kleffmann, K. H. Becker, and P. Wiesen, “Heterogeneous NO2
conversion processes on acid surfaces: Possible atmospheric implications,”
Atmos. Environ. 32, 2721–2729 (1998).
24. B. J. Finlayson-Pitts, L. M. Wingen, A. L. Sumner, D. Syomin, and
K. A. Ramazan, “The heterogeneous hydrolysis of NO2 in laboratory
systems and in outdoor and indoor atmospheres: An integrated
mechanism,” Phys. Chem. Chem. Phys. 5, 223–242 (2003).
25. Y. Yu, B. Galle, A. Panday, E. Hodson, R. Prinn, and S. Wang,
“Observations of high rates of NO2-HONO conversion in the nocturnal
atmospheric boundary layer in Kathmandu, Nepal,” Atmos. Chem.
Phys. 9, 6401–6415 (2009).
26. K. Stemmler, M. Ndour, Y. Elshorbany, J. Kleffmann, B. D’Anna, C. George,
B. Bohn, and M. Ammann, “Light induced conversion of nitrogen dioxide
into nitrous acid on submicron humic acid aerosol,” Atmos. Chem. Phys. 7,
4237–4248 (2007).
724 Chapter 27

27. P. Wojtal, J. D. Halla, and R. McLaren, “Pseudo steady states of


HONO measured in the nocturnal marine boundary layer: A conceptual
model for HONO formation on aqueous surfaces,” Atmos. Chem. Phys.
11, 3243–3261 (2011).
28. I. Bejan, Y. Abd el Aal, I. Barnes, T. Benter, B. Bohn, P. Wiesen, and
J. Kleffmann, “The photolysis of ortho-nitrophenols: A new gas phase
source of HONO,” Phys. Chem. Chem. Phys. 8, 2028–2035 (2006).
29. X. Zhou, N. Zhang, M. TerAvest, D. Tang, J. Hou, S. Bertman,
M. Alaghmand, P. B. Shepson, M. A. Carroll, S. Griffith, S. Dusanter,
and P. S. Stevens, “Nitric acid photolysis on forest canopy surface as a
source for tropospheric nitrous acid,” Nature Geosci. 4, 440–443 (2011).
30. X. Zhou, H. J. Beine, R. E. Honrath, J. D. Fuentes, W. Simpson, P. B.
Shepson, and J. W. Bottenheim, “Snowpack photochemical production
of HONO: A major source of HONO in the arctic boundary layer in
springtime,” Geophys. Res. Lett. 28, 4087–4090 (2001).
31. J. E. Dibb, M. Arsenault, M. C. Peterson, and R. E. Honrath, “Fast
nitrogen oxide photochemistry in Summit, Greenland snow,” Atmos.
Environ. 36, 2501–2511 (2002).
32. H. Beine, A. J. Colussi1, A. Amoroso, G. Esposito, M. Montagnoli, and
M. R. Hoffmann, “HONO emissions from snow surfaces,” Environ. Res.
Lett. 3, 045005 (2008).
33. F. Dominé and P. B. Shepson, “Air-snow interactions and atmospheric
chemistry,” Science 297, 1506–1510 (2002).
34. H. Su, Y. Cheng, R. Oswald, T. Behrendt, I. Trebs, F. X. Meixner,
M. O. Andreae, P. Cheng, Y. Zhang, and U. Pöschl, “Soil nitrite as a
source of atmospheric HONO and OH radicals,” Science 333, 1616–1618
(2011).
35. H. Su, Y. Cheng, and U. Pöschl, “The Exchange of Soil Nitrite and
Atmospheric HONO: A Missing Process in the Nitrogen Cycle and
Atmospheric Chemistry,” in Disposal of Dangerous Chemicals in Urban
Areas and Mega Cities, I. Barnes and K. J. Rudzinski, Eds., NATO
Science for Peace and Security Series C: Environmental Security,
Springer ScienceþBusiness Media, Dordrecht (2013).
36. G. Sarwar, S. J. Roselle, R. Mathur, W. Appel, R. L. Dennis, and
B. Vogel, “A comparison of CMAQ HONO predictions with observa-
tions from the Northeast Oxidant and Particle Study,” Atmos. Environ.
42, 5760–5770 (2008).
37. C. J. Young, R. A. Washenfelder, J. M. Roberts, L. H. Mielke,
H. D. Osthoff, C. Tsai, O. Pikelnaya, J. Stutz, P. R. Veres, A. K. Cochran,
T. C. VandenBoer, J. Flynn, N. Grossberg, C. L. Haman, B. Lefer, H. Stark,
M. Graus, J. de Gouw, J. B. Gilman, W. C. Kuster, and S. S. Brown,
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 725

“Vertically resolved measurements of nighttime radical reservoirs in Los


Angeles and their contribution to the urban radical budget,” Environ. Sci.
Technol. 46, 10965 10973 (2012).
38. J. Kleffmann, R. Kurtenbach, J. Lorzer, P. Wiesen, N. Kalthoff,
B. Vogel, and H. Vogel, “Measured and simulated vertical profiles of
nitrous acid Part I: Field measurements,” Atmos. Environ. 37, 2949–2955
(2003).
39. B. Vogela, H. Vogel, J. Kleffmann, and R. Kurtenbach, “Measured and
simulated vertical profiles of nitrous acid Part II: Model simulations and
indications for a photolytic source,” Atmos. Environ. 37, 2957–2966
(2003).
40. G. Villena, J. Kleffmann, R. Kurtenbach, P. Wiesen, E. Lissi, M. A.
Rubio, G. Croxatto, and B. Rappenglück, “Vertical gradients
of HONO, NOx and O3 in Santiago de Chile,” Atmos. Environ. 45,
3867–3873 (2011).
41. N. Zhang, X. Zhou, S. Bertman, D. Tang, M. Alaghmand, P. B. Shepson,
and M. A. Carroll, “Measurements of ambient HONO concentrations
and vertical HONO flux above a northern Michigan forest canopy,”
Atmos. Chem. Phys. 12, 8285–8296 (2012).
42. K. W. Wong, H. J. Oh, B. Lefer, B. Rappengluck, and J. Stutz, “Vertical
profiles of nitrous acid in the nocturnal urban atmosphere of Houston,
TX,” Atmos. Chem. Phys. Discuss. 10, 30129–30170 (2010).
43. K. W. Wong, C. Tsai, B. Lefer, N. Grossberg, and J. Stutz, “Modeling of
daytime HONO vertical gradients during SHARP 2009,” Atmos. Chem.
Phys. Discuss. 12, 27775–27819 (2012).
44. B. Vogel and H. Vogel, “Modeling Atmospheric HONO Concentrations
on the Regional Scale,” in Disposal of Dangerous Chemicals in Urban
Areas and Mega Cities, I. Barnes and K. J. Rudzinski, Eds., NATO
Science for Peace and Security Series C: Environmental Security,
Springer ScienceþBusiness Media, Dordrecht (2013).
45. X. Cui, C. Lengignon, T. Wu, W. Zhao, G. Wysocki, E. Fertein,
C. Coeur, A. Cassez, L. Croisé, W. Chen, Y. Wang, W. Zhang, X. Gao,
W. Liu, Y. Zhang, and F. Dong, “Photonic sensing of the atmosphere
by absorption spectroscopy,” J. Quant. Spectrosc. Rad. Transfer 113,
1300–1316 (2012).
46. X. Zhou, “An Overview of Measurement Techniques for Atmospheric
Nitrous Acid,” in Disposal of Dangerous Chemicals in Urban Areas and
Mega Cities, I. Barnes and K. J. Rudzinski, Eds., NATO Science for
Peace and Security Series C: Environmental Security, Springer Science þ
Business Media, Dordrecht (2013).
726 Chapter 27

47. K. Ackera, G. Spindler, and E. Bruggemann, “Nitrous and nitric acid


measurements during the INTERCOMP2000 campaign in Melpitz,”
Atmos. Environ. 38, 6497–6505 (2004).
48. K. Acker, D. Möller, R. Auel, W. Wieprecht, and D. Kala,
“Concentrations of nitrous acid, nitric acid, nitrite and nitrate in the
gas and aerosol phase at a site in the emission zone during ESCOMPTE
2001 experiment,” Atmos. Res. 74, 507– 524 (2005).
49. H. Su, Y. F. Cheng, P. Cheng, Y. H. Zhang, S. Dong, L. M. Zeng, X. Wang,
J. Slanina, M. Shao, and A. Wiedensohler, “Observation of nighttime
nitrous acid (HONO) formation at a non-urban site during PRIDE-
PRD2004 in China,” Atmos. Environ. 42, 6219–6232 (2008).
50. X. Ren, H. Gao, X. Zhou, J. D. Crounse, P. O. Wennberg, E. C. Browne,
B. W. LaFranchi, R. C. Cohen, M. McKay, A. H. Goldstein, and J. Mao,
“Measurement of atmospheric nitrous acid at Blodgett Forest during
BEARPEX2007,” Atmos. Chem. Phys. Discuss. 10, 7383–7419
(2010).
51. M. Rodenas, A. Munoz, F. Alacreu, T. Brauers, H.-P. Dorn, J. Kleffmann,
and W. Bloss, “Assessment of HONO Measurements: The FIONA
Campaign at EUPHORE,” in Disposal of Dangerous Chemicals in Urban
Areas and Mega Cities, I. Barnes and K. J. Rudzinski, Eds., NATO Science
for Peace and Security Series C: Environmental Security, Springer
ScienceþBusiness Media, Dordrecht (2013).
52. D. L. Jarvis, B. P. Leaderer, S. Chinn, and P. G. Burney, “Indoor nitrous
acid and respiratory symptoms and lung function in adults,” Thorax 60,
474–479 (2005).
53. J. E. Dibb, L. G. Huey, D. L. Slusher, and D. J. Tanner, “Soluble
reactive nitrogen oxides at South Pole during ISCAT 2000,” Atmos.
Environ. 38, 5399–5409 (2004).
54. J. Stutz, H.-J. Oh, S. I. Whitlow, C. Anderson, J. E. Dibb, J. H. Flynn,
B. Rappenglück, and B. Lefer, “Simultaneous DOAS and mist-chamber
IC measurements of HONO in Houston, TX,” Atmos. Environ. 44,
4090–4098 (2010).
55. J. Heland, J. Kleffmann, R. Kurtenbach, and P. Wiesen, “A new
instrument to measure gaseous nitrous acid (HONO) in the atmosphere,”
Environ. Sci. Technol. 35, 3207–3212 (2001).
56. J. Kleffmann, J. Heland, R. Kurtenbach, J. C. Lörzer, and P. Wiesen,
“A new instrument (LOPAP) for the detection of nitrous acid (HONO),”
Environ. Sci. Pollut. Res. 9, 48–54 (2002).
57. J. Kleffmann, J. C. Lörzer, P. Wiesen, C. Kern, S. Trick, R. Volkamer,
M. Rodenas, and K. Wirtz, “Intercomparison of the DOAS and LOPAP
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 727

techniques for the detection of nitrous acid (HONO),” Atmos. Environ.


40, 3640–3652 (2006).
58. J. Kleffmann and P. Wiesen, “Technical Note: Quantification of
interferences of wet chemical HONO LOPAP measurements under
simulated polar conditions,” Atmos. Chem. Phys. 8, 6813–6822 (2008).
59. G. Huang, X. Zhou, G. Deng, H. Qiao, and K. Civerolo, “Measurements
of atmospheric nitrous acid and nitric acid,” Atmos. Environ. 36, 2225–
2235 (2002).
60. X. Zhou, H. Qiao, G. Deng, and K. Civerolo, A method for the
measurement of atmospheric HONO based on DNPH derivatization
and HPLC analysis,” Environ. Sci. Technol. 33, 3672–3679 (1999).
61. M. Ferm and A. Sjödin, “A sodium carbonate coated denuder for
determination of nitrous acid in the atmosphere,” Atmos. Environ. 19,
979–983 (1985).
62. G. Zhang, S. Slanina, C. B. Boring, P. A. C. Jongejan, and P. K. Dasgupt,
“Continuous wet denuder measurements of atmospheric nitric and nitrous
acids during the 1999 Atlanta Supersite,” Atmos. Environ. 37, 1351–1364
(2003).
63. I. Trebs, F. X. Meixner, J. Slanina, R. Otjes, P. Jongejan, and M. O. Andreae,
“Real-time measurements of ammonia, acidic trace gases and water-soluble
inorganic aerosol species at a rural site in the Amazon Basin,” Atmos. Chem.
Phys. 4, 967–987 (2004).
64. N. Takenaka, H. Terada, Y. Oro, M. Hiroi, H. Yoshikawa, K. Okitsu,
and H. Bandow, “A new method for the measurement of trace amounts
of HONO in the atmosphere using an air-dragged aqua-membrane-type
denuder and fluorescence detection,” Analyst 129, 1130–1136 (2004).
65. P. Mikuska, K. Motyka, and Z. Vecera, “Determination of nitrous acid
in air using wet effluent diffusion denuder–FIA technique,” Talanta 77,
635–641 (2008).
66. P. K. Simon and P. K. Dasgupta, “Wet effluent denuder coupled liquid/
ion chromatography systems: Annular and parallel plate denuders,”
Anal. Chem. 65, 1133–1139 (1993).
67. C. Zellweger, M. Ammann, P. Hofer, and U. Baltensperger, “NOy
speciation with a combined wet effluent diffusion denuder-aerosol
collector coupled to ion chromatography,” Atmos. Environ. 33, 1131–
1140 (1999).
68. G. Spindler, J. Hesper, E. Bruggemann, R. Dubois, T. Muller, and H.
Herrmann, “Wet annular denuder measurements of nitrous acid:
Laboratory study of the artefact reaction of NO2 with S(IV) in aqueous
728 Chapter 27

solution and comparison with field measurements,” Atmos. Environ. 37,


2643–2662 (2003).
69. A. Febo, C. Perrino, and M. Cortiello, “A denuder technique for the
measurement of nitrous acid in urban atmospheres,” Atmos. Environ. A.
General Topics 27, 1721–1728 (1993).
70. B. R. Appel, A. M. Winer, Y. Tokiwa, and H. W. Biermann,
“Comparison of atmospheric nitrous acid measurements by annular
denuder and differential optical absorption systems, Atmos. Environ. A.
General Topics 24, 611–616 (1990).
71. A. Febo, C. Perrino, and I. Allegrini, “Measurements of nitrous acid in
Milan, Italy by DOAS and diffusion denuders,” Atmos. Environ. 30A,
3599–3609 (1996).
72. D. Perner and U. Platt, “Detection of nitrous acid in the atmosphere by
differential optical absorption,” Geophys. Res. Lett. 6, 917–920 (1979).
73. U. Platt, D. Perner, G. W. Harris, A. M. Winer, and J. N. Pitts, Jr.,
“Observation of nitrous acid in an urban atmosphere by differential
optical absorption,” Nature 285, 312–314 (1980).
74. U. Platt and J. Stutz, Differential Optical Absorption Spectroscopy,
Springer, Heidelberg-New York, pp. 287–328 (2008).
75. J. Stutz, E. S. Kim, U. B. Platt, B. P, C. Perrino, and A. Febo, “UV-
visible absorption cross sections of nitrous acid,” J. Geophys. Res. 105,
14585–14592 (2000).
76. A. R. Reisinger, “Observation of HNO2 in the polluted winter
atmosphere: Possible heterogeneous production on aerosols,” Atmos.
Environ. 34, 3865–3874 (2000).
77. J. B. McManus, P. L. Kebabian, and M. S. Zahniser, “Astigmatic mirror
multipass absorption cells for long-path-length spectroscopy,” Appl. Opt.
34, 3336–3348 (1995).
78. C. L. Schiller, S. Locquiao, T. J. Johnson, and G. W. Harris,
“Atmospheric measurements of HONO by tunable diode laser absorp-
tion spectroscopy,” J. Atmos. Chem. 40, 275–293 (2001).
79. Y. Q. Li, J. J. Schwab, and K. L. Demerjian, “Fast time response
measurement of gaseous nitrous acid using a tunable diode laser
absorption spectrometer: HONO emission source from vehicle
exhausts,” Geophys. Res. Lett. 35, L04803 (2008).
80. B. H. Lee, E. C. Wood, M. S. Zahniser, J. B. McManus, D. D. Nelson,
S. C. Herndon, G. W. Santoni, S. C. Wofsy, and J. W. Munger,
“Simultaneous measurements of atmospheric HONO and NO2 via
absorption spectroscopy using tunable mid-infrared continuous-wave
quantum cascade lasers,” Appl. Phys. B 102, 417–423 (2011).
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 729

81. S. E. Fiedler, A. Hese, and A. A. Ruth, “Incoherent broad-band cavity-


enhanced absorption spectroscopy,” Chem. Phys. Lett. 371, 284–294 (2003).
82. L. Wang and D. Zhang, “Detection of nitrous acid by cavity ringdown
spectroscopy,” Environ. Sci. Technol. 34, 4221–4227 (2000).
83. P. L. Hanst, N. W. Wong, and J. Bragin, “A long path infra-red study of
Los Angeles smog,” Atmos. Environ. 5, 969–981 (1982).
84. M. O. Rodgers and D. D. Davis, “A UV-photofragmentation/laser-
induced fluorescence sensor for the atmospheric detection of HONO,”
Environ. Sci. Technol. 23, 1106–1112 (1989).
85. J. Zheng and R. Zhang, “Measurements of nitric acid (HONO) under
polluted environments: Results from the 2009 SHARP/SOOT Cam-
paign,” 92nd American Meteorological Society Annual Meeting, January
22–26 (2012).
86. I. M. Pérez, P. J. Wooldridge, and R. C. Cohen, “Laboratory evaluation
of a novel thermal dissociation chemiluminescence method for in situ
detection of nitrous acid,” Atmos. Environ. 41, 3993–4001 (2007).
87. W. Chen, T. Wu, Q. Z. Zha, Z. Xu, and T. Wang, “Field chemical
sensing with LEDs,” SPIE Newsroom, 18 January 2013 [doi: 10.1117/
2.1201301.004689].
88. T. Gherman, D. S. Venables, S. Vaughan, J. Orphal, and A. A. Ruth,
“Incoherent broadband cavity-enhanced absorption spectroscopy in the
near-ultraviolet: Application to HONO and NO2,” Environ. Sci. Technol.
42, 890–895 (2008)
89. T. Wu, W. Chen, E. Fertein, F. Cazier, D. Dewaele, and X. Gao,
“Development of an open-path incoherent broadband cavity enhanced
spectroscopy based instrument for simultaneous measurement of HONO
and NO2 in ambient air,” Appl. Phys. B 106, 501–509 (2012).
90. L. S. Rothman, I. E. Gordon, A. Barbe, D. C. Benner, P. F. Bernath,
M. Birk, V. Boudon, L. R. Brown, A. Campargue, J. P. Champion,
K. Chance, L. H. Coudert, V. Dana, V. M. Devi, S. Fally, J. M. Flaud,
R. R. Gamache, A. Goldmanm, D. Jacquemart, I. Kleiner, N. Lacome,
W. J. Lafferty, J. Y. Mandin, S. T. Massie, S. N. Mikhailenko, C. E.
Miller, N. Moazzen-Ahmadi, O. V. Naumenko, A. V. Nikitin, J. Orphal,
V. I. Perevalov, A. Perrin, A. Predoi-Cross, C. P. Rinsland, M. Rotger,
M. Simeckova, M. A. H. Smith, K. Sung, S. A. Tashkun, J. Tennyson,
R. A. Toth, A. C. Vandaele, and J. V. Auwera, “The HITRAN 2008
molecular spectroscopic database,” J. Quant. Spectrosc. Radiat. Transfer
110, 533–572 (2009).
91. N. Jacquinet-Husson, L. Crepeau, R. Armante, C. Boutammine,
A. Chédin, N. A. Scott, C. Crevoisier, V. Capelle, C. Boone, N. Poulet-
Crovisier, A. Barbe, A. Campargue, D. C. Benner, Y. Benilan, B. Bézard,
730 Chapter 27

V. Boudon, L. R. Brown, L. H. Coudert, A. Coustenis, V. Dana, V. M.


Devi, S. Fally, A. Fayt, J.-M. Flaud, A. Goldmanm, M. Herman, G. J.
Harris, D. Jacquemart, A. Jolly, I. Kleiner, A. Kleinbohl, F. Kwabia-
Tchana, N. Lavrentieva, N. Lacome, L.-H. Xu, O. M. Lyulin, J.-Y.
Mandin, A. Maki, S. Mikhailenko, C. E. Miller, T. Mishina, N. Moazzen-
Ahmadi, H. S. P. Muller, A. Nikitin, J. Orphal, V. Perevalov, A. Perrin,
D. T. Petkie, A. Predoi-Cross, C. P. Rinsland, J. J. Remedios, M. Rotger,
M. A. H. Smith, K. Sung, S. Tashkun, J. Tennyson, R. A. Toth, A.-C.
Vandaele, and J. Vander Auwera, “The 2009 edition of the GEISA
spectroscopic database,” J. Quant. Spectrosc. Rad. Transfer 112, 2395–
2445 (2011).
92. A. Dehayem-Kamadjeu, O. Pirali, J. Orphal, I. Kleiner, and P.-M.
Flaud, “The far-infrared rotational spectrum of nitrous acid (HONO)
and its deuterated species (DONO) studied by high-resolution Fourier-
transform spectroscopy,” J. Mol. Spectrosc. 234, 182–189 (2005).
93. A. Febo, C. Perrino, M. Gherardi, and R. Sparapani, “Evaluation of a
high-purity and high-stability continuous generation system for nitrous
acid,” Environ. Sci. Technol. 29, 2390–2395 (1995).
94. W. S. Barney, L. M. Wingen, M. J. Lakin, T. Brauers, J. Stutz, and B. J.
Finlayson-Pitts, “Infrared absorption cross-section measurements for
nitrous acid (HONO) at room temperature, J. Phys. Chem. A 104, 1692–
1699 (2000).
95. W. H. Chan, R. J. Nordstrom, J. G. Calvert, and J. G. Shaw, “Kinetic
study of HONO formation and decay reactions in gaseous mixtures of
HONO, NO, NO2, H2O, and N2,” Environ. Sci. Technol. 10, 674–682
(1976).
96. M. E. Monge, B. D’Anna, L. Mazri, A. Giroir-Fendler, M. Ammann,
D. J. Donaldson, and C. George, “Light changes the atmospheric
reactivity of soot,” Proc. Natl. Acad. Sci. 107, 6605–6609 (2010).
97. K. H. Becker, J. Kleffmann, R. Kurtenbach, and P. Wiesen, “Line
strength measurements of tran-HONO near 1255 cm 1 by tunable diode
laser spectrometry,” Geophys. Res. Lett. 22, 2485–2488 (1995).
98. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and A. Y. Cho,
“Quantum cascade laser,” Science 264, 553–555 (1994).
99. M. Ebrahim-zadeh, “Mid-infrared optical parametric oscillators and
application,” in Mid-Infrared Coherent Sources and Applications,
M. Ebrahim-zadeh and I. T. Sorokina, Eds., Springer, New York,
347–375 (2008).
100. W. Chen, J. Cousin, E. Poullet, J. Burie, D. Boucher, X. Gao, M. W. Sigrist,
and F. K. Tittel, “Continuous-wave mid-infrared laser sources based on
difference frequency generation,” C. R. Physique 8, 1129–1150 (2007).
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 731

101. R. F. Curl, F. Capasso, C. Gmachl, A. A. Kosterev, B. McManus,


R. Lewicki, M. Pusharsky, G. Wysocki, and F. K. Tittel, “Quantum
cascade lasers in chemical physics,” Chem. Phys. Lett. 487, 1–18 (2010).
102. D. Rehle, D. Leleux, M. Erdelyi, F. Tittel, M. Fraser, and S. Friedfeld,
“Ambient formaldehyde detection with a laser spectrometer based on
difference-frequency generation in PPLN,” Appl. Phys. B 72, 947–952
(2001).
103. J. Cousin, W. Chen, M. Fourmentin, E. Fertein, D. Boucher, F. Cazier,
H. Nouali, D. Dewaele, M. Douay, and L. Rothman, “Laser
spectroscopic monitoring of gas emission and measurements of the
13 12
C/ C isotope ratio in CO2 from a wood-based combustion,” J. Quant.
Spectrosc. Radiat. Transfer 109, 151–167 (2008).
104. R. Maamary, X. Cui, S. Plus, E. Fertein, L. Guinet, and W. Chen,
“Difference-frequency spectra of nitrous acid (HONO) at 2.7 mm,”
PAMO-JSM 2012 Conference, 3–6 July 2012, Metz, France (2012).
105. J. Cousin, W. Chen, D. Bigourd, M. Fourmentin, and S. Kassi,
“Telecom-grade fiber laser-based difference-frequency generation and
ppb-level detection of benzene vapor in air around 3 mm,” Appl. Phys. B
97, 919–929 (2009).
106. R. Kurtenbach, K. H. Becker, J. A. G. Gomes, J. Kleffmann, J. C. Lcrzer,
M. Spittler, P. Wiesen, R. Ackermann, A. Geyer, and U. Platt,
“Investigations of emissions and heterogeneous formation of HONO in
a road traffic tunnel,” Atmos. Environ. 35, 3385–3394 (2001).
107. T. Wu, W. D. Zhao, W. D. Chen, W. J. Zhang, and X. M. Gao,
“Incoherent broadband cavity enhanced absorption spectroscopy for
in-situ measurements of NO2 with a blue light emitting diode,” Appl.
Phys. B 94, 85–94 (2009).
108. T. Wu, C. Coeur-Tourneur, G. Dhont, A. Cassez, E. Fertein, X. He, and
W. Chen, “Simultaneous monitoring of temporal profiles of NO3, NO2 and
O3 by incoherent broadband cavity enhanced absorption spectroscopy for
atmospheric applications,” J. Quant. Spectrosc. Radiat. Transfer [In Press]
(2013).
109. R. A. Washenfelder, A. O. Langford, H. Fuchs, and S. S. Brown,
“Measurement of glyoxal using an incoherent broadband cavity enhanced
absorption spectrometer,” Atmos. Chem. Phys. 8, 7779–7793 (2008).
110. U. Platt, J. Meinen, D. Pöhler, and T. Leisner, “Broadband cavity
enhanced differential optical absorption spectroscopy (CE-DOAS):
Applicability and corrections,” Atmos. Meas. Tech. 2, 713–723 (2009).
111. D. S. Venables, T. Gherman, J. Orphal, J. C. Wenger, and A. A. Ruth,
“High sensitivity in situ monitoring of NO3 in an atmospheric simulation
chamber using incoherent broadband cavity-enhanced absorption
spectroscopy,” Environ. Sci. Technol. 40, 6758–6763 (2006).
732 Chapter 27

112. R. M. Varma, D. S. Venables, A. A. Ruth, U. Heitmann, E. Schlosser, and


S. Dixneuf, “Long optical cavities for open-path monitoring of atmospheric
trace gases and aerosol extinction,” Appl. Opt. 48, 159–171 (2009).
113. J. M. Langridge, S. M. Ball, A. J. L. Shillings, and R. L. Jones,
“A broadband absorption spectrometer using light emitting diodes for
ultrasensitive in situ trace gas detection,” Rev. Sci. Instrum. 79, 123110–
123114 (2008).
114. J. M. Langridge, S. M. Ball, and R. L. Jones, “A compact broadband
cavity enhanced absorption spectrometer for detection of atmospheric
NO2 using light emitting diodes,” Analyst 131, 916–922 (2006).
115. J. M. Langridge, T. Laurila, R. S. Watt, R. L. Jones, C. F. Kaminski,
and J. Hult, “Cavity enhanced absorption spectroscopy of multiple trace
gas species using a supercontinuum radiation source,” Opt. Express 16,
10178–10188 (2008).
116. G. D. Greenblatt, J. J. Orlando, J. B. Burkholder, and A. R. Ravishankara,
“Absorption measurements of oxygen between 330 and 1140 nm,”
J. Geophys. Res. 95, 18577–18582 (1990).
117. J. P. Burrows, A. Dehn, B. Deters, S. Himmelmann, A. Richter,
S. Voigt, and J. Orphal, “Atmospheric remote-sensing reference data
from GOME: Part 1. Temperature-dependent absorption cross-section
of NO2 in the 231–794 nm range,” J. Quant. Spectros. Radiat. Transfer
60, 1025–1031 (1998).
118. M. Sneep and W. Ubachs, “Direct measurement of the Rayleigh
scattering cross section in various gases,” J. Quant. Spectros. Radiat.
Transfer 92, 293–310 (2005).
119. H. K. Roscoe and K. C. Clemitshaw, “Measurement techniques in gas-
phase tropospheric chemistry: A selective view of the past, present, and
future,” Science 276, 1065–1072 (1997).
120. T. Hoffmann and R. Huang, “Atmospheric analytical chemistry,” Anal.
Chem. 83, 4649–4664 (2011).
121. C. Brenninkmeijer, C. Janssen, J. Kaiser, T. Rockmann, T. S. Rhee, and
S. S. Assonov, “Isotope effects in the chemistry of atmospheric trace
compounds,” Chem. Rev. 103, 5125–5161 (2003).
122. S. M. Ball, I. M. Povey, E. G. Norton, and R. L. Jones, “Broadband
cavity ringdown spectroscopy of the NO3 radical,” Chem. Phys. Lett.
342, 113–120 (2001).
123. M. J. Thorpe, K. D. Moll, R. J. Jones, B. Safdi, and J. Ye, “Broadband
cavity ringdown spectroscopy for sensitive and rapid molecular
detection,” Science 311, 1595–1599 (2006).
124. K. Stelmaszczyk, P. Rohwetter, M. Fechner, M. Queißer, A. Czyzewski,
T. Stacewicz, and L. Wöste, “Cavity ring-down absorption
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 733

spectrography based on filament-generated supercontinuum light,” Opt.


Express 17, 3673–3678 (2009).
125. J. Meinen, J. Thieser, U. Platt, and T. Leisner, “Technical Note: Using a
high finesse optical resonator to provide a long light path for differential
optical absorption spectroscopy: CE-DOAS,” Atmos. Chem. Phys. 10,
3901–3914 (2010).
126. R. Thalman and R. Volkamer, “Inherent calibration of a blue LED-CE-
DOAS instrument to measure iodine oxide, glyoxal, methyl glyoxal,
nitrogen dioxide, water vapour and aerosol extinction in open cavity
mode,” Atmos. Meas. Tech. 3, 1797–1814 (2010).
127. X. Cui, F. Dong, W. Chen, W. Liu, and E. Fertein, “Nitrous acid
measurement based on room-temperature continuous wave quantum
cascade laser,” Acta Optica Sinica 33, 04300021–04300027 (2013).
128. W. Chen, T. Wu, W. Zhao, G. Wysocki, X. Cui, C. Lengignon, R. Maamary,
E. Fertein, C. Coeur, A. Cassez, Y. Wang, W. Zhang, X. Gao, W. Liu,
F. Dong, G. Zha, and Zheng Xu, Twang, “Atmospheric and environmental
sensing by photonic absorption spectroscopy,” Proc. SPIE 8631, 86310Y
(2013) [doi: 10.1117/12.2004803].

Weidong Chen received his B.S. (1982) from Zhongshan


University, M.S. (1988) and Ph.D. degrees (1991) from the
University of Sciences and Technologies of Lille in
France. He is full professor of physics at the University
of the Littoral Opal Coast, Dunkerque, France. His
current research interests include: development of pho-
tonic instrumentation for applied spectroscopy, optical
metrology (concentration, isotope ratio) of trace gases for
applications in atmospheric photochemistry and environmental science, and
optical parametric laser source generation by frequency conversion and its
applications to applied spectroscopy.

Rabih Maamary is a Ph.D. candidate in the Laboratory


of Physical Chemistry of the Atmosphere at the
University of the Littoral Opal Coast. He is working
on the development and applications of photonic
instruments for sensitive spectroscopic detection of
atmospheric trace species (CH4, HONO, etc.).
734 Chapter 27

Xiaojuan Cui received her Ph.D. degree in 2011 from


the University of the Littoral Opal Coast and Anhui
Institute of Optics and Fine mechanics (AIOFM),
Chinese Academy of Sciences, China. She is an assistant
researcher at AIOFM. Her current researcher orienta-
tion is the developments of mid-infrared laser instru-
ments (QCL, DFB, DFG, etc.) for spectroscopic
detection of trace gas molecules.

Tao Wu received his Ph.D. degree in Optics from


University of the Littoral Opal Coast and Anhui
Institute of Optics and Fine Mechanics, China. In
2010, Dr. Wu joined Key Laboratory of Nondestructive
Test (Ministry of Education) of Nanchang Hang Kong
University, China. His main research interest has been
the development of high-sensitivity incoherent broad-
band cavity-enhanced spectrometry (IBBCEAS) for
laboratory and field studies of atmospheric trace gases
and aerosols.

Eric Fertein is a research engineer in the Laboratory of


Atmospheric Physical Chemistry at the University of
the Littoral Opal Coast. He obtained his Ph.D. in
physics at Lille University, France, in 1995. He is
currently working on the development of photonic
instruments for detection and quantification of atmo-
spheric trace gas molecules.

Dorothée Dewaele obtained her Master’s degree in


chemistry and physics in 1994 at the University of the
Littoral Opal Coast (ULCO). For 12 years she divided
her time between the ULCO’s Common Center of
Measurements (CCM-ULCO) and private firms where
she was worked in research and development, chemical
analytical projects, technology transfer, and consulting.
She has been full-time at the CCM, in charge of
inorganic analysis, since 2007.
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 735

Fabrice Cazier obtained his Ph.D. in chemical sciences


at Lille University, France, in 1990. Between 1993 and
1995 he studied the industrial risk in the Dunkerque
region, France, and managed the creation of an
analytical laboratory dedicated to risk evaluation and
environmental analysis. He is now director of the
Common Center of Measurements (CCM) of the
University of the Littoral Opal Coast, working on
chemical analysis applied to the environment.

Qiaozhi Zha received his B.S. in applied meteorology


from the Nanjing University, China. He is currently
pursuing his M.Ph. degree at the Hong Kong Polytech-
nic University studying the reaction mechanism of
HONO and NO3 in the photochemical process using
LOPAP, IBBCEAS, and CIMS techniques.

Zheng Xu received his B.S. in environmental sciences


from Shandong Agricultural University. He is currently
pursuing his Ph.D. at Shandong University. His focus
has been the sources and composition of total reactive
nitrogen (NOy) and its role in photochemical reaction in
the atmosphere.

Tao Wang obtained a B.Sc. in chemistry from Nankai


University in 1985, a Ph.D. in atmospheric chemistry
from Georgia Institute of Technology in 1992, and did
postdoctoral research at the University of Michigan,
Ann Arbor. He studies air pollution problems in Hong
Kong and other mega-cities in China. He has led a
number of research projects, including serving as chief
scientist for China’s National Basic Research Project on
acid rain and the principal investigator of a photochemical study funded by the
Environmental Conservation Fund. Prof. Wang is an Associate Editor for the
736 Chapter 27

Journal of Geophysical Research: Atmospheres, a scientific advisor to the Hong


Kong Observatory, and a member of the Scientific Steering Committee of the
International Global Atmospheric Chemistry Program. He is also a guest/adjunct
professor at several leading Chinese mainland universities/research institutes. Prof.
Wang has published more than 90 papers in international journals.

Yingjian Wang received a B.S. degree from Dalian


University of Technology, China, in 1984, and M.S.
and Ph.D. degrees in physics from Anhui Institute of
Optics and Fine Mechanics (AIOFM), Chinese Acad-
emy of Sciences in 1987 and 1996, respectively. From
1987 to 1993 he worked at AIOFM as assistant and
associate professor, and became a full professor in 1997.
His research interests include light wave propagation in
the atmosphere and atmospheric optical detection.

Weijun Zhang received his B.S. degree in physics from


University of Science and Technology of China in 1984
and his M.S. and Ph.D. degrees in optics from Anhui
Institute of Optics and Fine Mechanics (AIOFM),
Chinese Academy of Sciences, in 1987 and 1991,
respectively. He worked in Max-Planck-Institut für
Strömungsforschung of Germany as a postdoctoral
research fellow from 1993 to 1994. Since 1991 he has
been on the faculty of AIOFM and was appointed as a professor in 1998. His
current research interests include aerosol physics and chemistry, laser
spectroscopy and mass spectroscopy, and their applications in environmental
monitoring.

Xiaoming Gao received M.S. and Ph.D. degrees in


physics from Anhui Institute of Optics and Fine
Mechanics (AIOFM), Chinese Academy of Sciences in
1990 and 1998, respectively. Since 1993, he has worked
at AIOFM as assistant, associate, and full professor.
His research interests include trace gas sensors based on
high-sensitivity near-infrared diode-laser absorption
spectroscopy and photoacoustic spectroscopy, as well
as high-sensitivity Fabry–Pérot cavity absorption spectroscopy and applications
of mid-infrared difference frequency generation.
Photonic Sensing of Environmental Gaseous Nitrous Acid (HONO) 737

Wenqing Liu received his B.Sc. degree (1978) from the


University of Science and Technology of China and
Ph.D. degree (1995) from the Foundation of Research
and Technology of Hellas (Fo.R.T.H.), Greece. He is
currently a full professor at both Anhui Institute
of Optics and Fine Mechanics (AIOFM), Chinese
Academy of Sciences (CAS), and University of Science
and Technology of China. Since March 2005 he has
been the Director of AIOFM of CAS. His major research interests have
focused on developing novel optoelectronic instruments with various
spectroscopic analysis technologies including DOAS, LIDAR, TLAS, and
FTIR for applications in environmental monitoring of air, soil, and water
pollution. He is a member of SPIE and an executive member of the Chinese
Optical Society.

Fengzhong Dong performed his undergraduate work


from Nankai University in physics in 1986. He then
received his M.Sc. and Ph.D. from Anhui Institute of
Optics and Fine Mechanics (AIOFM), Chinese Acad-
emy of Sciences in 1989 and 1992, respectively. He is
currently a full professor at both AIOFM and
University of Science and Technology of China. His
major research interests are in developing novel
optoelectronic and spectroscopic technologies for applications in industrial
process control, energy saving and pollutant reduction, public safety, and
environmental air quality monitoring. He is a member of IEEE and SPIE.
Chapter 28
Integrated Plasmonic Antennas
with Active Optical Devices
John Kohoutek, Ryan Gelfand, and Hooman Mohseni
Bio-inspired Sensors and Optoelectronics Laboratory, EECS Department,
Northwestern University, Evanston, Illinois, USA

28.1 Introduction
28.2 Near Field Scanning Optical Microscopy (NSOM)
28.3 Optical Force
28.4 Deep Subdiffraction Mechanical Frequency and Amplitude Modulation
28.5 Optical Switching via Near Field Interaction
28.6 Conclusions
References

28.1 Introduction
A laser can be thought of as an optical cavity with two mirrors within which
light bounces back and forth many times. Because the optical mode is strongly
coupled to the cavity, a slight change to either mirror can cause a change to
the cavity and to the operation of the laser. Increasing the interaction strength
between changes to the mirror and the cavity can lead to interesting
operational methods for the laser. Recently developed fabrication techniques
have made it possible to integrate metallic nanostructures with lasers, creating
a hybrid device with applications in the medical, communication, commercial,
and defense fields. Using an optical antenna creates an area of very high
electric-field concentration; by placing one of these antennas in close
proximity to the laser cavity, the antenna can perturb this area, influencing
the whole cavity mode. We will show that by modulating the laser mode by
interacting it with the near field of the antenna, one can perform the
following: determine the near-field and optical force profiles of different

739
740 Chapter 28

antenna geometries, frequency modulate a laser, amplitude modulate a laser,


and detect biomolecules with high sensitivity.
With the development of nanofabrication techniques, optical antennas
can be made and further explored in the visible region of the optical
spectrum.1 These antennas are similar to radio frequency (RF) antennas in
that they are structures that are designed to be resonant with specific
wavelengths through both their geometrical and material properties as well as
their external surroundings. Though both RF and optical antennas have the
same basic goal of controlling radiation patterns, there is an important
difference. RF antennas are designed to optimize far-field characteristics in
order to obtain better transmission and reception of signals, while optical
antennas are designed to optimize the near-field characteristics, in order to,
for example, create a spot size that is smaller than the wavelength of incoming
light. The different operating wavelengths of these antennas also impose an
orders-of-magnitude size mismatch between the two classes of antennas.2
Optical antennas can be used in either integrated form or nonintegrated
form. In integrated form, more than one of the antenna, waveguide, source,
modulator, or detector is built on a common substrate. Figure 28.1 shows
the integration of antenna, source, and nonlinear switch or modulators. The
devices built with this integration provide an opportunity to investigate the
unique properties of optical antennas and circuits. For example, we will show

Figure 28.1 Various examples of optical antennas integrated on the facet of a laser device.
(a) Quantum cascade laser (QCL) showing the scale of the device. (b) Bare facet of the
laser. (c) and (d) Single nanorod antenna. (e) Coupled nanorod antenna (the scale of
the yellow bar is 50 nm). (f) Photonic crystal antenna. (g) Bulls-eye antenna. (h) Bowtie
antenna. (Reprinted from Ref. 52.) (See color plate section.)
Integrated Plasmonic Antennas with Active Optical Devices 741

how a laser is significantly modulated by a volume that is eight orders of


magnitude smaller than the laser. These devices will be utilized for future
applications such as fiber optic communications, molecular sensing, and all-
optical switching.
A surface plasmon (SP) is a collective motion of electrons generated by
light at the interface between two mediums of opposite signs of dielectric
susceptibility (e.g., metal and dielectric).3 Surface plasmons have been
extensively studied and found suitable for such applications as extraordinary
optical transmission,4 6 biomolecular sensing,7,8 drug discovery,9,10 surface
plasmon interference lithography,11 and spectroscopic applications.12
Maxwell’s equations can be solved in a planar film to give the SP
dispersion relationship:
vp
k¼ «eff , ð28:1Þ
c
where k is the wave vector of the electromagnetic field propagating parallel to
the interface, V is the angular frequency of the incident field, and «eff is the
effective dielectric constant given by:
«d «m
«eff ¼ , ð28:2Þ
«d þ «m
where «d and «m represent the dielectric constant of the dielectric and the
metal, respectively.
As Eq. (28.1) suggests, the angular momentum of the SP is greater than
the free-space momentum of the incident photon; thus, exciting an SP at the
metal–dielectric interface requires special care. From Eqs. (28.1) and (28.2),
one can see that the surface plasmon resonance (SPR) condition is affected by
modification of «d. The properties of the dielectric at the metal–dielectric
interface are highly sensitive to the presence of adsorbates that change the
optical index of the material, shifting the SPR by a measurable amount; this
shift forms the basis for SP index-of-refraction chemical and biomolecular
sensing.13
Plasmonic nanoantennas are optically resonant structures that can be used
to focus light down to a spot that is orders of magnitude smaller than the
incoming incident wavelength.14 16 These antennas work based on the
principle of SPR. The antenna material, shape, and geometry can be tuned
to maximize the performance for a particular wavelength.17 Furthermore,
because of the enhanced field amplitude, effects that depend on the amplitude,
such as nonlinear free-carrier absorption, can also be enhanced by SPs.18
A natural figure of merit used to describe the strength of the interaction
between an electronic system and an optical system is the Purcell constant. As
described in 1946 by Henry Purcell, the rate of spontaneous emission for
atoms can be enhanced by placing them in an optical cavity. Optical cavities
can be described by their quality factor (Q factor) and the optical mode
742 Chapter 28

volume (Vmode). Q factor is a measure of the total energy stored relative to the
amount of energy lost per each cycle of the oscillator. In high-Q-factor
cavities, the optical mode is underdamped and can survive for a long time,
thus increasing the chance of interaction with an electronic resonator placed
within said cavity. This resonator does not necessarily need to be an atomic
one but could be a molecular one, whose vibrational states resonate at the
optical frequency of the cavity. In this case, a high Purcell constant would not
denote an increase in the spontaneous emission rate, but in the absorption rate
of the molecular resonator. Another way of thinking about an increased
Purcell constant is that the time the photon spends interacting with the
molecule within the cavity is increased; therefore, the probability of
interaction by absorption is also increased. This absorption is usually
measured by optical spectroscopy and, by collecting over a broad spectral
range, can be used to distinguish molecules from one another:
 3
3 Q l
FP ¼ 2 : ð28:3Þ
4p Vmode n
However, in order to collect a broad spectrum, the cavity must have a
broad spectral resonance, and the Q factor is inversely proportional to the
linewidth. Therefore, one cannot rely on a high Q factor for a high Fp but
rather must find some way of reducing Vmode. The mode volume is usually
diffraction limited and cannot be pushed much lower than one-half its
wavelength in the material within which the mode is propagating.
To achieve subdiffraction-mode volumes, we used plasmonic antennas.
Some structures can be so effective that they can achieve Vmode on the order of
single molecules and, because plasmonic cavities have Q factors somewhere
on the order of 10 to 100, they have high Fp and broadband sensing
capabilities. The volume of the squeezed mode for the coupled nanoparticles is
approximately (l/30)3, which is 6000 times the incident mode volume from a
free-space wavelength for a plasmonic system resonating in the mid-infrared
region. A plasmonic photonic crystal (PPC) with a cavity defect is a
metal–dielectric–metal (MDM) sandwich with a very thin dielectric region
(10–40 nm) and a photonic crystal drilled through the three layers. The central
hole is made very small and acts as a cavity defect, wherein the light can be
squeezed more than 300,000 times the volume of the free-space wave. The
excited gap mode resonates through the middle dielectric layer where, because
of the extremely high refractive index, it propagates very slowly, giving itself
every opportunity to interact with a molecule. Furthermore, broadband cavities
are resistant to manufacturing variances and environmental changes such as
temperature or humidity. More importantly, this broadband capability allows
us to sweep over a range of wavelengths to excite the molecular resonances
around that resonance; and, because not all molecules will have identical
spectral responses, this sweeping will dramatically improve the specificity.
Integrated Plasmonic Antennas with Active Optical Devices 743

Specificity is an important quality for a biosensing platform and allows


target molecules to be uniquely identified from a mixture of many similar
molecules. Because many important biological compounds are usually
proteins or other large biomolecules, they have very strong and unique
vibrational characteristics in the 10 to 100 THz range. Optical energy that
matches a natural molecular mode in this frequency range will be absorbed
and converted into a mechanical vibration or rotation. Unfortunately, this
frequency range corresponds to free-space optical wavelengths in the mid- to
long infrared. The nanoscale molecules are washed over by the huge optical
waves without any significant interaction. Therefore, direct optical
spectroscopy often requires a large number of molecules to produce reliable
detection; to sense just a few molecules with good reliability one needs to
address the critical issue of increasing the interaction strength by many
orders of magnitude.
One of the main challenges for building these detectors is that the
semiconductor compact detectors that exist at these wavelengths have low
sensitivity at room temperature and, as a result, require liquid nitrogen
cooling in most conventional setups. However, in our approach, the strong
coupling of the antenna’s plasmonic modes with the laser cavity’s optical
modes produces a very large modulation of the laser output from minute
scatterings near the “hotspot.” Since the laser power is quite large, this large
modulation can be easily sensed with an uncooled mid-infrared detector, and
hence an all-room-temperature sensing platform is feasible.
It turns out that the operation of these devices is very sensitive to changes
at or near the facet of the lasers and depends heavily on the antenna
characteristics at the hotspot regions. It is possible to externally modulate the
laser output at the back facet by manipulating the properties on the front
facet. Both amplitude and frequency modulation are possible both by
mechanical (AFM tip) and optical (external laser) methods.

28.2 Near-Field Scanning Optical Microscopy (NSOM)


The first step in exploring these plasmonic antennas for use as biosensors
is to better understand their near-field optical characteristics and
measure the optical mode confinement. One method that has been used
to visualize SPs in nanodevices is apertureless near-field optical
microscopy (a-NSOM, where the M stands for microscope or microscopy
in this chapter). 19,20 In a-NSOM, a small tip, usually an atomic force
microscope (AFM) tip, is scanned across the surface of the device while
vibrating in noncontact mode. The end of this tip acts as a sphere that
couples to SPs generated within the near-field regime of the device. The
induced charge in the tip creates a field (dipole moment) that is measured
by the detector. 21,22
744 Chapter 28

It has already been said that a-NSOM often uses an AFM in noncontact
mode to scan the surface of a device. Typically, the way this works is that there is
a small tip at the end of a long cantilever that is vibrating slightly above the
resonant frequency of the cantilever while scanning the surface.23 A laser beam is
reflected off of the back of the cantilever onto a quad detector, and the amplitude
and phase of vibration of the beam, and therefore the cantilever, are measured.
Surface interaction forces such as the electrostatic force and the van der Waals
force make the tip have some interaction with the surface, even though it is not in
contact with the surface.24 Because the tip is vibrating slightly above resonant
frequency, the fundamental forces acting on the tip are out of phase with each
other, lowering the amplitude and keeping the tip in noncontact mode.25 The
amplitude of vibration is fed into a feedback loop, and a piezo and servo keep the
tip out of contact with the surface of the sample as it scans.
The final piece of an a-NSOM setup, and indeed many experimental
setups, is a lock-in amplifier. A lock-in amplifier basically measures the
amplitude of a sinusoidal voltage. However, what makes using a lock-in
amplifier different from using the “measure amplitude” function of an
oscilloscope is that the lock-in amplifier can measure the amplitude of a
sinusoid that is only one small component of a signal that contains a much
broader frequency spectrum. A lock-in amplifier works on the principle of
phase-sensitive detection (PSD), which is basically a multiplier that multiplies
the input signal by a reference signal. At the output of the PSD is a DC
component giving the amplitude of the input signal and higher harmonics.
The output of the PSD is then low-pass filtered to obtain the final output.26
Examples of completed near-field maps taken concurrently with AFM
topography scans can be seen in Fig 28.2.

Figure 28.2 Examples of three antennas fabricated onto a QCL facet with their
corresponding AFM topography scans and near-field maps. All three antennas resonate
at the working wavelength of the QCL, with the bowtie antenna exhibiting the highest
confinement. (Reprinted from Ref. 52.) (See color plate section.)
Integrated Plasmonic Antennas with Active Optical Devices 745

It is important to note that there are other types of NSOM. First, there is
aperture-probe NSOM, in which a tip containing a small aperture is scanned
across the sample. Light is pushed through the aperture and collected with
special lenses or mirrors after interacting with the sample.27
Secondly, there is scattering-NSOM or s-NSOM, in which the scattered
signal is measured as opposed to the reflected signal. Thus, the sample can be
illuminated from the top with an external laser, and the scattered signal from
the AFM tip is measured, again, using mirrors or lenses to collect the
scattered light.28
A special method for measuring antenna-integrated devices through
a-NSOM is a backscatter-type a-NSOM method. In this method the light is
scattered back through the device and passes through a beamsplitter, where
the light is collected into a detector to be measured. Figure 28.3 shows the
setup of this method in the case where the source is a midwave infrared
(MWIR) QCL. Another method of backscattered NSOM uses a nonactive
element (waveguide) such as an optical fiber (see Fig. 28.4). This method
has been used in the shortwave infrared (SWIR) near the telecommunica-
tions band.
Aside from measuring the near-field radiation patterns of such devices, it
is also possible to modify and measure the amplitude and frequency of
antenna-integrated laser devices through special methods. Yet another
possibility that will be discussed is measuring the optical force created by
the strong gradient of the electric field created through near-field plasmonics.

Figure 28.3 Backscatter-type a-NSOM setup developed for imaging SPs in the MWIR
created by optical-antenna-integrated QCLs. (Reprinted from Ref. 16.)
746 Chapter 28

Figure 28.4 Modified backscatter-type a-NSOM setup for detection in the SWIR and for
use with optical fibers (reprinted from Ref. 30).

Lastly, the goal of molecular detection through amplitude and frequency shift
of these devices will be discussed, as many molecules have vibrational
resonance in the MWIR.14,16,29

28.3 Optical Force


One aspect of molecular sensing with an integrated optical antenna involves
trapping the intended biomolecule at the correct position that is the hotspot of
the optical antenna. Optical trapping plays an important role in transporting
the molecule to the hotspot region of an intended device. It has long been
known that the electromagnetic gradient fields of light can be used to
manipulate and trap particles. Recent progress suggests that it is also possible
to trap molecules using the same techniques. In our case, an area of optical
mode compression at the center of the optical antenna leads to an extremely
large electromagnetic gradient. A molecule that strongly resonates at the
frequency of the optical antenna will have a strong attracting force along the
gradient toward the optical hotspot. Once the molecule is located near enough
to the antenna, it will interact with the laser mode, causing its amplitude to
modulate. Because only molecules that have a sharp absorption resonance at
the particular wavelength of the laser will be attracted to the optical hotspot,
the only molecules that get trapped are those of interest. By either tuning the
wavelength or having an array of lasers, the device will be able to trap
Integrated Plasmonic Antennas with Active Optical Devices 747

different molecules; once they are in the trap, the gradient force is strong
enough to keep the molecule there, even if it is off resonance. The same system
used for filtering the molecules can also be used to retrieve the spectroscopic
information of that molecule. By using the optical force created by the
gradient of the electrical field created by such an antenna, the antenna can
pull in the correct molecule to be sensed. Here we introduce a method for
mapping the optical force of a plasmonic nanoantenna.30
Photons have a momentum (h/l), and transfer of this momentum to other
objects due to elastic scattering is the physical origin of radiation pressure and
optical force. These forces have been known to exist since their deduction by
Maxwell in 1871 and have been explored in many applications,31 such as solar
sails for space propulsion32 and, more recently, radiation cooling.33,34
However, the force caused by unfocused light is very small, such that for an
application such as a solar sail a huge area is needed.32 Previous work has
shown that if the light is focused by traditional lens optics, a force large
enough to measure with a probe particle is possible and is called optical
trapping.35 This phenomenon has been well developed by the far-field optics
community.36 38 Developmental work was done with a probe particle held by
an optical trap in 2006 to map the force induced by SP radiation.39 Recently,
there has been a lot of interest in exploiting the near-field region to generate
an optical force to similarly trap particles.40 42 Near-field trapping was
demonstrated by Righini et. al.43 in 2007 and has more recently been used to
trap even smaller particles.44 Here, one uses a nanoantenna to focus the light,
instead of a lens, and the light can be focused past the diffraction limit using
an integrated source. Once the light is focused to this point, a local force in the
piconewton range may be expected, as shown by simulation, so far.40 42,45
Furthermore, one can then use the local trapping forces toward building a
biosensor utilizing SPR.46,47 Similar structures have been used for sensing.48
In fact, in a microfluidic setup, the optical force could be used to enhance the
attraction of small particles, and therefore molecules, to the hotspot beyond
the diffusion rate.43,44,46,47 Also, unlike the usual trapping methods,
plasmonic nanostructures are ideal for “chip-scale” optical trapping. Most
importantly, this allows trapping in volumes that are many orders of
magnitude smaller than what could be achieved with far-field optical
trapping.14,16,48 So far, experimental work done to map optical forces in the
near and far fields has used large probe particles at the expense of high spatial
resolution.35,39 Little experimental work has been done in measuring the
optical force in the near field with a high spatial resolution. Because the
optical force originates from the divergence of the electromagnetic energy
density,49 the fact that plasmonics can be used to concentrate the electric field
to a very small spot can lead to a very large force density.
Based on our simulation and experimental analysis,14,16 we have chosen
an MDM bowtie antenna design for this optical force experiment, due to its
748 Chapter 28

capability to generate higher near-field enhancement. After optimizing our


antenna design, we fabricated our devices on the end of a cleaved optical fiber.
First, the fiber end was coated with Au/SiO2/Au (40/30/40 nm) by electron-
beam evaporation. The bowtie antenna was then fabricated on the surface of
the coated fiber optic using focused ion beam (FIB) milling. Using the gallium
ion beam at a high voltage (30 keV) and a very low current (9.2 pA), a high-
precision milling was achieved. The fabricated antenna on the face of the fiber
optic is shown in Fig. 28.5. Figure 28.5(c) shows an oblique-angle, magnified,
scanning electron microscope (SEM) image of the bowtie antenna.
We used the Maxwell stress tensor method to calculate the optical force
intensity on the AFM tip. Our simulation structure consists of an MDM box
(similar to that used for fabrication) on top of an SiO2 layer (represents the
fiber material). In the box, the MDM bowtie antenna was positioned at
the center with the correct sidewall angle generated during fabrication. We
modeled the AFM tip as a sphere with a diameter identical to the actual AFM
tip (100 nm). The position of the AFM tip was varied, and the electric field
components at each position were recorded. We used a single-wavelength
(1550 nm) plane-wave source to model the incoming beam that comes from
the optical fiber. The polarization of the plane wave was kept along the

Figure 28.5 (a) SEM image showing a top-down view of the fiber before FIB milling. The
schematic circle shows the core of the fiber. (b) Top-down view of the bowtie antenna
fabricated on the core of the fiber using FIB. The darker area is the milled region where the
laser core material (SiO2) has been exposed. (c) Sideways view of the bowtie antenna
showing the gap between two the arms at 50 nm. (Reprinted from Ref. 30.)
Integrated Plasmonic Antennas with Active Optical Devices 749

antenna axis. We placed six plane monitors around the sphere to obtain the
electric and magnetic field components at the different points of that monitor.
The field components are related to the Maxwell stress tensor42 by
1
Tij ¼ «0 «m Ei Ej þ m0 mm Hi Hj dij ð«0 «m jEj2 þ m0 mm jHj2 Þ, ð28:4Þ
2
where Ei and Hi correspond to the electric and magnetic field, «m represents
the electric permittivity, and mm represents the magnetic permeability for the
object on which optical force is applied (AFM tip in our case). The
electromagnetic force acting on the AFM tip was calculated by
Z 
1
hFi i ¼ Re Tij nj dS , ð28:5Þ
2 S

where nj is the outward normal to the surface of the six planes mentioned
before.
Simulations show that the z component of the calculated optical force is
10 times larger than the in-plane (x and y) components, and that this
component would also have the largest effect on the amplitude of the AFM
tip. Thus, for simulated force mapping (Fig. 28.6), we only considered the
vertical component (z) of the optical force exerted on the AFM tip. While
keeping the vertical distance constant at 50 nm (near the average position of
the AFM tip from the antenna top surface25), we simulated the z component
of the optical force at different lateral positions (x and y). It is important to
note that the actual force is attractive, creating a trap that can be used for
biosensing, but we have shown the amplitude of the force. The final simulated
optical force mapping result is shown in Fig. 28.6.

Figure 28.6 Simulated optical force intensity map. The green dashed lines demarcate the
antenna structure, while the white dashed lines demarcate the box carved out by the FIB
milling. (Reprinted from Ref. 30.) (See color plate section.)
750 Chapter 28

Our primary goal is to observe the effect of the optical force on the
amplitude modulation of the AFM tip when it is brought in this region of
optical confinement. We used a simple modification of the a-NSOM setup to
measure the optical force generated by the near field of the bowtie
nanoantenna. Previously, we showed that amplitude and phase require some
time (1 ms) to respond to an external force.25 Thus, we modulated the laser
in 50% duty cycle at low frequency (1 kHz). The operating frequency of the
laser was then used as the reference signal for the lock-in (see Fig. 28.7). We
then fed the output of the lock-in back to the computer to map to the current
position of the AFM, giving the optical force intensity.
The minimum force sensitivity of our AFM system, limited by thermal
fluctuations,50,51 can be calculated from
s
4kB TkB
Fmin ¼ , ð28:6Þ
v0 Q
where kB is the Boltzmann constant, T is room temperature, k is the spring
constant of the cantilever (3 N/m), B is the bandwidth of measurement (set by
lock-in amplifier) used in the force measurement (7.8 Hz), v0 is the resonant

Figure 28.7 Experimental setup for measuring optical force. The antenna is fabricated on
the cleaved tip of an optical fiber that the AFM scans in noncontact mode. The signal from
the quad detector is fed to the signal input port of the lock-in, and the trigger out of the laser
is fed to the reference input port of the lock-in. The laser is operated at 1 kHz at 50% duty
cycle. (Reprinted from Ref. 30.)
Integrated Plasmonic Antennas with Active Optical Devices 751

frequency of the AFM tip (101 kHz), and Q is the quality factor of the
cantilever (160). This leads to a force sensitivity on the order of 62 fN in
our setup. Thus, measuring optical force on the order of a fraction of a
piconewton is not limited by the thermal sensitivity of our system.
Figure 28.8 shows the experimental optical force map. Comparing to the
simulation (Fig. 28.6), both show a central spot on the order of 0.5 pN and
lobes at the ends of the bowtie arms where the force is diminished compared
to the central spot, showing good agreement. The central spot of the
experimental image is likely larger compared to the simulation due to the fact
that in the simulation we considered the AFM tip as a sphere instead of using
its actual pyramidal structure.
To calculate the force from the lock-in signal, we used the following
equation:
cantilever amplitude ðmÞ  lock-in signal ðVÞ  spring constant ðN=mÞ
F¼ :
max peak-to-peak voltage from quad detector ðVÞ
ð28:7Þ
Note that this conversion is unlike a-NSOM, where it is impossible to convert
the lock-in signal into units of electric field intensity (V/m).
The optical force was only observed in the bowtie whose long axis was
aligned with the incident electric field [Fig. 28.8 (a) top]. In the lower bowtie,
where the polarization was perpendicular to the long axis, no optical force was
observed. The spatial resolution of this technique is limited by two factors:
(1) the radius of curvature of the AFM tip used for the scan and (2) the
bandwidth of the lock-in amplifier used in the experimental setup. For the
radius of curvature of the AFM tip, there is a tradeoff: the smaller the radius,
the greater the resolution but also the smaller the interaction with the optical
force generated by the nanoantenna, explaining why we prefer a tip with a
radius of about 100 nm. There is also a tradeoff with bandwidth of the lock-in:
the greater the bandwidth of the lock-in, the smaller the spot size of the scan,

Figure 28.8 (a) Topography showing two perpendicularly aligned bowties and (b) experimental
optical force map. (Reprinted from Ref. 30.)
752 Chapter 28

but the noise will be higher. Note that the long-term mechanical stability of
the sample determines a lower bound on the scan speed, which determines the
minimum bandwidth that can be chosen.
With dual lock-in amplifiers, this method could simultaneously map the
topography, near-field, and optical force. We believe that this work has many
applications in areas ranging from optical trapping in biosensing46,47,52 to
optical switching in telecommunication.53
In the following sections we discuss special methods for modifying the
amplitude and frequency of an antenna-integrated device through external
means. Recently, there has been increasing activity using SP-based devices for
optical modulation and switching.54 56 The modulation of integrated optical
signals (i.e., diode lasers) is generally achieved by directly modulating the
current that drives the light source. All optical modulation methods have
become more attractive for applications in compact optical circuits and
integrated communication devices. A silicon-based MDM channel with
subwavelength slits that act as an optical source and drain to create an SP-
based optical modulator has been created.54 Other researchers have coupled
SPs into and out of a grating and along their path and have modulated the
signal using a polymer loaded with photochromic molecules.55 Theoretical
work shows that ultrafast and compact all-optical switches can be realized by
means of a semiconductor gap-loaded nanoantenna.56 In the following
section, we show how a laser is significantly modulated by a volume that is
eight orders of magnitude smaller than the laser itself.

28.4 Deep Subdiffraction Mechanical Frequency and


Amplitude Modulation
Recently, an efficient method of chip-scale mechanical tuning of a QCL has
been demonstrated.57 Here, we experimentally demonstrate an alternative
approach, where a small change in the position of an AFM tip with respect to
an optical antenna hotspot tunes the laser operating frequency as well as its
output power. Because we are using the near-field interaction between the
apex of the AFM tip with the antenna hotspot to modulate the entire laser,
our approach uses a volumetric change that is many orders of magnitude less
than that used in previous approaches to modulate the laser output.57 It is
because of the high field confinement due to the optical antenna that the
interaction with the external AFM tip can affect the cavity mode of the device.
We measured the output spectrum and amplitude of the device as a function
of the AFM tip position over the hotspot, as well as the near-field image using
a modified a-NSOM.14,16 Our measurement quantifies the sensitivity of such
an antenna-integrated device that can potentially be used for molecular
sensing.
Integrated Plasmonic Antennas with Active Optical Devices 753

The AFM tip is placed over the hotspot of the bowtie nanoantenna. The
measured near field is shown in Fig. 28.9(b). The experimental setup is shown
in Fig. 28.10. A lock-in amplifier allows us to synchronize two frequencies: the
driving frequency of the laser and the frequency of the AFM tip. This
capability allows us to vary the trigger signal delay of the QCL driving pulse
to measure the spectrum at each vertical position of the AFM tip over the
hotspot. The QCL is operated just above the threshold current. The spectrum
was recorded at six equidistant delay points between 0 and 2p with respect to

Figure 28.9 (a) 3D simulation showing the intensity enhancement above the antenna
at resonance condition. (b) 3D NSOM image showing the squeezing optical mode of
the device. Full-width at half-maximum (FWHM) was found to be 100 nm. (Reprinted from
Ref. 80.) (See color plate section.)

Figure 28.10 Setup used to simultaneously measure NSOM, topography, and time-
resolved spectrum of the device. (Reprinted from Ref. 80.)
754 Chapter 28

Figure 28.11 (a) Schematic diagram of the setup used to measure the spectrum of the laser
at different positions of the AFM tip. At 2p/5 phase, mode hopping causes the fit line to split the
two modes in the figure. The white dotted line shows the calculated theoretical model for the
shift in frequency. (b) A shift in laser frequency and amplitude was observed due to feedback
from the apex of the vibrating AFM tip. (Reprinted from Ref. 80.) (See color plate section.)

the AFM tip cycle. The time-resolved step scan for all measurements is shown
in Fig. 28.11. As the delay was varied, the laser’s central wavelength of
operation shifted. In effect, this has created mechanical frequency modulation
in the laser device.
For our previous work, we used a backscattered a-NSOM to study the
near field of the device.22,58 However, for this work, we used a modified a-
NSOM that can also simultaneously measure the spectrum of the device with
respect to time and AFM tip position. During the measurement, the laser was
operated in pulsed mode and biased using a voltage pulse generator and
enclosed in a temperature- and airflow-stabilized environment. To observe the
lasing spectrum at a specific position of the AFM tip, both the voltage pulse
generator and the Fourier transform infrared (FTIR) spectrometer were
synchronized with the AFM tip’s vibration frequency. The setup has been
elaborated in Fig. 28.10. The apex of the vibrating AFM tip backscatters the
near-field light intensity back through the laser, and the backscattered light
intensity is collected using a liquid-nitrogen-cooled mercury–cadmium–
telluride (MCT) detector. The background noise removal and near-field
Integrated Plasmonic Antennas with Active Optical Devices 755

imaging details can be found in our previous publications.16,59 A research-


grade FTIR spectrometer was included in the setup to simultaneously
measure the spectrum of the device. As the natural vibration frequency of the
AFM tip was measured to be 90 kHz (Ω), each tip position repeated itself at
an interval of 11.1 ms (1/Ω). The resolution of the time-resolved
measurement was kept at 10 ns, thereby recording 5 sets of spectral data
for an operating pulse width of 50 ns.
In order to explain the experimental observation, we solved the rate
equations60 that govern the density of carriers in the upper and lower states of
the QCL and photon density, since these are rapidly changing near our
operating point, which is right above the threshold current. The rate equations
are given as
J n3
Sgc ðn3 n2 Þ ¼ 0, ð28:8Þ
q t3
n3 n2
þ Sgc ðn3 n2 Þ ¼ 0, ð28:9Þ
t 32 t2
 
c n3
Sgc ðn3 n2 Þ a þ b ¼ 0, ð28:10Þ
neff t sp
where J is the injected current density, q is the electron charge, n3 and n2 are
populations of states 3 and 2, S is photon density, t is the lifetime for the states
and transitions, gc is the gain cross section, neff is the effective refractive index,
c is the speed of light, a is the loss (addition of mirror and waveguide loss),
and b is the spontaneous emission factor. The QCL laser parameters used
to model our QCL are: neff ¼ 3.2, G ¼ 0.5, z32 ¼ 1.6 nm, g32 ¼ 25 meV, t 32 ¼
1.4 ps, t2 ¼ 0.2 ps, t 3 ¼ 0.8 ps, and aw ¼ 20 cm 1, where neff is the effective
index, z32 is the dipole matrix element of the optical transition, g32 is the
luminescence linewidth, t 32 is the longitude optical (LO)-phonon scattering
time, t 3 and t2 are the upper and lower state lifetimes, respectively, and aw is
the waveguide loss. The QCL parameters are based on published data61 64
with a similar active region design to ours and show a good agreement with
our experimental threshold current density. The rate equations contain a
mirror loss term that contains the reflectivity of each of the facets, one of
which was found to be changing through finite-difference time-domain
(FDTD) simulations because of the motion of the AFM tip with respect to the
bowtie antenna.
To model our system, which is being modified by the moving AFM tip, we
performed FDTD simulations, which showed a dramatic change in the
electric field intensity when we recorded the field within the QCL waveguide
structure. To calculate the change in reflectivity of the bowtie antenna, we
recorded the electric and magnetic fields near the back of the device and
calculated the reflected power with and without the AFM tip over the hotspot
756 Chapter 28

to be 12%. We solved the rate equations self-consistently for the photon


density S, and the state densities n3 and n2 as a function of time for a moving
tip. The laser output power can change by a maximum of 93%, while we
observed a change of 74%. The difference between these two figures is likely
due to imperfections and nonoptimal conditions between experimental setup
and measurement technique. Thus, the amplitude of the laser is also
modulated mechanically. To calculate the change in wavelength as a function
of time, we took the rate carriers n3/t 3 and n2/t 2 that produce heat through
different nonradiative mechanisms from the rate equations and multiplied
them by the respective energy of those transitions as well as the heat losses due
to photon absorption in the waveguide. We also included the variation of the
joule heat in the laser due to a rapid change of laser current density near
threshold at a constant bias.65,66 This joule heat variation gives a term that is
the change of current density near threshold times the voltage at our bias
point of the QCL (1% above threshold) to obtain the power density loss due to
heat in the QCL:
 
n3 hc n2 c hc DJ Vapp
Pheat ¼ L  w  d  þ 2ħvLO þ s  aW   þ ,
t 3 l0 t2 neff l0 d
ð28:11Þ
where L is the length of the cavity, w and d are the width and height of the
active region, respectively, h is Planck’s constant ħvLO is the LO-phonon
resonance energy (30 meV) multiplied by 2 because the active region of our
design generates two phonons per transition, l0 is the operating wavelength
(6.1 mm), DJ is the change in current density near threshold, and Vapp is the
applied voltage. Then, we calculated the change in core temperature when
considering this power term and the thermal resistance of the laser.67 69 The
core temperature is found to be varying as a function of time, due to the time-
varying terms in Eq. (28.11), originating from the laser facet change in
reflectivity. Finally, we used the relation Dk ¼ DT  kðb þ a  neff Þ=neff to find
the change in wavelength as a function of time.70 Here, k is wavelength in
cm 1 converted to Df (frequency in GHz), b is the temperature coefficient of
change in refractive index (5.9  10 4), and a is linear thermal expansion
coefficient (5.54  10 6 K 1).70 The results of this calculation shows a final Df
of 31.8 GHz, in good agreement with our experimental data.
In noncontact mode operation, the AFM tip has an oscillation amplitude
of 50 nm toward and away from the hotspot. The calculated figure of merit
of the system is g ¼ Df/Dd  6.4  108 Hz/nm. Although the previous external
mechanical frequency-tuned QCLs show a larger relative tuning range, the g
value of the device was on the order of 2.7  107 Hz/nm, which is an order
of magnitude less than what we report here. In parallel, our device requires
approximately five orders of magnitude less volumetric change per l3.
Integrated Plasmonic Antennas with Active Optical Devices 757

We believe that the reason for the higher g in our device versus the previous
design is the high mode confinement via the plasmonic nanoantenna and the
strong coupling of that mode to the cavity mode of the QCL. When the
plasmonic mode is modified by the AFM tip, it strongly changes the cavity
mode of the laser through this strong coupling. Both devices differ from
previous designs that use the optical gradient force to modulate the
frequency.71 In those cases, the devices can actually be mechanically self-
actuated by the optical gradient force, while the devices discussed here are
externally actuated.
In conclusion, the laser cavity mode has shown an extremely high
sensitivity with respect to the position of the probing AFM tip over the optical
antenna hotspot. The laser power is reduced by 74% and shifts by nearly 30
GHz with a change in the AFM tip position near the hotspot, creating
mechanical frequency and amplitude modulation. We have developed a
theoretical model based on the rate equations for a QCL and dynamic mirror
reflectivity that confirm our experiments. This strong influence relies on a
MDM plasmonic bowtie antenna integrated onto the facet of a QCL that can
squeeze the optical mode to within a spot size of 100 nm, which is 60 times
smaller than the operating wavelength. We used FDTD software to optimize
the design of such a device and measured it using a modified a-NSOM that
can also measure the amplitude and spectrum of the device as a function of
time and AFM tip position. We compared our device to a previous frequency-
modulated QCL57 and calculated a figure of merit that is an order of
magnitude higher, while our design uses a volumetric change per l3 that is five
orders of magnitude smaller. Our device differs from optical-gradient-force-
actuated devices in that our device is externally mechanically actuated, while
those devices are self-actuated through the optical force.71 This sensitivity of
the laser cavity mode to the fine position of a nanometer-scale metallic
absorber opens up the opportunity for modulating large amounts of optical
power by changing the optical properties of a miniscule volume in an
integrated, chip-scale device. Our experiment demonstrates the strong
influence of the hotspot on the laser cavity modes, despite the fact that the
former is many orders of magnitude smaller than the latter. Although this
motion is more than two orders of magnitude smaller than the wavelength of
the laser, the strong coupling changes the laser intensity by more than a factor
of three. Without the antenna, this interaction would have produced a nearly
four-orders-of-magnitude smaller amplitude change according to our simula-
tions, a change of only 0.007%.
This measurement demonstrates the sensitivity of such an antenna-
integrated device to be used for molecular sensing. In particular, if the laser is
operated very near to threshold, the tip has the ability to modulate by this
strong coupling between the two. A molecule that is sitting at or much nearer
758 Chapter 28

to the cavity will act as a strong absorber and vibrate at the driven frequency.
This will modulate the laser the in same manner as the AFM tip.

28.5 Optical Switching via Near-Field Interaction


With the recent invention of the QCL,62 a unipolar semiconductor laser that
works on the principle of intersubband transitions, there now exists a compact
semiconductor-based laser source in the mid- to far infrared that has the
potential to be externally modulated. Due to the high sensitivity of the cavity
mode to the reflectivity of the facets,60,72 changing the optical mode properties
by externally manipulating the properties at one of the facets is a very
attractive way to build an externally modulated light source.
There has also been recent increased interest in making plasmonic devices
integrated with QCLs.14,16,19,73 Some of these devices may be used for
biosensing,14,16 as many important molecules have vibrational resonance in
the terahertz;29 in addition, plasmonic integrated QCL devices can be used for
laser beamshaping or steering.74 Here we present an antenna-integrated QCL
that can be actively and optically modulated using light in the telecommu-
nications wavelength.
We chose two cross-polarized bowtie antennas with an aligned central
region for the antenna design. The antenna is placed on the front facet of the
QCL so that we can focus the 1550-nm switch beam on the antenna and
record the 6.1-mm signal out of the back facet of the laser (see Fig. 28.12). We
chose bowties over previous designs14,16 because bowties resonate over a
larger spectral range and offer an empty central region where we can place a
photonic absorber. The larger bowtie antenna is resonant with the QCL at
6.1-mm wavelength with a length of 1.5 mm per arm and is aligned
perpendicular to the active region of the device. Because the QCL emits
transverse-magnetic (TM)-polarized light, the electric field is aligned with the
long axis of the larger bowtie. The smaller bowtie is resonant with the
incoming modulating light at 1550 nm with a length of 200 nm per arm and

Figure 28.12 Device schematic (not to scale). (Reprinted from Ref. 72.)
Integrated Plasmonic Antennas with Active Optical Devices 759

is aligned perpendicular to the first bowtie. A rectangular region (500-nm


long, 250-nm wide, and 80-nm tall) of amorphous germanium below the
smaller bowtie acts as an absorber at 1550 nm.
The bowties are made of a single layer of aluminum so as to avoid metal
diffusion into the germanium layer below the smaller bowtie antenna.
A buffer layer of 100 nm of MgF2 below the entire structure insulates the top
and bottom contacts of the laser and protects the facet of the laser. Because
the larger bowtie is resonant at 6.1 mm, when the 1550-nm light source is off,
there is a high intensity of 6.1-mm light at the center of the larger bowtie.
When light at 1550 nm is incident on the device, it is focused, and the intensity
is enhanced by the smaller bowtie, creating a large absorption in the small
volume of germanium. As a result, free carriers are generated inside the
germanium, and it becomes lossy. The free charge dampens the electric field in
the germanium, which is in the center of the larger bowtie and is where the
largest change in field intensity is observed in the simulations (see Fig. 28.13).
Because the antenna is strongly coupled to the cavity modes of the laser, the
overall power of the laser is significantly affected.
After designing, simulating, and optimizing our structure, we fabri-
cated the test structure on the facet of a QCL. The QCL used in the device
is the same as the one used in Ref. 70, with an injector. After cleaving, the
laser was mounted on a c-mount and tested at each possible stage during
fabrication. After initial testing, the laser facet was coated in an electron-
beam evaporation chamber with layers of MgF2/Ge with thicknesses of
100/80 nm. After this initial evaporation, the laser was again tested, then
FIB was used to mill out the isolated Ge rectangle on which the smaller
bowtie antenna would eventually sit. Next, the laser was tested again, then
another e-beam evaporation was performed to deposit an aluminum layer

Figure 28.13 Simulated backscattered light intensity signal near the antenna when (a) the
1550-nm probe signal is turned off and (b) when the 1550-nm probe signal is turned on.
(Reprinted from Ref. 72.) (See color plate section.)
760 Chapter 28

(80 nm, as in the simulation). After retesting the laser, the final antenna
structure was milled out of the aluminum using a two-step process in FIB:
first, the smaller antenna aligned to the germanium rectangle was milled
using a low current (9.7 pA), then the large antenna was aligned to the
smaller antenna and milled at a high current (93 pA). The final antenna
design is shown in Fig. 28.14.
After fabrication, our sample was experimentally tested in a two-way
microscope setup, schematically pictured in Fig. 28.15. From the top of the
setup, an NIR camera allows one to view the laser facet as well as
simultaneously focus the modulation (1550-nm wavelength) laser light onto
the laser facet. From the bottom of the setup, a broadband inverted
microscope coupling the light from the laser facet to a visible camera and
MCT detector allows one to simultaneously view the bottom facet of the
laser and focus the beam of the QCL onto the MCT detector. We first
observed the signal from the MCT detector on the oscilloscope with the
1550-nm source off and recorded its signal. Subsequently, we turned
the 1550-nm source on and recorded the output of the MCT detector on the
oscilloscope. When the 1550-nm source is on, there is a nearly 15% decrease
in the peak signal of the QCL output [Fig. 28.17(b)]. We have further
characterized the device through a sideband measurement method by
modulating the 1550-nm laser at 200 Hz and recording the output of the
MCT detector in an RF spectrum analyzer.
As the QCL is operating in pulsed mode at 0.5% duty cycle (103 kHz,
50-ns pulse width), we observe a main peak at 103 kHz, and when the 1550
laser is on, two sidebands at 200 Hz with respect to the main peak.

Figure 28.14 SEM image showing the completed device. (Reprinted from Ref. 72.)
Integrated Plasmonic Antennas with Active Optical Devices 761

Figure 28.15 Schematic diagram of the experimental setup. An NIR camera allows one to
view the top QCL facet as well as simultaneously focus the modulation (1550-nm
wavelength) laser light onto the laser facet. A broadband inverted microscope coupling
the light from the bottom QCL laser facet to a visible camera and MCT detector allows one to
simultaneously view the bottom facet of the laser and focus the beam of the QCL onto
the MCT detector. The signal from the MCT detector goes through a bias-tee and low-noise
amplifier (LNA) before being recorded on an RF spectrum analyzer. The experiment
allows for polarization control, wavelength tunability, and power measurement. (Reprinted
from Ref. 72.)

We recorded the amplitude of the signal in dBm (decibels of 1 mW) on the


spectrum analyzer and converted it to a linear scale in watts to observe the
effect of the 1550-nm light on the modulation of the mid-infrared output of
the QCL. We varied the 1550-nm switch-beam laser power, measured the
output depth of modulation, and plotted the result in Fig. 28.16(a). It can
be found through the Drude model that «6.1 / I1.552, where «6.1 is the
permittivity at 6.1 mm, and I1.55 is the light intensity at 1.55 mm. Using the
above relation in our FDTD simulation, we observed a quadratic
relationship between depth of modulation and I1.55. This is in good
agreement with experimental data [Fig. 28.16(a)].
We also varied the polarization of the switch beam at 1550 nm.
Figure 28.16(b) shows a good agreement between the measured power and
the expected sin2u relationship (where u is the angle between the incident
electric field and the long axis of the bowtie, as shown in Fig. 28.12). This
is due to the cross-polarization relationship between the incoming switch
beam and the smaller resonant bowtie polarization axis. We believe that
762 Chapter 28

Figure 28.16 (a) Sideband power versus power of the 1550-nm modulation signal. Left
axis shows experimental signal power, and right axis shows simulation data. Experimental
signal power is limited by duty cycle and total power, and is reduced by the responsivity of
the MCT detector. (b) Sideband power versus polarization of 1550-nm modulation signal.
Left axis shows experimental signal power, and right axis shows simulation data. (Reprinted
from Ref. 72.)

the nonzero experimental signal observed at zero-degree polarization is


due to experimental artifacts, such as imperfect shape of the bowtie
(different from simulation), surface roughness at all interfaces, and
imperfect alignment, all which could create plasmonic enhancement
greater than zero at zero-degree polarization.
Finally, we varied the switch-beam wavelength around 1550 nm and
recorded the output depth of modulation (Fig. 28.17). On the right axis we
plotted the intensity enhancement of the smaller bowtie because the resonance

Figure 28.17 (a) Sideband power versus wavelength of modulation signal. Data is
centered and averaged over several measurements. Left axis shows experimental signal
power, and right axis shows simulation data. (b) Time response of modulated signal,
showing difference in [Off] minus [On] states. [Off] nominal peak is near 8 mV, so depth of
modulation nears 15%. (Reprinted from Ref. 72.)
Integrated Plasmonic Antennas with Active Optical Devices 763

of the smaller bowtie is the main factor in the frequency response of the
device. The simulation and experimental data agree well, both peaking near
1580 nm.
Figure 28.17(b) shows the difference signal on the oscilloscope when the
switch beam is switched on. A difference in magnitude of 1.25 mV is obtained,
in reference to a “before” signal of 8 mV. This accounts for a depth of
modulation of 15%, with an input switch power of 70 mW/mm2. The length
of the pulse is 50 ns, which is limited by heating in the laser core that is
operated at 103 kHz for a 0.5% duty cycle. In Figs. 28.16(a) and (b), the
power of modulation (left axis) is partly limited by the duty cycle and total
power output of the QCL; it is also limited by the responsivity of the MCT
detector used in the setup.
We considered different recombination mechanisms that are proportional
to first, second, and third powers of free carrier density75 (e.g., Shockley–
Read–Hall, radiative, and Auger recombination). In bulk single-crystal
germanium, the linear term is dominant up to carrier densities of N  0.5 
1019 cm 3.76 Therefore, we first assumed that the linear term was dominant in
our experiment and calculated the density that fits our experimental results.
The resulting value is about 1  1019 cm 3 at a carrier lifetime of about 15 ns.
Considering the large surface effect in our submicron germanium piece, and
the fact that it is amorphous, we think that the linear term is certainly
dominant. Using this linear term for the carrier lifetime also produces a very
good fit to our experimental data.
We observed a maximum modulation depth of 15% at the maximum
power of 70 mW/mm2. This results in a switching energy of 8.4 pJ, in line with
other all-optical switches.55,56 One way to improve the modulation depth
would be to use a pulsed laser, which would allow for a higher carrier density.
Lastly, by reducing the volume of the germanium to cover only the volume
beneath the smaller bowtie hotspot instead of the entire area below the smaller
bowtie, we could increase the depth of modulation. Doing this would create a
higher carrier density because the carriers would have less volume to diffuse
over and the loss would increase, thus increasing the change in the optical
properties of the larger antenna when switched.

28.6 Conclusions
We have designed, simulated, fabricated, and experimentally character-
ized a QCL with an integrated nonlinear optical antenna that is switchable
via a telecommunications-wavelength laser. This device shows a viable
optical method to modulate the far field of a laser through a near-field
interaction. The effect is not due to absorption in the quantum wells.
Because of the wide-ranging usefulness for terahertz and shortwave
infrared laser modulators, we believe that such a device could be very
764 Chapter 28

useful in many applications from telecommunications77 and free space


communications78 to rangefinding.79
In this chapter we introduced the concept of optical antennas and
described the different uses between integrated and nonintegrated antennas.
Optical antennas work on the same principle as RF antennas except that their
purpose is to manipulate the near-field radiation pattern of the device rather
than the far field. The main tool that is used to measure the near-field
radiation patterns of such optical antennas is called near-field scanning optical
microscopy (NSOM). In this chapter, we focused on an apertureless type, even
more specifically, a backscattered apertureless NSOM. The main goal of
amplitude and frequency modulation of laser devices integrated with optical
antennas for uses in molecular sensing in the MWIR was discussed, along
with a method for measuring the attractive force that can be used to attract
molecules of interest to the characteristic hotspot of the antenna. In the future,
these chip-scale devices may be used as single-molecule sensors to detect
tumor cancer antigens, important proteins, DNA base pairs, or dangerous
agents. This device research has also opened up areas in MEMS and NEMS,
optical switching, and communications.

References
1. R. D. Grober, R. J. Schoelkopf, and D. E. Prober, “Optical antenna:
Towards a unity efficiency near-field optical probe,” Appl. Phys. Lett. 70,
1354–1356 (1997).
2. J. Kohoutek, D. Dey, R. Gelfand, A. Bonakdar, and H. Mohseni, “An
apertureless near-field scanning optical microscope for imaging surface
plasmons in the mid-wave infrared,” Proc. SPIE 7787, 77870R (2010)
[doi: 10.1117/12.861582].
3. H. Raether, Surface Plasmons on Smooth and Rough Surfaces and on
Gratings, Springer, New York, pp. 118–122 (1988).
4. T. W. Ebbesen, H. J. Lezec, H. F. Ghaemi, T. Thio, and P. A. Wolff,
“Extraordinary optical transmission through sub-wavelength hole
arrays,” Nature 391, 667–669 (1998).
5. A. Lesuffleur, H. Im, N. C. Lindquist, and S. H. Oh, “Periodic nanohole
arrays with shape-enhanced plasmon resonance as real-time biosensors,”
Appl. Phys. Lett. 90(24), 243110 (2007).
6. Y. Liu, J. Bishop, L. Williams, S. Blair, and J. Herron, “Biosensing based
upon molecular confinement in metallic nanocavity arrays,” Nanotechnol.
15, 1368–1374 (2004).
7. C. T. Campbell and G. Kim, “SPR microscopy and its applications to
high-throughput analyses of biomolecular binding events and their
kinetics,” Biomater. 28, 2380–2392 (2007).
Integrated Plasmonic Antennas with Active Optical Devices 765

8. S. M. Nie and S. R. Emery, “Probing single molecules and single


nanoparticles by surface-enhanced Raman scattering,” Science 275, 1102–
1106 (1997).
9. B. Liedberg, C. Nylander, and I. Lundstrom, “Surface-plasmon resonance
for gas detection and biosensing,” Sensors Actuators 4, 299–304 (1983).
10. M. A. Cooper, “Optical biosensors in drug discovery,” Nature Rev. Drug
Discovery 1, 515–528 (2002).
11. Z. W. Liu, J. M. Steele, W. Srituravanich, Y. Pikus, C. Sun, and
X. Zhang, “Focusing surface plasmons with a plasmonic lens,” Nano Lett.
5, 1726–1729 (2005).
12. K. Kneipp, Y. Wang, H. Kneipp, L. T. Perelman, I. Itzkan, R. Dasari,
and M. S. Feld, “Single molecule detection using surface-enhanced
Raman scattering (SERS),” Phys. Rev. Lett. 78, 1667–1670 (1997).
13. J. Homola, “Surface plasmon resonance sensors for detection of chemical
and biological species,” Chem. Rev. 108, 462–493 (2008).
14. D. Dey, J. Kohoutek, R. M. Gelfand, A. Bonakdar, and H. Mohseni,
“Quantum-cascade laser integrated with a metal-dielectric-metal-based
plasmonic antenna,” Opt. Lett. 35, 2783–2785 (2010).
15. N. F. Yu, E. Cubukcu, L. Diehl, D. Bour, S. Corzine, J. T. Zhu, G. Hofler,
K. B. Crozier, and F. Capasso, “Bowtie plasmonic quantum cascade laser
antenna,” Opt. Express 15, 13272–13281 (2007).
16. D. Dey, J. Kohoutek, R. M. Gelfand, A. Bonakdar, and H. Mohseni,
“Composite nano-antenna integrated with quantum cascade laser,” IEEE
Photon. Technol. Lett. 22, 1580–1582 (2010).
17. J. Merlein, M. Kahl, A. Zuschlag, A. Sell, A. Halm, J. Boneberg, P. Leiderer,
A. Leitenstorfer, and R. Bratschitsch, “Nanomechanical control of an optical
antenna,” Nature Photon. 2, 230–233 (2008).
18. N. Venkatram, R. S. S. Kumar, D. N. Rao, S. K. Medda, S. De, and G. De,
“Nonlinear optical absorption and switching properties of gold nanoparticle
doped SiO2-TiO2 sol-gel films,” J. Nanosci. Nanotechnol. 6, 1990–1994
(2006).
19. N. Yu, E. Cubukcu, L. Diehl, M. A. Belkin, K. B. Crozier, F. Capasso,
D. Bour, S. Corzine, and G. Hofler, “Plasmonic quantum cascade laser
antenna,” Appl. Phys. Lett. 91(17), 173113 (2007).
20. A. Cvitkovic, N. Ocelic, J. Aizpurua, R. Guckenberger, and R. Hillenbrand,
“Infrared imaging of single nanoparticles via strong field enhancement in a
scanning nanogap,” Phys. Rev. Lett. 97(6), 060801 (2006).
21. B. Knoll and F. Keilmann, “Enhanced dielectric contrast in scattering-
type scanning near-field optical microscopy,” Opt. Commun. 182, 321–328
(2000).
766 Chapter 28

22. R. Hillenbrand, B. Knoll, and F. Keilmann, “Pure optical contrast in


scattering-type scanning near-field microscopy,” J. Microscopy-Oxford
202, 77–83 (2001).
23. G. Haugstad and R. R. Jones, “Mechanisms of dynamic force microscopy
on polyvinyl alcohol: Region-specific non-contact and intermittent
contact regimes,” Ultramicroscopy 76, 77–86 (1999).
24. J. N. Israelachvili, Intermolecular and Surface Forces, Academic Press,
San Diego, pp. 253–340 (1992).
25. J. Kohoutek, I. Y. L. Wan, and H. Mohseni, “Dynamic measurement and
modeling of the Casimir force at the nanometer scale,” Appl. Phys. Lett.
96(6), 063106 (2010).
26. J. H. Scofield, “Frequency-domain description of a lock-in amplifier,”
Amer. J. Phys. 62, 129–133 (1994).
27. B. Hecht, B. Sick, U. P. Wild, V. Deckert, R. Zenobi, O. J. F. Martin, and
D. W. Pohl, “Scanning near-field optical microscopy with aperture probes:
Fundamentals and applications,” J. Chem. Phys. 112, 7761–7774 (2000).
28. N. Ocelic, A. Huber, and R. Hillenbrand, “Pseudo-heterodyne detection
for background-free near-field spectroscopy,” Appl. Phys. Lett. 89 (2006).
29. M. Tonouchi, “Cutting-edge terahertz technology,” Nature Photon. 1,
97–105 (2007).
30. J. Kohoutek, D. Dey, A. Bonakdar, R. Gelfand, A. Sklar, O. G. Memis,
and H. Mohseni, “Opto-mechanical force mapping of deep subwave-
length plasmonic modes,” Nano Lett. 11, 3378–82 (2011).
31. T. J. Kippenberg and K. J. Vahala, “Cavity optomechanics: Back-action
at the mesoscale,” Science 321, 1172–1176 (2008).
32. T. C. Tsu, “Interplanetary travel by solar sail,” ARS J. 29(6), 422–427 (1959).
33. C. H. Metzger and K. Karrai, “Cavity cooling of a microlever,” Nature
432, 1002–1005 (2004).
34. O. Arcizet, P. F. Cohadon, T. Briant, M. Pinard, and A. Heidmann,
“Radiation-pressure cooling and optomechanical instability of a micro-
mirror,” Nature 444, 71–74 (2006).
35. G. Knoner, A. Ratnapala, T. A. Nieminen, C. J. Vale, N. R. Heckenberg,
and H. Rubinsztein-Dunlop, “Optical force field mapping in micro-
devices,” Lab on a Chip 6, 1545–1547 (2006).
36. A. Ashkin, J. M. Dziedzic, and T. Yamane, “Optical trapping and
manipulation of single cells using infrared-laser beams,” Nature 330, 769–
771 (1987).
37. K. T. Gahagan and G. A. Swartzlander, “Optical vortex trapping of
particles,” Opt. Lett. 21, 827–829 (1996).
Integrated Plasmonic Antennas with Active Optical Devices 767

38. K. C. Neuman and S. M. Block, “Optical trapping,” Rev. Scient. Instrum.


75, 2787–2809 (2004).
39. G. Volpe, R. Quidant, G. Badenes, and D. Petrov, “Surface plasmon
radiation forces,” Phys. Rev. Lett. 96 (2006).
40. H. Xu and M. Käll, “Surface-plasmon-enhanced optical forces in silver
nanoaggregates,” Phys. Rev. Lett. 89, 246802 (2002).
41. A. E. Cetin, A. A. Yanik, C. Yilmaz, S. Somu, A. Busnaina, and
H. Altug, “Monopole antenna arrays for optical trapping, spectroscopy,
and sensing,” Appl. Phys. Lett. 98(11), 111110 (2011).
42. M. Ploschner, M. Mazilu, T. F. Krauss, and K. Dholakia, “Optical forces
near a nanoantenna,” J. Nanophoton. 4(1), 041570 (2010) [doi: 10.1117/
1.3332850].
43. M. Righini, A. S. Zelenina, C. Girard, and R. Quidant, “Parallel and
selective trapping in a patterned plasmonic landscape,” Nature Phys. 3,
477–480 (2007).
44. M. L. Juan, R. Gordon, Y. J. Pang, F. Eftekhari, and R. Quidant, “Self-
induced back-action optical trapping of dielectric nanoparticles,” Nature
Phys. 5, 915–919 (2009).
45. X. Yang, Y. Liu, R. F. Oulton, X. Yin, and X. Zhang, “Optical forces in
hybrid plasmonic waveguides,” Nano Lett. 11, 321–328 (2011).
46. L. Huang, S. J. Maerkl, and O. J. F. Martin, “Integration of plasmonic
trapping in a microfluidic environment,” Opt. Express 17, 6018–6024 (2009).
47. M. Righini, P. Ghenuche, S. Cherukulappurath, V. Myroshnychenko,
F. J. G. de Abajo, and R. Quidant, “Nano-optical trapping of Rayleigh
particles and Escherichia coli bacteria with resonant optical antennas,”
Nano Lett. 9, 3387–3391 (2009).
48. R. M. Gelfand, L. Bruderer, and H. Mohseni, “Nanocavity plasmonic
device for ultrabroadband single molecule sensing,” Opt. Lett. 34, 1087–
1089 (2009).
49. D. J. Griffiths, Introduction to Electrodynamics, Third ed., Prentice-Hall,
Upper Saddle River, NJ, pp. 351–355 (1999).
50. J. Kohoutek, I. Y. L. Wan, O. G. Memis, and H. Mohseni, “An opto-
electro-mechanical infrared photon detector with high internal gain at
room temperature,” Opt. Express 17, 14458–14465 (2009).
51. M. Li, H. X. Tang, and M. L. Roukes, “Ultra-sensitive NEMS-based
cantilevers for sensing, scanned probe and very high-frequency
applications,” Nature Nanotechnol. 2, 114–120 (2007).
52. R. M. Gelfand, D. Dey, J. Kohoutek, A. Bonakdar, S. C. Hur, D. D. Carlo,
and H. Mohseni, “Towards an integrated chip-scale plasmonic biosensor,”
Opt. Photon. News 22, 32–37 (2011).
768 Chapter 28

53. Z. F. Wang, W. Cao, X. C. Shan, J. F. Xu, S. P. Lim, W. Noell, and


N. F. de Rooij, “Development of 1  4 MEMS-based optical switch,”
Sensors Actuators a-Phys. 114, 80–87 (2004).
54. J. A. Dionne, K. Diest, L. A. Sweatlock, and H. A. Atwater,
“PlasMOStor: A metal-oxide-Si field effect plasmonic modulator,” Nano
Lett. 9, 897–902 (2009).
55. R. A. Pala, K. T. Shimizu, N. A. Melosh, and M. L. Brongersma,
“A nonvolatile plasmonic switch employing photochromic molecules,”
Nano Lett. 8, 1506–1510 (2008).
56. N. Large, M. Abb, J. Aizpurua, and O. L. Muskens, “Photoconductively
loaded plasmonic nanoantenna as building block for ultracompact optical
switches,” Nano Lett. 10, 1741–1746 (2010).
57. Q. Qin, B. S. Williams, S. Kumar, J. L. Reno, and Q. Hu, “Tuning a
terahertz wire laser,” Nature Photon. 3, 732–737 (2009).
58. E. Cubukcu, N. F. Yu, E. J. Smythe, L. Diehl, K. B. Crozier, and
F. Capasso, “Plasmonic laser antennas and related devices,” IEEE J. Sel.
Top. Quantum Electron. 14, 1448–1461 (2008).
59. D. Dey, J. Kohoutek, R. M. Gelfand, A. Bonakdar, and H. Mohseni,
“Quantum-cascade laser integrated with a metal-dielectric-metal-based
plasmonic antenna,” Opt. Lett. 35, 2783–2785 (2010).
60. H. C. Liu and F. Capasso, Intersubband Transitions in Quantum Wells: Physics
and Device Applications II, Academic Press, San Diego, pp. 35–39 (2000).
61. J. Faist, F. Capasso, C. Sirtori, D. L. Sivco, J. N. Baillargeon,
A. L. Hutchinson, S. N. G. Chu, and A. Y. Cho, “High power mid-infrared
(l greater than or similar to 5 mm) quantum cascade lasers operating above
room temperature,” Appl. Phys. Lett. 68, 3680–3682 (1996).
62. J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, and A. Y. Cho,
“Quantum cascade laser,” Science 264, 553–556 (1994).
63. C. Gmachl, F. Capasso, D. L. Sivco, and A. Y. Cho, “Recent progress in
quantum cascade lasers and applications,” Rep. Prog. Phys. 64, 1533–1601
(2001).
64. C. Sirtori, J. Faist, F. Capasso, D. L. Sivco, A. L. Hutchinson, S. N. G. Chu, and
A. Y. Cho, “Continuous wave operation of midinfrared (7.4–8.6 mm) quantum
cascade lasers up to 110 K temperature,” Appl. Phys. Lett. 68, 1745–1747 (1996).
65. C. Sirtori, F. Capasso, J. Faist, A. L. Hutchinson, D. L. Sivco, and A. Y. Cho,
“Resonant tunneling in quantum cascade lasers,” IEEE J. Quantum Electron.
34, 1722–1729 (1998).
66. D. K. Guo, L. E. Cheng, X. Chen, F. S. Choa, J. Y. Fan, and T. Worchesky,
“Electrical derivative measurement of quantum cascade lasers,” J. Appl.
Phys. 109, 043105 (2011).
Integrated Plasmonic Antennas with Active Optical Devices 769

67. L. A. Coldren and S. W. Corzine, Diode Lasers and Photonic Integrated


Circuits, John Wiley & Sons, New York, pp. 55–57 (1995).
68. C. Gmachl, A. M. Sergent, A. Tredicucci, F. Capasso, A. L. Hutchinson,
D. L. Sivco, J. N. Baillargeon, S. N. G. Chu, and A. Y. Cho, “Improved
CW operation of quantum cascade lasers with epitaxial-side heat-
sinking,” IEEE Photon. Technol. Lett. 11, 1369–1371 (1999).
69. Y. M. Kim, M. J. W. Rodwell, and A. C. Gossard, “Thermal
characteristics of InP, InAlAs, and AlGaAsSb metamorphic buffer layers
used in In0.52Al0.48AS/In0.53Ga0.47As heterojunction bipolar transistors
grown on GaAs substrates,” J. Electron. Mater. 31, 196–199 (2002).
70. D. Dey, W. Wu, O. G. Memis, and H. Mohseni, “Injectorless quantum
cascade laser with low voltage defect and improved thermal performance
grown by metal-organic chemical-vapor deposition,” Appl. Phys. Lett.
94(8), 081109 (2009).
71. D. Van Thourhout and J. Roels, “Optomechanical device actuation
through the optical gradient force,” Nature Photon. 4, 211–217 (2010).
72. J. Kohoutek, A. Bonakdar, R. Gelfand, D. Dey, I. Hassani Nia, V. Fathipour,
O. G. Memis, and H. Mohseni, “Integrated all-optical infrared switchable
plasmonic quantum cascade laser,” Nano Lett. 12(5), 2537–2541 (2012).
73. N. Yu, E. Cubukcu, L. Diehl, D. Bour, S. Corzine, J. Zhu, G. Hoefler,
K. B. Crozier, and F. Capasso, “Bowtie plasmonic quantum cascade laser
antenna,” Opt. Express 15, 13272–13281 (2007).
74. N. Yu, R. Blanchard, J. Fan, Q. J. Wang, C. Pfluegl, L. Diehl, T. Edamura,
S. Furuta, M. Yamanishi, H. Kan, and F. Capasso, “Plasmonics for laser
beam shaping,” IEEE Trans. Nanotechnol. 9, 11–29 (2010).
75. J. O. Drumm, B. Vogelgesang, G. Hoffmann, C. Schwender, N. Herhammer,
and H. Fouckhardt, “Temperature and carrier density dependence of Auger
recombination in a 3.4 mm InAs/GaSb/AlSb type-II laser device,” Semicon.
Sci. Technol. 17, 1115–1122 (2002).
76. M. E. Levinshteĭn, S. L. Rumyantsev, and M. Shur, Eds., Handbook Series
on Semiconductor Parameters: Si, Ge, C (Diamond), GaAs, GaP, GaSb,
InAs, InP, InSb: World Scientific, Hackensack, NJ, pp. 33–57 (1996).
77. D. K. Hunter and D. G. Smith, “New architectures for optical TDM
switching,” J. Lightwave Technol. 11, 495–511 (1993).
78. P. Boffi, D. Piccinin, D. Mottarella, and M. Martinelli, “All-optical free-
space processing for optical communication signals,” Opt. Commun. 181,
79–88 (2000).
79. T. Ruotsalainen, P. Palojarvi, and J. Kostamovaara, “A wide dynamic
range receiver channel for a pulsed time-of-flight laser radar,” IEEE J.
Solid-State Circuits 36, 1228–1238 (2001).
770 Chapter 28

80. J. Kohoutek, D. Dey, A. Bonakdar, R. Gelfand, V. Fathipour, O. G. Memis,


and H. Mohseni, “Mechanical frequency and amplitude modulation of
quantum cascade laser integrated with plasmonic nanoantenna,” Small
8(24), 3781–3785 (2012).

John Kohoutek received his Ph.D. in electrical engineer-


ing from Northwestern University in the Solid State and
Photonics (SSP) division and his B.S.E.E from the
University of Illinois at Urbana-Champaign. His
graduate thesis work at Northwestern focused on
AFM studies and optoelectromechanical devices. He
is currently employed as a research associate at the
National Institute for Standards and Technology,
where he continues to study optomechanical effects and radiation pressure.

Ryan Gelfand is currently an electrical engineering


Ph.D. student in the Bio-Inspired Sensors and Opto-
electronics Laboratory at Northwestern University. He
received his B.S. degree in physics at Carnegie Mellon
University after which he worked as a pharmaceutical
chemist for Abbott Laboratories. His area of research
focuses on studying various nanophotonic antennas for
use in biomolecular sensing platforms. After completing
his dissertation he will start his National Science Foundation postdoctoral
fellowship in biology at the University of Victoria in Canada.

Hooman Mohseni received his Ph.D. degree in electrical


engineering from Northwestern University in 2001. He
then joined Sarnoff Corporation, where he was a
technology leader for government, domestic, and inter-
national commercial projects. He joined Northwestern
University as a faculty member in 2004. Mohseni is the
director of Bio-inspired Sensors and Optoelectronics Lab
(BISOL), and Northwestern’s Solid-state and Photonics
Initiative. He is the 2007 recipient of the Young Faculty Award from Defense
Advanced Project Agency (DARPA). He was selected by the National Science
Foundation (NSA) as a US delegate in the US–Korea Nano-manufacturing
Exchange Program in 2007, and the US–Japan Young Scientist Exchange
Program on Nanotechnology in 2006. He received NSA’s CAREER Award in
Integrated Plasmonic Antennas with Active Optical Devices 771

2006. Mohseni has served on the Advisory Board and as Program Chair and
Co-chair in several major conferences including IEEE Photonics, SPIE Optics
and Photonics, and SPIE Security and Defense. Dr. Mohseni has published
more than 110 peer-reviewed articles in major scientific journals including
Nature, Nano Letters, Small, and ACS Nano. He holds 13 issued US and
International patents on novel optoelectronic devices and nanoprocessing. He
has presented more than 51 invited and keynote talks at different commercial,
government, and educational institutes. He is a Fellow of SPIE, and of the
Optical Society of America, and a Senior Member of IEEE.
Chapter 29
Quantum-Dot Biosensors using
Fluorescence Resonance
Energy Transfer (FRET)
James W. Garland and Dinakar Ramadurai
Episensors, Inc., Bolingbrook, Illinois, USA and Sivananthan Laboratories, Inc.,
Bolingbrook, Illinois, USA

Siva Sivananthan
Sivananthan Laboratories, Inc., Bolingbrook, Illinois, USA and Physics
Department, University of Illinois at Chicago, Chicago, Illinois, USA

29.1 Introduction
29.2 Conjugated QDs
29.3 Fluorescence Resonance Energy Transfer (FRET)
29.4 Biosensor using FRET and Antibody Conjugated QDs: Concept and Bench top Results
Acknowledgments
29.5 EpiSENSE Prototype Biosensor for Rapid Detection of Airborne Biological Pathogens
29.5.1 Sensor design
29.5.2 Testing of the EpiSENSE biosensor
Acknowledgments
29.6 Summary
References

29.1 Introduction1
This chapter primarily describes a biosensor developed by EPIR Technolo-
gies, Inc. (EPIR) that allows multichannel real-time detection of biological
agents (antigens) with exceptional specificity and sensitivity. However, this
biosensor should be considered only as a prototype proof of concept and as an
example of the power of nanobiotechnology. Nanobiotechnology and
bionanotechnology are very new fields (the term nanobiotechnology was first
773
774 Chapter 29

used in the year 2000, and the term bionanotechnology was not introduced
until 2004). As many readers of this book may have had no previous
introduction to the field, this introduction is meant primarily to briefly
introduce the reader to the field, hopefully stirring enough interest to motivate
the reader to investigate it further. Reference 1, from which much of the
material in this introduction was culled, offers an excellent, accessible basic
introduction to the field.
Both nanobiotechnology and bionanotechnology are recent marriages of
biotechnology and the traditional, but also young, field of nanotechnology.
However, they differ substantially in both their approach and their goals.
Nanobiotechnology describes the application of nanotechnological materials
and techniques for the development and improvement of biotechnological
processes and products. On the medical front, it involves the fabrication and
manipulation of substances and devices on a nanoscale for such purposes as
the development of more sensitive and accurate diagnostic tools and methods
and more targeted and effective treatments for diseases, e.g., the use of
nanoscale matrices for the controlled release of drugs and enzymes, for tissue
engineering, and for tissue regeneration. In this chapter we present a
nonmedical application: the use of nanoparticles and biological entities to
develop real-time biosensors with very high specificity and sensitivity for
environmental monitoring. Bionanotechnology in a way is the converse of
nanobiotechnology; it is the use of naturally occurring biological building
blocks such as DNA, RNA, peptides, and biological nanotubes having high
specificity for nanotechnological applications, including electronic and
optoelectronic applications. Bionanotechnology takes advantage of the
remarkable specificity and diversity of biological organisms and structures
on a nanoscopic level and of the well-organized self-assembly of biological
supramolecules.
The diagnostic aspect of biotechnology primarily consists of the detection
and quantification of biological substances using biochemical techniques such
as immunological recognition assays, enzymatic reactions, and DNA- or
RNA-based technology. The use of biological nanoscale assemblies,
nanotechnological structures such as quantum dots (QDs), and nanoscale
fabrication techniques is increasingly improving both the sensitivity and the
specificity of medical diagnosis and biological detection and is rapidly
increasing the specificity and effectiveness of disease treatments. Familiar
examples of diagnostic immunoassays include home pregnancy test kits,
diagnostic kits for the determination of HIV and hepatitis, and kits for the
determination of blood glucose levels. Nanotechnological lab-on-a-chip
devices to be worn on the skin or implanted in acute type-I and chronic
type-II diabetes patients to continuously monitor glucose levels already exist.
These devices could be used to control an insulin delivery system to be worn
by the patient, assuring a correct insulin level at all times. An example of a
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 775

highly specific nanoscale treatment of disease is the use of gold nanoparticles


to kill cancer cells. Gold particles injected into the blood stream are collected
by cancer cells because of their high porosity so that the infrared radiation
absorbed by the gold particles can burn out the cancer cells without harm to
healthy cells. This process can be easily monitored with an infrared imager.
The biosensor described in this chapter and developed by EPIR is an example
of the use of QDs in combination with highly specific nanoscale biological
assemblies (in this case, antibodies) to achieve the real-time detection of
biological antigens with ultrahigh sensitivity and specificity.
In the realm of bionanotechnology, which is perhaps more relevant to
nanotechnological research and development, the use of biological self-
assembly either to further reduce the size of nanotechnological devices or as
an inexpensive substitute for expensive nanotechnological manufacturing
processes that often involve very high temperatures and/or pressures has had
many successes and is becoming a major area of research. Nadrian Seeman2
pioneered the use of biological self-assembly, using DNA oligomers to form
ordered 2D structures, and later, 3D structures; more recently, DNA-based
nanotubes have been created. Now, inexpensive synthetic DNA oligomers are
available either for self-assembly or as a template for the organization of other
molecules such as proteins and peptides, much as a substrate is used for the
organization of the atoms in a material in the epitaxial growth of single-
crystal material. A common peptide is the sweetener AspartameTM. Peptides
offer the advantages of great chemical diversity (20N for a peptide of length
N), stability and flexibility; they are great building blocks for nanotechno-
logical materials and devices. Peptide nanotubes have internal diameters less
than 1 nm and can be made highly conductive. Much larger self-assembled
nanotubes can be made using other biological molecules; e.g., aromatic
dipeptide nanotubes have 200-nm internal diameters. Biological nanotubes
can be used to make silver wires with diameters as small as 20 nm and can be
made to connect two nanocontacts by directed biological self-assembly.
Another use of biological self-assembly is the use of 2D ordered bacterial
S layers to achieve nanoscale lithography. Finally, nano-biocontainers such
as liposomes and peptide nanospheres are used extensively for the targeted
delivery of drugs and for the topical delivery of skin-nourishing agents in
anti-aging cosmetics. A related area of study, the imitation of nanobiological
structures and processes, also has become a rapidly growing area in
nanotechnology.
As the biosensor described in this chapter takes advantage of both the
properties of QDs (nanotechnology) and of the great specificity of antibodies
(biotechnology), it represents a marriage of nanobiotechnology and biona-
notechnology. That marriage also appears in many other applications. One
such application is the use of self-assembled biomolecular structures as a 3D
template for the test-tube formation of complex organisms. Other examples
776 Chapter 29

Figure 29.1 Some generic tools used in nanobiotechnology and bionanotechnology.

are the use of peptide nanotubes rather than carbon nanotubes to enhance the
sensitivity and specificity in nanobiological medical diagnoses, and the use of
biologically decorated silicone nanowires for the detection of various diseases
and biological and chemical agents. When decorated with high-affinity
antibodies, these nanowires are so sensitive to the binding of a single
biological molecule that they can detect a single virus or other biomolecule.
Figure 29.1 shows some generic tools used in nanobiotechnology and
bionanotechnology.

29.2 Conjugated QDs


QDs are made from semiconductor material, typically, II-VI semiconductor
compounds. The emission wavelength is higher than that of the bulk
semiconductor because the excited-state wave functions are confined to a
small volume, raising the energy of the excited states and making their
spectrum discrete rather than quasi-continuous. Thus, the smaller the size of a
QD, the shorter its emission wavelength will be, so that the QD emission
spectra from a single material can be easily made to cover the entire visible
spectrum by varying the QD size. The QD sizes can be controlled by changing
the pH of the solution from which they are grown during synthesis.
Typically, a QD consists of a core surrounded by a shell of a different
semiconductor material having a wider bandgap than the core, thus being
transparent to emission from the core QD. The core QD determines the
emission wavelength, but by itself has a low stability and quantum yield, and
is very sensitive to the presence of certain ions in solution. By passivating the
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 777

surface of the core so as to fix appropriate stable boundary conditions on the


core wave functions, the outer shell leads to stability, a high luminescence
quantum yield, and relative insensitivity to the solution containing the QDs.
The most common core material is cadmium selenide (CdSe), which has an
emission spectrum that spans the visible light spectrum (450–660 nm) for a
convenient range of QD sizes. The most common shell materials used with
CdSe are cadmium sulfide (CdS) and zinc sulfide (ZnS), which are
hydrophobic and soluble only in organic solvents. Zinc selenide (ZnSe) and
CdS cores allow emission in the ultraviolet (UV), and cadmium telluride
(CdTe) and indium phosphide (InP) cores allow emission in the far-infrared
and near infrared (NIR). Far-infrared and NIR probes are valuable for
whole-blood assays, since hemoglobin absorbs shorter-wavelength light, and
for deep tissue and in vivo imaging, since the NIR penetrates deep tissue with
little scattering. Also, NIR and UV QDs can be used with visible-light QDs to
extend the spectral range so as to multiplex a larger number of detection
channels. The range of accessible emission wavelengths for different
semiconductor core compounds extends from somewhat over 300 nm to
2000 nm.
QDs have many advantages over dye molecules for fluorescence
spectroscopy. Fluorescent dyes typically absorb light efficiently only in a
band with a slightly shorter wavelength than that of their emission, whereas
QDs absorb light efficiently over a wide band of wavelengths short of their
emission line. The close spectral proximity of the emission and absorption in
dye molecules makes efficient measurement of their emission difficult because
of light scattering, autofluorescence, and the need for precise optical filters.
Moreover, multispectral detection using dye molecules of different colors
requires a different light source for each color. On the other hand, QDs
emitting all of the different colors can absorb light from a single source that is
far from any of the QD colors (e.g., a laser or a light or LED with the range of
LED light overlapping the QD emission lines filtered out). This property of
QDs is used to enable multiplexed detection. Other advantages of QDs over
dye molecules are that they absorb light much more efficiently and that their
high photoluminescence (PL) quantum yield persists even after conjugation to
biological molecules. Furthermore, a single QD, or a collection of QDs all
having the same size, has a very narrow Gaussian emission spectrum, whereas
a dye molecule has a broad emission spectrum that tails to the red. In practice,
the width of the emission spectrum of a collection of QDs is determined by the
size distribution of the QDs; typically, it has a full width at half maximum on
the order of 20–40 nm for a high-quality distribution of QD sizes. This permits
the multiplexed detection of as many as 20 different detection channels with
low crosstalk. Finally, QDs have a high photochemical stability and do not
easily bleach out under long exposure to light. This makes QDs appropriate
for applications requiring continuous observation and more valuable as
778 Chapter 29

quantitative reagents. Thus, the QDs used in the biosensor described later in
this chapter do not need to be frequently replaced.
The interaction of QDs with other structures can be controlled by
applying capping materials such as polymers, surfactants, etc.3 5 These
capping materials not only affect the properties of the colloids, but also make
them soluble in various solutions such as water, ethanol, toluene, etc. Wu
et al.6 have demonstrated the advantages of using semiconductor QDs as
fluorescent biotags. Jaiswal et al.7 have recently demonstrated that ZnS-
coated CdSe QDs may be used in long-term multicolor imaging of structures
in living cells. Dahan et al.8 subsequently used colloidal nanocrystals to image
glycine-receptor diffusion in neural processes.
Standard fluorescence microscopes can be easily used in research for the
detection of QD emission. Lamp- or LED-based excitation can be applied
through an excitation filter to excite the QDs without interfering with the
observation of their emission. For single-color applications, a narrow
emission filter can be used to maximize the signal-to-background ratio,
while, for multiple-color applications, a broader emission filter can be used.
For long-term observation and/or data recording, a narrow emission filter and
visible-light detector that generates a current proportional to the input photon
flux can be used to convert the QD emission into an electrical signal that can
be measured, recorded, and transmitted. For multicolor applications, a set of
detectors can be used, each with an emission filter allowing a different narrow
band of light to be detected.
The biosensor described in this chapter uses antibody-conjugated QDs.
The conjugation of QDs with antibodies was pioneered by Goldman et al.,9,10
who developed the conjugation of QDs with antibodies for the detection of
proteins or small molecules in fluoroimmunoassays in 2002 and later extended
it to the detection of explosives.10 The conjugation strategy used by Goldman
et al. was based on electrostatic self-assembly between negatively charged
dihydrolipoic acid (DHLA)-capped CdSe-ZnS core-shell QDs and positively
charged proteins that served to bridge the QD and antibody. However, the
conjugation strategy used for the development and fabrication of the
biosensor described here was substantially different.11 That strategy is
outlined in Section 29.4.

29.3 Fluorescence Resonance Energy Transfer (FRET)


The potential for assays utilizing fluorescent dyes can be further expanded
through the use of fluorescence resonance energy transfer (FRET) reactions
between fluorescent dyes and quencher molecules.12,13 The FRET-based
interaction of CdSe/ZnS QDs with maltose-binding proteins (MBPs) and dye
molecules has been reported by Clapp et al.,14 and immunoassay-based FRET
detection techniques for bacterial spores and Escherichia coli (E. coli) have
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 779

been studied by Bruno et al.15 using organic dyes and quencher molecules.
FRET is a nonradiative transfer of energy that occurs even between
fluorescent chromophores. FRET and radiative energy transfer are the short-
and long-range asymptotes of a single unified mechanism. As the interaction
between chromophores is a dipole–dipole interaction, the FRET quantum
yield E is inversely proportional to the sixth power of the distance r between
them:
1
E¼ , ð29:1Þ
1 þ ðr=R0 Þ6
where R0 is defined as the Förster radius. Because of the strong dependence
of E on r, the most common use of FRET is to measure distances in
biological systems by measuring the dependence of E on distance. For
example, FRET can be used to measure distances between domains in a
single protein and therefore to provide information about protein
conformation, and also can be used to detect interactions between proteins.
Applied in vivo in living cells, it has been used to detect the location of and
the interactions between genes and cellular structures including integrins and
membrane proteins.

29.4 Biosensor using FRET and Antibody-Conjugated QDs:


Concept and Bench-top Results
In the nanoscale biosensor described in this chapter, FRET interactions
between fluorescent QDs and organic quencher molecules are used for the
multiplexed detection of biological antigens in solution. The sensing technique
relies on the lock-and-key specificity of antibodies to indicate the presence of
the corresponding antigen by triggering QD emission. Detection occurs when
the antigens to be detected displace quencher-labeled inactivated (or dead)
antigens of the same type attached to QD–antibody complexes through
equilibrium reactions, as is depicted in Fig. 29.2. This unquenches the QDs,
allowing detection to take place almost immediately through the observation
of PL in solution or through the fluorescence imaging of unquenched QD
complexes trapped on filter surfaces. Multiplexing can be accomplished by
using several different sizes of QDs, with each size QD labeled with an
antibody for a different antigen, providing the ability to detect several types of
antigens or biological contaminants simultaneously in near real time with high
specificity and sensitivity. The minimum detectable density of colony-forming
units of any antigen species to be detected can be varied by varying the density
of QD–antibody complexes in the reagent used for their detection.
The fact that the normal state for the sensor platform is the “off” state, or
fully quenched state, with almost no fluorescence, is a major advantage over
competing technologies. This greatly reduces the occurrence of false positives
780 Chapter 29

Figure 29.2 Schematic of the FRET-based detection system for detection of target
antigens in solution. A signal is detected from QD conjugates when quencher-labeled
biological agents are replaced by free unlabeled agents.

because other components in a given solution are not able to displace the
inactive pathogen. This technology results in reduced background noise in
comparison to other FRET techniques and improves the SNR of the device.
This allows the system to detect smaller quantities of a pathogen in a given
volume of fluid. All other FRET-based detection devices begin in an “on”
state and are turned off by a binding event of interest, resulting in a higher rate
of false positives and a lower signal to noise ratio. As a result, we obtained
detection limits as low as 102–103 colony-forming units (CFUs) per ml and the
ability to measure the number of CFUs/ml up to 105 times the minimum
detectable number. Such a sensor also displays an almost immediate response.
The initial investigations described here employed FRET-based interac-
tions between fluorescent QDs and organic quencher molecules to detect
antigens such as E. coli 0571H7 (1–3 mm in diameter), Bacilluscereus
(B. cereus) (1 mm in diameter), and the MS2 bacteriophage (30 nm in
diameter), which were used as model biological contaminants for large,
midsize, and small antigens, respectively. FRET-based detection can be used
for the simultaneous detection of different biological contaminants such as
bacteria and viruses using QDs with different characteristic emission
wavelengths. By conjugating different antibody fragments specific to each
type of target antigen, a highly sensitive technique can be developed for the
FRET-based detection of multiple antigens. Using QDs conjugated to
antigen-specific antibody fragments, the detection of all three types of
antigens (E. coli 0571H7, B. cereus, and MS2 virus) were demonstrated both
individually and simultaneously, both in aqueous solution and immobilized
(trapped) on the surface of a porous filter.
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 781

The FRET reaction between the QDs and the conjugated quenchers
inhibits the fluorescence of the QDs. The reagent solution contains inactivated
target antigens, represented in Fig. 29.2 as biological-agent (BA) analogs
bound to quencher molecules, and QDs bound to antibodies specific to those
BAs (or BA analogs). Initially, the QD–antibody complexes were conjugated
with the BA-analog–quencher complexes. The proximity of the quenchers to
the QDs then allowed FRET to inhibit their fluorescence. When a test sample
containing unlabeled BAs (without bound quenchers) is added to the assay
solution, equilibrium reactions cause them to displace a fraction of the
quencher-labeled BAs from the QD-labeled antibodies. The QDs no longer
adjacent to a quencher then fluoresce upon excitation by a suitable signal, and
the presence of a target BA can be detected either through PL measurements
in aqueous solution or through fluorescence imaging of conjugates trapped on
the surface of a porous filter. PL is a simple technique for the detection of free
target antigens (BAs), but its usefulness is hampered by a large background
signal in the samples, as initially prepared. However, as was discovered
through subsequent PL and fluorescence measurements, that PL background
arose from QDs that had remained unconjugated. Even the largest
unconjugated QDs were much smaller than any of the conjugated QDs and
therefore could be filtered out. Fluorescence imaging measurements on a
porous filter through which the unconjugated QDs passed freely and on which
only the conjugated QDs were captured gave an almost-zero background
signal. Quantitative results with almost no background signal could be
obtained by passing the original assay solution through a filter to capture only
the conjugated QDs and then either (1) backwashing the filter to create a new
assay solution for antigen detection using PL, or (2) using software to
integrate the signal from the filter used for fluorescence imaging. Elimination
of the background allows orders-of-magnitude improvement in the detector
sensitivity and a more accurate measurement of antigen concentrations with
no danger of false positives.
E. coli 0157:H7 monoclonal antibodies were conjugated to 605-nm QDs,
B. cereus antibodies were conjugated to 565-nm QDs, and MS2-virus
antibodies were conjugated to 525-nm QDs. The method used for the binding
of the antibodies to QDs is schematically illustrated in Fig. 29.3. A detailed
description of the QDs, cross-linking reagents, antibodies, deactivated
antigens, and quenchers used in the assay solution and of the procedures
used for the conjugation of the QDs and antibodies, the attachment of the
quenchers, and the final preparation of the assay solution is given in Ref. 11.
The solution containing these antibody-conjugated QDs served as the reagent
for detecting the antigens corresponding to the antibodies. Initially, the
detection of a concentration of 105 CFU/mL of single types of antigens was
performed through both fluorescence imaging and PL measurements;
subsequently, the simultaneous detection of the same concentration of three
782 Chapter 29

Figure 29.3 Illustration of the binding reaction between QDs and antibodies. Carboxyl-
coated QDs bind to the primary amine of the antibodies to form amide derivatives in the
presence of EDC and sulfo-NHS. (EDC is 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide
hydrochloride, and sulfo-NHS is N-hydroxysuccinimide.)

Figure 29.4 Unquenching due to the replacement of BHQ-2®-labeled B. cereus by


unlabeled free B. cereus. (a) Fluorescence image of BHQ-2-labeled B. cereus tagged to
565-nm QD–antibody conjugates. (b) Fluorescence image of BHQ-2-labeled B. cereus
tagged to 565-nm QD–antibody conjugates. Increased fluorescence indicates the presence
of free B. cereus agents in the sample (BHQ-2 is black hole quencher 2) (reprinted from
Ref. 11). (See color plate section.)

different types of antigens was demonstrated. Figures 29.4 and 29.5 show the
results from the fluorescence imaging and PL measurement of 105 CFU/mL of
B. cereus; the results for the detection of E. coli 0157:H7 and the MS2 virus
were similar. The signal present before the addition of the B. cereus came from
the unconjugated QDs present in the original unbackwashed reagent. The PL
signal from the simultaneous detection of E. coli 0157:H7, B. cereus and MS2
virus is shown in Fig. 29.6.
For the detection of lower concentrations of antigens and to demonstrate
the determination of the concentration of antigens in a given sample, we
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 783

Figure 29.5 PL from quenched and unquenched B. cereus 565-nm QDs labeled with
BHQ-2 quenchers (reprinted from Ref. 11).

Figure 29.6 PL from quenched and unquenched E. coli 605-nm QDs labeled with BHQ-2
quenchers þ B. cereus 565-nm QDs labeled with BHQ-2 quenchers þ MS2 525-nm QDs
labeled with BHQ-2 quenchers. (Reprinted from Ref. 11.)

performed a simple scaling study using serial dilutions of free antigens added
to the solution containing the complexes of QDs and quencher-labeled
antigens. This was performed at the U.S. Army Corps of Engineers, Engineer
Research and Development Center (ERDC) facility in Champaign, IL. In
order to prove that the conjugation chemistry does not affect the sensitivity of
detection and is dependent only on the characteristic emission of the QDs and
the concentrations used for detection, a set of slightly different QDs from a
different manufacturer was used, and the crosslinkers used for conjugation
784 Chapter 29

were slightly modified. A detailed description of the conjugation and reagent


preparation procedures followed at CERL also is given in Ref. 11. The rest of
the E. coli solution was used for dilutions ranging from 102 to 108 CFUs/ml
for measurement with the QD–antibody conjugate system. The detection of
lower concentrations of antigens was performed by exciting each solution
containing serial dilution (108 down to 102) of heat-killed E. coli at 400 nm.
The effect of the concentration of antigens on the fluorescence intensity of the
QDs is shown in Fig. 29.7.
The above-outlined benchtop study clearly demonstrates the ability to
detect biological contaminants (antigens) in solution through a FRET-based
detection technique using fluorescent QDs and organic quencher molecules.
This technique offers a means of near-real-time multiplexed detection of
biological contaminants at thresholds well within the diagnostic needs for the
detection of these biological antigens. This highly adaptive and flexible
technique can be extended to any number of different biological contami-
nants, and the number of different antigens that can be detected is only limited
by the number of distinguishable emission wavelengths of colloidal QDs. If
necessary, over an extended range of wavelengths one can use different
quenchers with different sizes of QDs; there is no need to use the same
quencher for different sizes of QDs because for each QD size, the QD–
antibody-inactivated antigen-quencher complexes use different antibody-
inactivated antigen pairs and thus are prepared separately. Although highly
desirable in terms of speed and ease of execution, there are few examples of
FRET-based immunoassays in the literature. More often studied are FRET-
based polymerase chain reaction (PCR) assays involving a fluoroscein
molecule quenched in the presence of quenchers such as TAMRA. However,
as the present work demonstrates, immunoassays utilizing FRET have great

Figure 29.7 Fluorescence intensity versus antigen concentration for serial dilutions of
108–102. (Reprinted from Ref. 11.)
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 785

potential for the development of real-time biosensors and even broader


potential for microbiological applications.
The FRET-based protocol used was shown to not only indicate the
presence of a target (antigen or contaminant) in the environment, but also,
with proper calibration of a sensor and suitable fluorescence integration
software, to approximately quantify the number of CFUs/ml present, thus
leading to more effective risk assessment. The following five major
accomplishments were demonstrated:
1. The detectable concentration of biological contaminants in solution was
reduced to 102 CFU/ml, a significant improvement over previous
detectable concentration levels.
2. Detection times were reduced to 2 min for higher concentrations of the
biological contaminants and to 5 min for the lowest concentrations.
3. Multiplexed detection of different antigens with QDs having fluores-
cence wavelengths differing by no more than 40 nm was demonstrated,
implying the possibility of simultaneous detection of 8 different antigens
with CdSe QDs.
4. Detection times (the times required for the mixing of antigens with the
reagent to allow interactions) were reduced to 2 min for higher
concentrations of antigens and to 5 min for the lowest concentrations.
5. The probability of a false-positive measurement indicating an antigen
concentration 103 CFUs/ml in solution and the probability of two
successive false negatives with antigen concentrations 103 CFUs/ml both
were reduced to <10 6.
It also was demonstrated that the ZnS-coated QDs suffered no decrease in
fluorescence or shift in fluorescence wavelength over a time of one year or due
to a change in the pH of their environment, and that the quenchers suffered no
significant loss in quenching power over a year.

Acknowledgments
The authors gratefully acknowledge the contributions of Prof. Mitra Dutta
and Mr. Jianyong Yang from the University of Illinois at Chicago and
E. Norton, J. Hale, L. D. Stephenson and A. Kumar of the U.S. Army Corp
of Engineers Engineer Research and Development Center’s Construction
Engineering Research Laboratory (CERL) to the work reported in this
section, and gratefully acknowledge the support of the Department of Defense
under STTR contract no. W9132T-06-C-0032.

29.5 EpiSENSE Prototype Biosensor for Rapid Detection of


Airborne Biological Pathogens
This section describes the development of the benchtop system for the detection
of antigens in fluids into a fieldable biosensor for the detection of airborne
786 Chapter 29

antigens and its successful testing. A prototype biosensor for the rapid detection
of aerosolized biological pathogens has been developed based on the FRET
immunoassay technology, using QDs for the detection of biological antigens in
fluids outlined in Section 29.4. That sensor has demonstrated great potential for
the detection of bacteria and bacteriophages in an aerosolized cloud under
ambient field test conditions. The system was developed as a biological
pathogen collector and identifier with lightweight capabilities for field
operation. The ability of the system to trap airborne bacteria in a cloud and
identify them with accuracy in a rapid manner has been investigated, and its
detection capacity and speed have been measured by comparison with a particle
counter system. The aerosolized bacteria to be detected are trapped in a fluid
and detected on disposable filter surfaces as in the benchtop studies reported in
Section 29.4. The fluorescent intensity of the trapped QDs is integrated across
the filter and detected by silicon photodetectors. The reported results were
obtained in field tests in the Joint Ambient Breeze Tunnel (JABT) at the U.S.
Army’s Dugway Proving Grounds (DPG), which had the capability of
performing timed releases of bacterial clouds.

29.5.1 Sensor design


The basic design of the EpiSENSE biosensor is illustrated in Fig. 29.8. The
system is based on a three- stage design: (1) the collection stage (right portion
of the figure), (2) the filtering and backwash stage (the central portion of the
figure), and (3) the detection stage (the left portion of the figure). In the
collection stage, antigens are collected in a small volume of fluid by bubbling
the air containing the pathogens through the liquid. For the bubbler, a solid
tube of polycarbonate plastic (LexanTM) was hollowed out into a cylindrical
container of height 10 cm and diameter 2.5 cm with a wall thickness of 0.5 cm.
The bottom of the cylindrical container was gradually tapered to allow for
liquid flow through an orifice. The outlet of a 6.1 l/min miniature air pump
from Sensidyne, LP was connected to a rigid hollow thin tube, while the inlet
draws air to be sampled from the outside to be bubbled into the liquid
contained in the bubbler. The open end of the thin tube is inserted into the
bubbler through an opening in its top such that the bottom of the tube is
0.5 cm from the bottom of the cylindrical bubbler. When the pump is turned
on, outside air is bubbled through the liquid, trapping a fraction of any
antigens in the air that is bubbled through the liquid in the liquid. The air is
then exhausted through a vent on top of the bubbler. A second Sensidyne
miniature pump (3.2 l/min) is connected to the bubbler for the periodic
replenishing of the reagent inside the bubbler from a reservoir containing
excess liquid (buffer) mounted at the bottom of the device. The air-collection
pump is turned on continuously for a fixed time (typically 1–3 min) to collect
a sample of any antigens in the air and then is turned off while the fluid is
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 787

Figure 29.8 Schematic illustration of the basic EpiSENSE system design. The system is
based on a three-stage operation: collection, filtering, and detection.

tested for the presence of antigens, as in Section 29.4. As soon as that testing is
completed, the collection cycle is restarted.
When the air-collection pump is turned off, the liquid is transferred
through a filter (1-in diameter, 0.4-mm polycarbonate filter from Millipore
Corp.) to the filtering and backwash stage, and a few drops (1 ml) of
quencher-labeled QDs are injected into the liquid. At this point, a fraction of
the quencher-conjugated inactivated antigens that labeled the QDs are
replaced by a fraction of any free antigens that were trapped in the liquid from
the air. A third Sensidyne miniature pump (860–2600 cc/min) was used to
filter the reagent (to draw any unconjugated QDs through the filter while
trapping the conjugated QDs on the front surface of the filter) and to
backwash the conjugated QDs (by reversing the flow of the pump and forcing
2 ml of fresh buffer from the bottom). This process of filtering and
backwashing is repeated five or six times to completely remove any free QDs
from the solution. The filtrate after each cycle is emptied into a waste
reservoir. At this point in the process, the top section of the filter holder holds
the quenched and unquenched conjugated QDs in a 2-ml buffer solution.
The final stage of the sensor is the detection stage. The solution from the
top of the filter holder is forced through a rectangular narrow aperture flow
through a quartz cuvette (Starna Cells, Inc.) using a model Pk solenoid pinch
valve (Clark Solutions) that is normally closed but can be opened by an
788 Chapter 29

external 12-V DC trigger. The valve is triggered repeatedly, thus pinching and
relaxing a 1.6-mm silicone tubing. This results in a peristaltic action that
drives the QD solution into the cuvette, where the QDs can be imaged. The
QDs are excited using a 1-W 365-nm UV LED from Nichia Corp. and
detected using a PCDMini photon counting detector (SensL Technologies).
The detector converts the incident photon energy into a digital voltage signal
that is measured by a Keithley 2100 digital multimeter. The detector and LED
are placed at right angles to each other, with the detector at the bottom of the
cuvette looking up at the QD solution and the LED placed along the
rectangular side of the cuvette.

29.5.2 Testing of the EpiSENSE biosensor


The EpiSENSE system was field tested in the JABT at the U.S. Army
Dugway Proving Grounds, Dugway, Utah in the fall of 2010. A schematic of
the test setup at the JABT is shown in Fig. 29.9. The field tests involved the
release of clouds containing the biothreat simulants, Erwinia herbicola
(E. herbicola) and MS2 bacteriophage (phage). The EpiSENSE system was
preloaded with reagents (inactive QD–antibody-antigen–quencher complexes)
for both types of pathogens. The EpiSENSE collection cycle was timed to
start (i.e., the collection pump was turned on) to coincide with the start of each
release. The pump was turned on for the entire duration of the release and
turned off at the end of it. Following this, the control software ran a precise
set of instructions to turn on and off respective pumps and valves in order to
direct the reagents along the sequence of steps described in Section 29.5.1.
Following detection, the reagent solution in the cuvette was emptied into the

Figure 29.9 Schematic of the test setup at the JABT. (ECBC is U.S. Army Edgewood
Chemical Biological Center; ELPI is electrical low-pressure impactor; BTK is Bacillus
thuringiensis kurstaki.)
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 789

waste reservoir. The type of material to be released was announced before


each run.
For the field tests, antibodies for Erwinia herbicola (E. herbicola or EH)
and MS2 phage were obtained. The antibodies for EH were conjugated to
605-nm CdSe/ZnS QDs, and the antibodies for MS2 phage were conjugated to
56-nm CdSe/ZnS QDs. BHQ-2 quencher molecules were conjugated to EH-
and MS2-phage inactivated antigens. The two conjugates were combined to
create individual sets of inactive QD–antibody-antigen–quencher complexes
for EH and MS2 phage. Free active antigens collected from the air could then
combine with these complexes to displace the inactive antigens and unquench
the fluorescence from the QDs.
Aqueous target material containing approximately 109 organisms/cc was
disseminated at the front of the tunnel using an AU8000 Micronair atomizer.
The flow inside the test tunnel was controlled by DPG personnel and
maintained between 1.5 and 2.5 m/s. Subsequent to the release announcement
and several minutes before each run, dissemination proceeded concurrently
with downstream collection and detection.
The JABT was 31 ft in diameter and 550 ft long, and the EpiSENSE was
placed 289 ft downstream from the bacterial-cloud-release point alongside an
electrical low-pressure impactor (ELPI), a state-of-the-art, highly sensitive,
particle-counting detector fielded by the U.S. Army Edgewood Chemical
Biological Center (ECBC). The ELPI is a real-time aerodynamic particle
measurement system for monitoring total mass concentration and particle size
distributions of generic, unspeciated particulates. The system records electrical
current using integrated electrometers connected to 12 stages of electrically
insulated impaction media. Passing through the inlet at 10 l/m, particles are
positively charged in an electric field generated by a 15-kV corona needle
prior to cascade impaction. Current is converted to particle concentration in
units of number per cc and milligrams per cubic meter, and the aerodynamic
particle size distribution is reported from 30 to 10,000 nm with a 1- to 2-s
temporal resolution. The ELPI is considered to be a valuable real-time
indicator of relative particle concentration, although it may somewhat
underestimate mass concentrations due to imperfect particle charging. The
ELPI system clock was synchronized with the dissemination equipment and
other aerosol detection instrumentation and gave a measure of the delay in the
EpiSENSE antigen detection accurate within a few seconds.
Access to the tunnel was restricted for several minutes before and after
each release. The data from the laptop (generated using the multimeter and a
LabVIEW program) were transmitted to a second laptop located in a trailer
outside the tunnel. This second laptop helped monitor the control software
and detection interface through the entire cycle of collection, filtering, and
detection. The data from the detection were stored in separate files
corresponding to each run, along with the time and duration of each test.
790 Chapter 29

This data was then retrieved from the laptop and plotted using standard
Microsoft Excel software. The plots were then compared with the plots
obtained from the ELPI. Figures 29.10 and 29.11 compare test plots from the
EpiSENSE and ELPI systems.
The experiments at DPG showed that the EpiSENSE biosensor is capable
of detecting airborne antigens within a time of less than 5 min; as measured by
the ELPI, the delays in detection after the first exposure to the antigens were
1 min and 57 s for a 1-min exposure to E. herbicola, 2 min and 30 s for a 10-s

Figure 29.10 Comparative results from the (a) ELPI and (b) EpiSENSE systems during the
detection of E. herbicola. The data corresponds to a 1-min release of E. herbicola on
September 23, 2010, starting at 23:28 h. The EpiSENSE system detected the pathogens
1 min and 50 s after the ELPI did.

Figure 29.11 Comparative results from the (a) ELPI and (b) EpiSENSE systems during the
detection of MS2 phage. The data corresponds to a 3-min release of MS2 phage on
September 29, 2010, starting at 21:50 h. The EpiSENSE system detected the pathogens
3 min and 25 s after the ELPI did.
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 791

exposure to E. herbicola, and 3 min and 25 s for a 3-min exposure to the MS2
phage. The somewhat longer time for detection of the MS2 phage was
because, as a virus, it has a much smaller size. Other tests have revealed that
the EpiSENSE is capable of detecting airborne bacterial concentrations below
103 organisms per liter. Thus, the EpiSENSE satisfies all five requirements for
a successful biosensor: high sensitivity, high specificity, rapid detection, a low
false-positive rate, and multiplexing ability. The essential components for
meeting all of these requirements are (1) a technology in which the normal
state is an “off” state, (2) the use of FRET, and (3) the use of QDs to allow
multiplexing.

Acknowledgments
The authors gratefully acknowledge invaluable assistance from many others
both in designing and constructing the final EpiSENSE biosensor and in
conducting the tests at the Dugway Proving Grounds. We gratefully
acknowledge the assistance of William Orosz in designing the EpiSENSE
biosensor and Robert Crosby, who played a major role in the design and
construction of the EpiSENSE biosensor. We also gratefully acknowledge the
invaluable assistance of Michael Williamson and Paul De Luca of CERL and
of the entire JABT team in setting up the testing at DPG. We also wish to
thank Robert Doherty of Science Applications International Corp. (SAIC) for
supporting ECBC with effective dialogue and valuable comments and
suggestions regarding aerosol physics.

29.6 Summary
Both nanobiotechnology and bionanotechnology are very rapidly growing
fields of research, and both have already generated technological advances of
great practical importance in medicine, biotechnology, and nanotechnology,
in general. The use of QDs and other nanoparticles is central to many
emerging technological advances in medicine, biological research, electronics
and optoelectronics, as well as many other fields. The use of FRET is
relatively new, even in these new fields, and has not yet found many
applications but, as illustrated by the biosensor applications discussed in this
chapter, has great promise.

References
1. Much of the material in the introduction is taken from E. Gazit, Plenty of
Room at the Bottom: An Introduction to Biotechnology, Imperial College
Press, London (2007).
2. N. C. Seeman, “De novo design of sequences for nucleic acid structural
engineering,” J. Biomol. Struct. Dyn. 8, 573–581 (1990); N. C. Seeman,
792 Chapter 29

“Structural DNA nanotechnology: an overview,” Methods Mol. Biol.


303, 143–166 (2005).
3. M. Bruchez, Jr., M. Moronne, P. Gin, S. Weiss, and A. P. Alivisatos,
“Semiconductor nanocrystals as fluorescent biological labels,” Science
281, 2013–2016 (1998).
4. W. C. Chan and S. Nie, “QD bioconjugates for ultrasensitive nonisotropic
detection,” Science 281, 2016–2018 (1998).
5. D. Alexson, Y. Li, D. Ramadurai, P. Shi, L. George, M. Uddin,
P. Thomas, S. Rufo, M. Dutta, and M. A. Stroscio, “Binding of
semiconductor QDs to cellular integrins,” IEEE Trans. Nanotechnol, 3,
86–92 (1998).
6. X. Wu, J. Liu, N. K. Haley, J. A. Treadway, J. P. Larson, N. Ge,
F. Peale, and M. P. Bruchez, “Immunofluoroscent labeling of cancer
marker Her2 and other cellular targets with semiconductor QDs,” Nat.
Biotechnol. 21, 41–46 (2003).
7. J. K. Jaiswal, H. Mattousi, J. M. Mauro, and S. M. Simon, “Long term
multiple color imaging of live cells using QD bioconjugates,” Nat.
Biotechnol. 21, 47–51 (2003).
8. M. Dahan, S. Levi, C. Luccardini, P. Rostaing, B. Riveau, and A. Triller,
“Diffusion dynamics of glycinereceptors revealed by single QD tracking,”
Science 302, 442–445 (2003)
9. E. R. Goldman, G. P. Anderson, P. T. Tran, H. Mattoussi, P. T. Charles,
and J. M. Mauro, “Conjugation of luminescent QDs with antibodies
using an engineered adaptor protein to provide new reagents for
fluoroimmunoassays,” Anal. Chem. 74, 841–847 (2002).
10. E. R. Goldman, E. D. Balighian, H. Mattoussi, M. K. Kuno, J. M.
Mauro, P. T. Tran, and G. P. Anderson, “Avidin: A natural bridge for
QD-antibody conjugates,” J. Am. Chem. Soc. 124, 6378–6382 (2002).
11. D. Ramadurai, E. Norton, J. Hale, J. W. Garland, L. D. Stephenson,
M. A. Stroscio, S. Sivananthan, and A. Kumar, “Fluorescent resonance
energy transfer based detection of biological contaminants through hybrid
QD-quencher interactions,” IET Nanobiotechnol. 2, 47–53 (2008).
12. G. T. Hermanson, Bioconjugate Techniques, Academic Press, San Diego
(1996).
13. R. S. Paul, “The Renaissance of fluorescence resonance energy transfer,”
Nat. Struct. Mol. Biol. 7, 730–734 (2000).
14. A. Clapp, I. Medintz, M. Mauro, B. Fisher, M. G. Bawendi, and
H. Mattousi, “Fluorescence resonance energy transfer between QD
donors and dye-labeled protein acceptors,” J. Am. Chem. Soc. 126, 301–310
(2003).
Quantum-Dot Biosensors using Fluorescence Resonance Energy Transfer (FRET) 793

15. J. Bruno, S. Ulvick, G. Uzzell, and J. Tabb, “Novel immuno-FRET assay


method for bacillus spores and Escherichia coli 0157:H7,” Biochem.
Bioph. Res. Co. 287, 875–880 (2002).

James W. Garland retired from the University of


Illinois at Chicago (UIC) in 1993 after 22 years as a
full professor, and in the Microphysics Laboratory
(MPL) since its inception. Then he worked as an
emeritus professor and consultant in the MPL at UIC
for seven years, where he continued to train graduate
students until he joined EPIR Technologies, Inc. in
October, 2004. He is a solid state theorist whose
original work on superconductivity, the many-body electronic structure of
alloys and magnetism in alloys, is referenced in several textbooks. At the MPL
he worked on various problems related to II-VI semiconductors and
superlattices, primarily on the theory and interpretation of optical probes of
semiconductors, superlattices, and semiconductor surfaces and interfaces,
especially electro-reflectance, photoreflectance, and spectroscopic ellipsome-
try with reference to band structure, and surface and interface effects and
defects. At EPIR he has worked on HgCdTe research, infrared sensing, solar
cell development, and briefly on biodetection. He has authored more than
100 refereed journal publications and 5 book chapters on different HgCdTe
topics and has given numerous invited conference presentations. He recently
returned to the MPL at UIC as an emeritus professor and is teaching a course
on semiconductor physics and solar cells.

Dinakar Ramadurai received his Ph.D. in bioengineer-


ing from the University of Illinois at Chicago (UIC) in
2006, his M.S. in bioengineering also from UIC in 2003,
and his B.S. in electronics and communications
engineering from the University of Madras (India) in
2000. Currently, he is serving as a Program Manager at
UES Inc., where he is managing various projects on
biological and chemical sensor technologies. Previously,
Dinakar was a Scientist at EPIR Technologies, where he led a team in
successfully developing biochemical sensors for air monitoring. He has
coauthored more than 45 publications, including contributions in national
and international conferences.
794 Chapter 29

Siva Sivananthan is the founder and member of the


Board of Sivananthan Laboratories and EPIR Tech-
nologies, Inc. He also holds the positions of Liberal
Arts and Sciences Distinguished Professor of Physics at
the University of Illinois at Chicago (UIC) and Director
of the Microphysics Laboratory (MPL) at UIC. He was
a pioneer in the molecular beam epitaxial (MBE)
growth and characterization of HgCdTe, including the
first MBE growth at UIC of HgCdTe on CdZnTe and on CdTe/Si, today the
leading substrates for its growth. In 2005 he was honored with a “Friend of
the Night” award by the U.S. Army Night Vision and Electronic Sensors
Directorate for his leadership in this field and his many contributions to
infrared sensor technology. His continuing contributions to the field of II-VI
semiconductor research and development are evidenced by his more than 250
refereed publications, his numerous invited talks, and his perennial co-
chairmanship of the “U.S. Workshop on the Physics and Chemistry of II-VI
Materials.” Dr. Sivananthan received his Ph.D. and M.S. degrees in physics
from UIC and a B.S. degree in physics from the University of Peradeniya in
Sri Lanka.
Chapter 30
Optoelectronic Applications
of Monodisperse Carbon
Nanomaterials
Heather N. Arnold and Mark C. Hersam
Department of Materials Science and Engineering, Department of Chemistry,
and Department of Medicine, Northwestern University, Evanston,
Illinois, USA

30.1 Introduction
30.2 Monodisperse Carbon Nanomaterials
30.3 Assembly Strategies
30.4 Electronics of Semiconducting SWCNT Films
30.5 Optoelectronics with Semiconducting SWCNT Films
30.6 Applications of Metallic SWCNTs
30.7 Applications of Solution Processed Graphene
30.8 Summary and Future Outlook
References

30.1 Introduction
For the past 40 years, silicon-based electronics has followed Moore’s law,
which predicts doubling of transistor density every two years.1 These
sustained advances have provided ever increasing processing capabilities for
both information and consumer electronics. However, as device features
approach the 10-nm-length scale, conventional silicon electronics will face
both technological and fundamental challenges, such as short-channel effects,
parasitic resistance and capacitance, and power management issues.2 5
Similarly, optoelectronic applications have been dominated by III-V
semiconductors for the past several decades, but the complex growth and
fabrication techniques for these materials are not well suited for the rapidly
795
796 Chapter 30

growing field of printed electronics. Thus, alternative device geometries and


new classes of nanomaterials (e.g., graphene and carbon nanotubes) are being
considered for next-generation electronic and optoelectronic applications.
Graphene, which is a monolayer of sp2-bonded carbon atoms arranged in
a 2D honeycomb lattice, has been studied theoretically since the late 1940s,6
but it was not demonstrated experimentally until 2004 when Novoselov and
Geim produced “few-layer graphite” via mechanical exfoliation.7 In the
following years, other growth methods, such as epitaxial growth8 and
chemical vapor deposition,9 were developed that produce larger films of
graphene. Additionally, solution-based synthesis techniques emerged as a
means of producing graphene in a low-cost and scalable manner. With the
availability of single-layer graphene samples, a wide breadth of research has
been conducted on this unique 2D material. These studies have shown that
graphene has many exceptional properties, such as high carrier mobility,10,11
which make it interesting for fundamental studies and promising for
electronics and optoelectronics.12,13
Carbon nanotubes, especially single-walled carbon nanotubes (SWCNTs),
have also been a subject of intense research due to their extraordinary
properties, such as high intrinsic carrier mobility (105 cm2 V 1s 1)14 and
exceptional mechanical strength,15 which make them attractive components in
many applications.13,16 24 SWCNTs can be conceptually viewed as a sheet of
graphene rolled up to form a cylinder with a diameter of approximately 1 nm
and a length up to centimeters. The mechanical, electrical, and optical
properties of SWCNTs are strongly dictated by physical structure, i.e.,
diameter, length, and how the graphene sheet is twisted to form the
nanotube.25 The manner in which the graphene is rolled up is specified by
the chiral vector, which has two indices (n, m) related to the unit vectors of the
graphene lattice. Electronic band structure calculations indicate that
approximately 67% of SWCNT chiral vectors are semiconducting, with the
remaining 33% showing metallic behavior at room temperature.
The common synthesis methods for producing carbon nanotubes (e.g.,
laser ablation,26 arc discharge,27 and chemical vapor deposition28) currently
produce polydisperse mixtures of chiral vectors. Therefore, post-synthetic
methods for sorting SWCNTs by diameter, electronic type, chiral handedness,
and number of walls are required before SWCNTs can be reproducibly
integrated into nanoelectronic devices. We have recently developed and
commercialized a technique for sorting surfactant-encapsulated SWCNTs by
their physical and electronic structure using density gradient ultracentrifuga-
tion (DGU).25 This technique also enables other carbon nanomaterials, such
as graphene, to be refined and purified.29 The monodisperse carbon
nanomaterials that result from DGU are increasingly being used to enhance
the performance of technologically significant applications.
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 797

This chapter reviews our recent developments in producing monodisperse


samples of carbon nanomaterials for electronic and optoelectronic applica-
tions. In Sections 30.2 and 30.3, our methods for purifying samples of carbon
nanomaterials and techniques for assembly into thin films are discussed.
Then, electronic and optoelectronic applications for semiconducting carbon
nanotubes are reviewed in Sections 30.4 and 30.5, while prospects for metallic
carbon nanotubes are outlined in Section 30.6. Next, applications for
solution-processed graphene are presented in Section 30.7. Finally, this
review concludes with a perspective on future opportunities and challenges for
solution-processed carbon nanomaterials in optoelectronics.

30.2 Monodisperse Carbon Nanomaterials


As mentioned above, current carbon nanomaterial growth techniques lack
control over structural parameters, thus necessitating post-synthesis sorting
methods before they can be incorporated into high-performance applications.
These sorting techniques are especially important for SWCNTs since the
electronic structure is highly dependent on the diameter and chiral vector of the
nanotube. Several methods have been developed to remove metallic and/or
semiconducting SWCNT species from as-grown material including selective
chemistry,30,31 selective destruction,32 electrophoresis,33,34 and chromatogra-
phy,35,36 but these techniques suffer from limited throughput and/or flexibility.25
An alternative technique that has proven to be both highly tunable and
commercially scalable is ultracentrifugation37 in density gradients,38 or DGU.39
In DGU, SWCNTs are individually surfactant encapsulated in an aqueous
solution and loaded into a centrifuge tube that contains a linear density
gradient. Typically, sorting via DGU is completed using ionic surfactants,39
but sorting via nonionic, biocompatible block copolymers has also been
demonstrated.40 During centrifugation, the gradient is subjected to high
centripetal forces, which drive the nanotubes to a position in the centrifuge
tube where their buoyant density matches that of the surrounding fluid. Since
the buoyant density depends on the physical structure of the nanotube,
uniformly encapsulated SWCNTs are sorted by diameter following centrifu-
gation, as shown in Fig. 30.1(a). Similarly, electronic type (i.e., metallic versus
semiconducting) sorting of SWCNTs is achieved by varying the concentration
of two surfactants in the aqueous solution. In this scheme, the two surfactants
have differential affinity for metal versus semiconductor SWCNTs; thus, the
buoyant density of the resulting SWCNT–surfactant hybrid depends on the
SWCNT electronic type. Following DGU, the purity of the electronic-type-
sorted material, which can be as high as 99%, is confirmed via techniques such
as optical absorbance spectroscopy, photoluminescence emission-excitation
matrices, and thin film transistor charge transport measurements.39
798 Chapter 30

Figure 30.1 Monodisperse carbon nanomaterials are isolated using DGU. (a) DGU sorting
single-walled carbon nanotubes by electronic type (reprinted from Ref. 39). (b) DGU sorting
single-walled and double-walled carbon nanotubes by number of walls and outer-shell
electronic type (reprinted from Ref. 45). (c) DGU sorting graphene by thickness (reprinted
from Ref. 50). (See color plate section.)

Further refinements in the DGU process have led to sorting of SWCNT


enantiomers41 and individual SWCNT chiralities.42,43 To sort the SWCNTs
by enantiomeric identity, a chiral dispersion agent (e.g., sodium cholate) is
used to encapsulate the SWCNTs. The chiral structure of the surfactant
associates differently with right-handed versus left-handed SWCNTs; thus,
the buoyant density of each SWCNT enantiomer will be inequivalent.
Following DGU, the effectiveness of the sorting can be confirmed with optical
absorbance spectroscopy and circular dichroism, which shows that SWCNT
optical activity is only detectable in the enantiomerically sorted samples.41 In
addition to enantiomeric sorting, nearly single-chirality samples of both
semiconducting42 and metallic43 SWCNTs have recently been demonstrated.
These monodisperse samples are produced by carefully tailoring a cosurfac-
tant ratio of sodium cholate and sodium dodecyl sulfate for a desired SWCNT
chirality, and then completing multiple iterations of DGU to further refine the
sample by minimizing unwanted species.
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 799

Recently, the capabilities of DGU have been extended to include the


sorting of double-walled carbon nanotubes (DWCNTs).44 DWCNTs are
formed from two concentric cylinders of graphene and can adopt one of four
different electronic-type permutations based on the electronic type of their
inner and outer walls.45 DWCNTs have attracted attention in recent years due
to demonstrated improvements in field-effect transistors (FETs)46,47 and high
current densities for field emission as compared to SWCNTs.48 However, like
SWCNTs, DWCNTs are synthesized in heterogeneous mixtures and therefore
must be purified via a post-synthesis sorting technique such as DGU. In a
manner similar to that for SWCNTs, the as-grown DWCNTs are surfactant
encapsulated and dispersed in an aqueous solution. By varying the
cosurfactant ratios, the material is sorted by both the number of walls and
electronic type, as shown in Fig. 30.1(b). Successive iterations of DGU can be
applied to enhance the wall number and electronic-type enrichment, with
purities as high as 96% semiconducting and 98% metallic being demonstrated
for the outer shell of DWCNTs.45
In addition to sorting 1D carbon nanomaterials, DGU can also be used to
purify 2D carbon nanomaterials, such as graphene. As mentioned above,
solution-phase methods hold great promise for industrial-scale production of
graphene, but these dispersions contain large variations in thickness, lateral
area, and shape.49 Since the properties of graphene are linked to its structure,
a high degree of structural monodispersity is required before these flakes can
be reproducibly used in applications.50 Recently, DGU was employed to
produce graphene dispersions with controlled thickness.29 The samples were
prepared by first dispersing pristine graphite flakes in an aqueous solution
containing sodium cholate that encapsulates the graphene sheets. These
dispersions were then subjected to a sedimentation centrifugation step and a
step-gradient centrifugation step, which help remove all but the few-layer
graphene material from the dispersion. Then, the concentrated few-layer
graphene solution is subjected to two steps of DGU during which the graphene
sheets sediment to the position in the tube where their buoyant density matches
that of the surrounding fluid, as shown in Fig. 30.1(c).29 The sorted graphene
flakes are then characterized by atomic force microscopy and Raman
spectroscopy, which show a tightening of the thickness distribution following
two iterations of DGU. Recently, the capabilities of DGU-based sorting of
solution-phase graphene were extended beyond ionic surfactants to also include
nonionic, biocompatible, block copolymers.51

30.3 Assembly Strategies


Following DGU, monodisperse carbon nanomaterials can be incorporated
into thin films via low-temperature, solution-based assembly techniques that
are compatible with a variety of substrates and applications.49 One common
800 Chapter 30

method for producing random-network thin films is vacuum filtration,23 as


shown in Fig. 30.2(a). This technique is attractive for nanomaterial film
formation because it produces homogenous films with tunable thickness and
density.52 After filtration, the residual surfactant is washed away from the
nanomaterials via purified water, and the film can be transferred to a variety
of substrates.39,53 Another method for producing random-network thin films
is dip-coating from an aqueous solution containing sorted carbon-nano-
materials. These films are much smoother than vacuum-filtered films and are
therefore preferable for use in organic photovoltaic applications.54 Other
random-network thin film formation techniques include drop-casting, spin-
coating, aerosol jet printing, and ink jet printing.20

Figure 30.2 Assembly of solution-processed carbon nanomaterials into thin films.


(a) Random network of SWCNTs produced via vacuum filtration (reprinted from Ref. 39).
(b) Assembly of SWCNT networks via dielectrophoresis (reprinted from Ref. 57).
(c) Schematic depicting the slip-stick mechanism responsible for the self-assembly of
SWNTs into highly aligned stripes (reprinted from Ref. 59). (d) Aligned networks of SWCNT
stripes produced via evaporation-driven self-assembly (reprinted from Ref. 59).
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 801

Although random-network thin films of monodisperse carbon nanoma-


terials have been utilized in a variety of applications, the performance of
electronic devices is often limited by the random orientation of the
nanomaterials in the device channel. This issue is especially problematic in
applications utilizing carbon nanotubes since the 1D nanotube structure leads
to highly anisotropic electrical and optical properties.55 To overcome this
limitation, methods for alignment, such as dielectrophoretic assembly,56,57
have been explored. In dielectrophoretic assembly, a drop of surfactant-
encapsulated SWCNTs is placed on a prepatterned substrate. Then, an
alternating-current signal is applied between two electrodes, inducing the
SWCNTs to align between them, as shown in Fig. 30.2(b). In this manner,
dielectrophoresis allows for the parallel and precise placement of nanotubes,
thus enabling the recent demonstration of large-area, aligned arrays of
SWCNTs.58
Another method used to produce aligned films of SWCNTs is
evaporation-driven self-assembly (EDSA).59 This technique utilizes monodis-
perse samples of SWCNTs sorted via DGU to produce thin films with a high
degree of alignment. To create these films, a hydrophilic substrate is vertically
immersed in a solution of SWCNTs, and the system is allowed to evaporate
for 7 hours at a pressure of 70 Torr. As the solution evaporates, SWCNTs
are driven to the substrate via convective transport, where they deposit next to
one another parallel to the “contact line” at the solid–liquid–air interface, as
shown in Fig. 30.2(c).59 A frictional force between the substrate–SWCNT
complex allows the liquid surface tension to pin the contact line in place until
the meniscus-forming capillary force counteracts the surface tension, thus
depinning the contact line. The contact line then slips down the substrate
and becomes pinned again, forming the basis for the next stripe.60 As seen in
Fig. 30.2(d), films produced via EDSA can span square-centimeter areas,
and the pitch between stripes can be controlled by varying the nanotube and
surfactant concentration.

30.4 Electronics of Semiconducting SWCNT Films


The outstanding properties of SWCNTs make them attractive for use in
electronic applications. For example, the small capacitance of SWCNTs
facilitates low switching energies and efficient gate coupling in FETs.49
Additionally, the atomically smooth surface of a SWCNT reduces carrier
scattering, leading to a high carrier mobility (100,000 cm2/V/s).14 Recently,
there has been an increasing interest in incorporating SWCNTs into thin film
transistors (TFTs) since SWCNTs have shown equal or higher field-effect
mobility in comparison to competing organic and inorganic thin films.61
Furthermore, SWCNT thin films are chemically inert under ambient
conditions, are relatively transparent at visible wavelengths, and possess
802 Chapter 30

resilient mechanical properties, all of which make them attractive for use in
flexible and transparent electronics. Of particular interest are DGU-sorted
SWCNTs since their electronic homogeneity implies improved performance in
thin film electronic applications.
Indeed, DGU-sorted SWCNT-TFTs have shown concurrently high
mobilities, current densities, and on/off ratios. For example, the first reports
of random-network DGU-sorted SWCNT-TFTs39 possessed on/off ratios of
2  104, and improvements in sorting and device fabrication42,53 have since
enhanced this ratio to 105. Recently, 99% semiconducting, single-chirality
(6,5) SWCNT-TFTs were shown to retain this high on/off ratio while also
producing high drive currents and field-effect mobilities (up to 37 cm2/V/s).42
These random networks of sorted SWCNTs have also been combined with
ultrathin, low-leakage, and high-k self-assembled nanodielectrics into TFTs
that show significant improvements in all performance metrics such as a
subthreshold swing of 150 mV per decade and an intrinsic field-effect mobility
of 150 cm2/V/s.53 Beyond random-network TFTs, DGU-sorted SWCNT-
TFTs have also been fabricated on aligned films produced via EDSA.59,60 In
these top-gated devices, which are shown in Fig. 30.3(a), a high on/off ratio
(104) as well as a large on-state conductance (25 mS) was measured, as shown
in Fig. 30.3(b). Finally, 98% semiconducting SWCNT inks were recently
incorporated into printed TFTs that operate at sub-3-V gate voltages.20 These
TFTs were fabricated on both SiO2 and polyimide substrates, allowing for the
production of digital circuits and five-stage ring oscillators.
In addition to transistors, thin films of semiconducting SWCNTs are
attractive for radio frequency (RF) electronic applications since individual
SWCNT devices suffer from high input impedance and low drive current.49
Recently, high-performance, random-network TFTs were fabricated using
99% semiconducting SWCNTs. The surfactant encapsulated nanotubes were
deposited onto the gate area of a prepatterned substrate using a combination
of surface chemistry and dielectrophoresis.24 Following fabrication, the direct-
current (DC) and alternating-current (AC) characteristics were measured.
This characterization showed that these devices possess high on-currents and
transconductances, and extrinsic and intrinsic gain cutoff frequencies of 15
and 80 GHz, respectively. While this study showed that random networks of
SWCNTs could enable GHz frequency operation, it was expected that aligned
films would lead to further performance increases. Consequently, TFTs using
aligned arrays of semiconducting SWCTs were recently produced via low-
frequency dielectrophoresis in the dual-device channel geometry shown in
Fig. 30.3(c).58 These aligned devices not only show high current densities and
transconductance values, but also current saturation (in contrast to graphene
RF devices). Additionally, the extrinsic and intrinsic current gains [shown in
Fig. 30.3(d).] are 7 and 153 GHz, respectively, which indicates that the
alignment of SWCNTs does improve intrinsic RF device performance.
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 803

Figure 30.3 Electronics based on semiconducting SWCNT thin films. (a) Aligned SWCNT-
FET with a top gate (reprinted from Ref. 60). (b) Transfer curve of the aligned SWCNT-FET
(reprinted from Ref. 60). (c) Dual-channel SWCNT transistor for radio-frequency (RF)
applications (reprinted from Ref. 58). (d) Intrinsic short-circuit power gain and the maximum
available power gain in the dual-channel transistor (reprinted from Ref. 58). (e) SWCNT-FET
for hydrogen-sensing applications (adapted from Ref. 18). (f) Sensitivity of the SWCNT-FET
to hydrogen (adapted from Ref. 18). (See color plate section.)

Monodisperse semiconducting SWCNTs have also found use in hydrogen


sensors. While many methodologies have been used to detect the presence of
hydrogen, sensors based on measuring resistance changes are especially
attractive for use in commercial applications because they are easy to
miniaturize and integrate into existing electronic systems.62 These sensors are
usually based on an FET that has a hydrogen-sensitive electrode material such
as palladium. Although both bulk and surface changes can be detected in
systems that use palladium, surface techniques are more sensitive because
changes in the work function can be easily detected, even at low H2 partial
804 Chapter 30

pressure.18 Therefore, an FET that is based on a Schottky barrier (SB), such


as an SWCNT-FET, is attractive for hydrogen-sensing applications because
the current through the junction depends on the work function of both the
semiconductor and the electrode material.62
Previous SB-FETs formed from thin films of SWCNTs with palladium
source and drain electrodes have shown limited sensitivity to hydrogen, which
is likely due to the polydisperse mixtures of the nanotubes in the device
channel.62 65 Consequently, monodisperse semiconducting SWCNTs have
since been incorporated into SB-TFTs, as shown in Fig. 30.3(e).18 The
resulting devices were measured both in air and in 100-ppm H2, and a 100-fold
change in the on-state conductance was observed for semiconducting
SWCNTs in the 1.0- to 1.6-nm-diameter range, as shown in Fig. 30.3(f). It
is estimated that the detection limit for these devices is 1-ppm H2.
Interestingly, smaller-diameter SWCNTs (i.e., diameter < 1 nm) do not show
this hydrogen sensitivity. In this case, the chemisorption of “spilled-over”
atomic hydrogen from the palladium electrode causes modification of the
nanotube work function that counterbalances the change in the palladium
work function.18

30.5 Optoelectronics with Semiconducting SWCNT Films


Since semiconducting SWCNTs are direct-bandgap semiconductors, they are
potentially attractive candidates for optoelectronic applications such as
electroluminescent devices. In particular, due to the sharp van Hove
singularities in the 1D electronic density of states and large SWCNT exciton
binding energies (>0.1 eV), optical transitions are easily excited and
detected.66 Early studies of electroluminescence (EL) in ambipolar SWNT-
FETs showed that light emission does not require extrinsic doping, and that
the emitted light is polarized parallel to the nanotube axis.67 71 Since the
emitted light power from these individual nanotube devices was low, there has
been increasing interest in employing thin films of SWCNTs for EL
applications, due to the potential for scale-up of the amount of light emitted
from a device.72,73 Towards this end, aligned films of semiconducting
SWCNTs have been produced as the channel of top-gated, ambipolar
TFTs.60 Following fabrication, the light emission properties of these devices
were studied via photoluminescence (PL) and EL experiments. The resulting
infrared PL and EL spectra, shown in Fig. 30.4(a), are red shifted with peaks
at 1940 nm instead of the 1800-nm peaks expected from the most prevalent
SWCNT diameter. This red shifting is attributed to exciton transfer from the
larger-bandgap to the smaller-bandgap SWCNTs.60
In another study, aligned films of SWCNTs were fabricated into an
ambipolar, split-gate device,17 which is shown in Fig. 30.4(b).74 This device
geometry provides an alternative means of achieving light emission from
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 805

Figure 30.4 EL from SWCNT thin films. (a) PL and EL spectra from a top-gate ambipolar
SWCNT-TFT (reprinted from Ref. 60). (b) Thin film semiconducting SWCNT p–i–n diode.
The length of the scale bar is 2 mm (reprinted from Ref. 74). (See color plate section.)

SWCNT thin films since a p–n junction can be produced via electrostatic
doping. This junction forms when one top-gate is biased with a negative
voltage, inducing holes into the channel, while the other top-gate is biased
with a positive voltage, inducing electrons into the channel.75 Upon the
application of a bias, electrons and holes are driven to radiatively recombine
at the p–n junction, thereby emitting light. Indeed, EL measurements from
these devices show a thresholdless light-emission band in the near-infrared
spectrum. Additionally, the light generation efficiency of these thin film,
split-gate devices74 improves on single-nanotube devices16 by a factor of 15,
thus illustrating the potential of semiconducting SWCNTs for infrared
optoelectronics.

30.6 Applications of Metallic SWCNTs


Similar to semiconducting SWCNTs, the exceptional electrical, optical, and
mechanical properties of metallic SWCNTs make them attractive as
transparent conductive components of optoelectronic applications. In
particular, the proliferation of flat panel displays, organic light-emitting
diodes, and solar cells has significantly increased the demand for transparent
conductors. However, indium tin oxide (ITO), which is the most widely used
transparent conductor material, is relatively brittle and increasingly expensive
(due to limited availability).49,52,76,77 Therefore, alternative transparent
conducting materials, such as SWCNTs, are being considered as replacements
to ITO. Since previous SWCNT transparent conductors suffered from the
polydispersity of as-synthesized samples,78,79 DGU has been employed to
produce solutions of predominantly metallic SWCNTs.80 After DGU, the
metallic SWCNTs are then incorporated into thin films via vacuum filtration.
806 Chapter 30

The resulting conductive films are translucent with a visible color since DGU
affords angstrom-level control over the SWCNT diameter, as shown in
Fig. 30.5(a). Additionally, these predominantly metallic films enhance the
conductivity of the transparent conductor in comparison to unsorted samples.
As shown in Fig. 30.5(b), the sheet resistance of a metallic SWCNT film is
much lower than that of an unsorted film, leading to a conductivity
enhancement factor of 5.6 in the visible region.80
With impressive performance as transparent conductors, SWCNT thin
films have recently been incorporated into the transparent anode of organic
photovoltaics (OPVs).61,81 Recent studies have replaced an ITO anode with
an unsorted SWCNT film, but the performance of these OPVs was limited by
the high sheet resistance of the SWCNT film.82 84 Since the contact resistances
between SWCNTs of different electronic types is 100 times higher than those
of the same type, it is expected that electronically monodisperse films will have
a lower sheet resistance and lead to better-performing OPVs. Towards that
end, DGU-sorted SWCNTs have recently been employed to study the effect
of electronic type on OPV anodes. The DGU-sorted SWCNTs were

Figure 30.5 Applications of metallic SWCNT thin films. (a) Translucent, visibly colored,
conductive films from diameter-sorted metallic SWCNTs (reprinted from Ref. 80). (b) The
sheet resistance for a given optical transparency is reduced for metallic SWCNTs compared
to unsorted SWCNTs (adapted from Ref. 80). (c) The power conversion efficiency of organic
photovoltaics increases with increasing metallic content of the SWCNT thin film anode
(adapted from Ref. 54). (d) Phonon-mediated EL spectra from metallic SWCNTs (reprinted
from Ref. 87). (See color plate section.)
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 807

assembled into thin films via a dip-coating technique, which helps produce
smooth films.54 Additionally, the SWCNT films were treated with a nitric acid
solution that densifies the film and further reduces surface roughness. After
fabrication, the performance of the SWCNT films with increasing concentra-
tions of metallic nanotubes (0.1% metallic to 99.9% metallic) was compared,
and it was determined that the 99.9% metallic films outperformed the 99.9%
semiconducting films by 50-fold, as shown in Fig. 30.5(c). This performance
difference was attributed to an increased sheet resistance following dedoping
of the semiconducting films by the hole transport layer in the OPV. Finally,
it was shown that the performance of the metallic SWCNT anodes is
comparable to conventional ITO anodes, thereby validating the potential of
metallic SWCNT films in OPVs.54
As indicated above, much of the optoelectronic work on sorted metallic
films has focused on transparent conductors because light emission is not
expected from metallic SWCNTs due to nonradiative relaxation pathways.85
However, weak EL from biased metallic SWCNT-TFTs was recently
observed.85,86 A proposed mechanism for this luminescence was thermal
population and depopulation of states at van Hove singularities close to the
K point, from which radiative recombination can occur via an interband
transition. For such a model to be valid, the emitted photon energy must scale
inversely with nanotube diameter. Therefore, a study on the light emission
properties of diameter-sorted SWCNTs was conducted.
First, the DGU-sorted metallic nanotubes, which had diameters ranging
from 0.7 to 1.5 nm, were assembled into thin film TFTs using dielectrophor-
esis.87 Following fabrication, the light emission properties of these devices
were studied. As shown in Fig. 30.5(d), two EL peaks appear in the 1.3- to 2.5-
eV range for a medium-diameter metallic SWCNT-TFT, and these two peaks
appear in the EL spectrum regardless of the diameter of the nanotube. Since
these peak positions do not scale with nanotube diameter, K-point interband
transitions cannot be the origin of the light emission. Additionally, the
substrate and electrode materials are not direct or indirect sources of light, as
different material choices did not affect the location of the emission peaks.
Thus, light emission must originate from the nanotubes, and it is likely that
this emission is due to phonon-assisted radiative decay from p* band states at
the M point to the Fermi level at the K point.87 Finally, this observation was
complemented by measurements on multiwalled-nanotube and few-layer
graphene devices, which also show two emission peaks in this range.

30.7 Applications of Solution-Processed Graphene


Although as-synthesized graphene lacks a bandgap, hindering its use in digital
electronics,88 its high room-temperature carrier mobility, small contact
resistance, and potential for scaling to short-gate-length devices make
808 Chapter 30

graphene attractive for use in RF electronics.49,89 91 Recently, graphene


transistors with gain cutoff frequencies in the 100- to 300-GHz range were
demonstrated,92 although it is not yet clear if graphene will be able to compete
with conventional silicon and III-V semiconductors that are approaching the
terahertz range. However, rigid, conventional semiconductors are not well
suited for the rapidly growing field of printed electronics, while graphene has
the necessary mechanical properties (i.e., high strength and flexibility) to be
incorporated into flexible circuits. Indeed, flexible, solution-processed, single-
layer graphene transistors were recently demonstrated.93
Graphene suspensions were prepared using DGU, and the resulting
single-layer dispersions were deposited onto a polyimide foil using dielec-
trophoresis, as shown in Fig. 30.6(a).93 Following fabrication, the devices
were characterized, and extrinsic and intrinsic current gain cutoff frequencies
of 2.2 and 8.7 GHz, respectively, were obtained, as shown in Fig. 30.6(b).
Additionally, the performance of these devices was maintained when the
measurement was performed on a bent sample,93 thus establishing solution-
processed graphene as an attractive material for use in flexible RF electronics.
In addition to RF electronics, solution-processed graphene has also been
incorporated into transparent conductors.52,94 96 These films were produced
by vacuum filtering the graphene dispersion onto nanoporous membranes and
then transferring them to transparent substrates, as shown in Fig. 30.6(c).29
Then, the films were annealed in air for two hours at 250 8C to decrease the
sheet resistance (by a factor of 2–4) and increase the transmittance of the film.
The resulting transparent films were characterized via four-probe measure-
ments, and DGU-sorted single-layer graphene films were found to be three
times more conductive than unsorted graphene films produced by weak
centrifugation. This performance increase is likely due to the larger lateral
areas of the sorted graphene flakes, which reduce the number of graphene–
graphene contacts required for transport across the film.29,50 Furthermore, the
single-layer flakes are more flexible and should form a more conformal film,
resulting in less resistive graphene–graphene contacts.
Similar to digital electronics, the lack of a bandgap in graphene prevents
its use as the active layer in OPVs, although it is well suited as an electron
blocking layer (EBL) in these devices.97 99 To be used in the EBL layer,
graphene must first be chemically functionalized as graphene oxide (GO),100
which has a tunable bandgap and work function. In initial studies, GO was
incorporated into OPVs that used P3HT:PCBM as the active layer, and the
highest observed power conversion efficiency (PCE) was 3.6%, which falls
short of the control device that utilized PEDOT:PSS as the EBL.101 On the
other hand, solution-processed GO was recently used in an application that
employs poly[[4,8-bis[(2-ethylhexyl)oxy]benzo[1,2-b:4,5-b0]-dithiophene-2,
6-diyl][3-fluoro-2-[(2ethylhexyl)carbonyl]-thieno-[3,4-b]thiophenediyl]] (PTB7)
and the fullerene electron acceptor, PC71BM, as the active layer.102 The
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 809

Figure 30.6 Applications of solution-processed graphene. (a) Flexible gigahertz graphene


transistor (reprinted from Ref. 93). (b) High-frequency performance of the flexible gigahertz
graphene transistor (reprinted from Ref. 93). (c) Transparent conducting films of solution-
processed graphene (reprinted from Ref. 29). (d) Graphene-based hole transport layer in the
OPV meets the electrical performance of polymer-based hole transport layers while
achieving significantly higher environmental stability (reprinted from Ref. 102). (e) Schematic
of a graphene-titania nanoparticle photocatalytic composite (reprinted from Ref. 112).
(f) Scanning electron micrograph of a graphene-titania nanosheet composite (reprinted from
Ref. 113). (See color plate section.)

GO flakes were produced by chemically exfoliating pristine graphite powder


into a dispersion that contained large single flakes. Then, these flakes were
deposited onto a substrate using a Langmuir–Blodgett assembly technique,
which was followed by an ozone exposure to chemically modify the surface of
the flakes.103,104 Following device fabrication, the PCE of the PTB7:PC71BM
OPVs was measured to be 7.39%, which closely matches the conventional
PEDOT:PSS device PCE of 7.46%, as shown in Fig. 30.6(d). Additionally, the
GO devices were shown to be more environmentally stable than conventional
810 Chapter 30

devices, as a 5-fold enhancement in thermal aging lifetime and a 20-fold


improvement in humid ambient lifetime were observed.102
Another recent application of solution-processed graphene is nanocomp-
osities for photocatalysis. These nanocomposities are of interest for inclusion
in applications such as water photolysis, organic contaminant degradation,
and carbon dioxide reduction.105,106 In this composite system, the graphene is
used to enhance the photocatalytic activity of a wide-bandgap semiconductor,
such as titania,107 109 as shown schematically in Fig. 30.6(e). Recently, a study
was conducted to compare the photocatalytic activity enhancements provided
by the two most common types of solution-processed graphene: (1) chemically
modified GO or reduced-graphene oxide (RGO)110 and (2) solvent-exfoliated
graphene (SEG).111 The results of this study indicated that the less-defective
SEG provided larger enhancements to the photocatalytic activity of titania.
Subsequent characterization via optical absorbance spectroscopy, PL
spectroscopy, and charge transport measurements shows that the higher
electrical mobility of SEG underlies the increased photocatalytic activity.112
The performance of SEG–titania nanocomposites was further evaluated in a
recent followup study that investigated the role of the carbon nanomaterial
dimensionality in enhancing the activity of titania. In this study, a graphene–
titania nanosheet was compared to a SWCNT–titania nanocomposite sheet
[an example nanosheet is shown in Fig. 30.6(f)].113 Following characteriza-
tion, a marked increase in the photocatalytic activity of the graphene-titania
nanosheet was observed in the ultraviolet range, whereas the SWNT–titania
composite performed better at visible wavelengths, thus highlighting the
importance of dimensionality in these systems.

30.8 Summary and Future Outlook


Carbon nanomaterials show great promise for inclusion in next-generation
electronic and optoelectronic systems. Although the polydispersity of as-
synthesized carbon nanomaterials necessitates post-synthesis sorting for
inclusion in high-performance applications, DGU has shown that this goal
can be accomplished in a cost-effective and scalable manner. After isolating
monodisperse carbon nanomaterial samples, the samples can be assembled
into thin films that are suitable for devices. For example, semiconducting
SWCNTs have found wide use in both electronic and optoelectronic
applications due to their direct bandgap. Additionally, both metallic
SWCNTs and solution-processed graphene are suitable components of
transparent conductors and organic photovoltaics.
In the future, additional challenges must be addressed before the full
commercial potential of carbon nanomaterials can be achieved. For example,
advances in the electronic-type purity and sorting by individual nanotube
chirality will help to reduce the device-to-device variability that is currently
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 811

observed in individual SWCNT and graphene devices. Similarly, extrinsic


issues that affect device variability, such as the choice of metal contacts,
dielectric layers, and underlying substrate, will also have to be addressed. As
these remaining issues are addressed, monodisperse carbon nanomaterials are
expected to become increasingly attractive for both electronic and optoelec-
tronic applications in the future.

References
1. G. E. Moore, “Cramming more components onto integrated circuits,”
Proc. IEEE 86(1), 82–85 (1998).
2. K. K. Likharev, “CMOL: Second life for silicon?,” Microelectron. J.
39(2), 177–183 (2008).
3. K. J. Kuhn, “Considerations for ultimate CMOS scaling,” IEEE Trans.
Electron Devices 59(7), 1813–1828 (2012).
4. A. W. Topol, D. C. La Tulipe, L. Shi, D. J. Frank, K. Bernstein,
S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and
M. Ieong, “Three-dimensional integrated circuits,” IBM J. Res. Dev. 50,
491–506 (2006).
5. J. J. Welser, S. Kosonocky, T.-J. K. Liu, T. Sakurai, R. Thewes, and
B. Zhao, “Special issue on device technologies and circuit techniques for
power management,” IEEE Trans. Electron Devices 55(1), 4–7 (2008).
6. P. R. Wallace, “The band theory of graphite,” Phys. Rev. 71, 622–634
(1947).
7. K. S. Novoselov, “Electric field effect in atomically thin carbon films,”
Science 306(5696), 666–669 (2004).
8. J. Hass, W. A. de Heer, and E. H. Conrad, “The growth and
morphology of epitaxial multilayer graphene,” J. Phys.: Cond. Matter
20(32), 323202 (2008).
9. X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, R. Piner,
A. Velamakanni, I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, and
R. S. Ruoff, “Large-area synthesis of high-quality and uniform graphene
films on copper foils,” Science 324(5932), 1312–1314 (2009).
10. K. I. Bolotin, K. J. Sikes, Z. Jiang, M. Klima, G. Fudenberg, J. Hone,
P. Kim, and H. L. Stormer, “Ultrahigh electron mobility in suspended
graphene,” Solid State Commun. 146(9–10), 351–355 (2008).
11. S. Morozov, K. Novoselov, M. Katsnelson, F. Schedin, D. Elias,
J. Jaszczak, and A. Geim, “Giant intrinsic carrier mobilities in graphene
and its bilayer,” Phys. Rev. Lett. 100(1), 016602 (2008).
812 Chapter 30

12. F. Schwierz, “Graphene transistors,” Nature Nanotechnol. 5(7), 487–496


(2010).
13. P. Avouris, Z. Chen, and V. Perebeinos, “Carbon-based electronics,”
Nature Nanotechnol. 2(10), 605–615 (2007).
14. T. Dürkop, S. A. Getty, E. Cobas, and M. S. Fuhrer, “Extraordinary
mobility in semiconducting carbon nanotubes,” Nano Lett. 4(1), 35–39
(2004).
15. E. T. Thostenson, Z. Ren, and T. W. Chou, “Advances in the science
and technology of carbon nanotubes and their composites: a review,”
Composites Sci. Technol. 61(13), 1899–1912 (2001).
16. T. Mueller, M. Kinoshita, M. Steiner, V. Perebeinos, A. A. Bol,
D. B. Farmer, and P. Avouris, “Efficient narrow-band light emission
from a single carbon nanotube p–n diode,” Nature Nanotechnol. 5(1),
27–31 (2009).
17. N. M. Gabor, Z. Zhong, K. Bosnick, J. Park, and P. L. McEuen,
“Extremely efficient multiple electron–hole pair generation in carbon
nanotube photodiodes,” Science 325(5946), 1367–1371 (2009).
18. M. Ganzhorn, A. Vijayaraghavan, S. Dehm, F. Hennrich, A. A. Green,
M. Fichtner, A. Voigt, M. Rapp, H. von Löhneysen, M. C. Hersam,
M. M. Kappes, and R. Krupke, “Hydrogen sensing with diameter- and
chirality-sorted carbon nanotubes,” ACS Nano 5(3), 1670–1676 (2011).
19. C. Wang, J. Zhang, and C. Zhou, “Macroelectronic integrated circuits
using high-performance separated carbon nanotube thin-film transis-
tors,” ACS Nano 4(12), 7123–7132 (2010).
20. M. Ha, Y. Xia, A. A. Green, W. Zhang, M. J. Renn, C. H. Kim,
M. C. Hersam, and C. D. Frisbie, “Printed, sub-3V digital circuits on
plastic from aqueous carbon nanotube inks,” ACS Nano 4(8), 4388–4395
(2010).
21. D. J. Bindl, A. S. Brewer, and M. S. Arnold, “Semiconducting carbon
nanotube/fullerene blended heterojunctions for photovoltaic near-
infrared photon harvesting,” Nano Res. 4(11), 1174–1179 (2011).
22. S. Ren, M. Bernardi, R. R. Lunt, V. Bulovic, J. C. Grossman, and
S. Gradečak, “Toward efficient carbon nanotube/P3HT solar cells: active
layer morphology, electrical, and optical properties,” Nano Lett. 11(12),
5316–5321 (2011).
23. G. N. Ostojic, Y. T. Liang, and M. C. Hersam, “Catalytically active
nanocomposites of electronically coupled carbon nanotubes and plati-
num nanoparticles formed via vacuum filtration,” Nanotechnol. 20(43),
434019 (2009).
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 813

24. L. Nougaret, H. Happy, G. Dambrine, V. Derycke, J.-P. Bourgoin,


A. A. Green, and M. C. Hersam, “80 GHz field-effect transistors
produced using high purity semiconducting single-walled carbon
nanotubes,” Appl. Phys. Lett. 94(24), 243505 (2009).
25. M. C. Hersam, “Progress towards monodisperse single-walled carbon
nanotubes,” Nature Nanotechnol. 3, 387–394 (2008).
26. T. Guo, P. Nikolaev, A. Thess, D. T. Colbert, and R. E. Smalley,
“Catalytic growth of single-walled nanotubes by laser vaporization,”
Chem. Phys. Lett. 243(1), 49–54 (1995).
27. T. W. Ebbesen and P. M. Ajayan, “Large-scale synthesis of carbon
nanotubes,” Nature 358, 220–222 (1992).
28. M. Endo, K. Takeuchi, S. Igarashi, K. Kobori, M. Shiraishi, and
H. W. Kroto, “The production and structure of pyrolytic carbon
nanotubes (PCNTs),” J. Phys. Chem. Solids 54(12), 1841–1848 (1993).
29. A. A. Green and M. C. Hersam, “Solution phase production of graphene
with controlled thickness via density differentiation,” Nano Lett. 9(12),
4031–4036 (2009).
30. Z. Chen, X. Du, M.-H. Du, C. D. Rancken, H.-P. Cheng, and
A. G. Rinzler, “Bulk separative enrichment in metallic or semiconduct-
ing single-walled carbon nanotubes,” Nano Lett. 3(9), 1245–1249 (2003).
31. S. Banerjee, T. Hemraj-Benny, and S. S. Wong, “Covalent surface
chemistry of single-walled carbon nanotubes,” Advanced Mater. 17(1),
17–29 (2005).
32. P. G. Collins, M. S. Arnold, and P. Avouris, “Engineering carbon
nanotubes and nanotube circuits using electrical breakdown,” Science
292(5517), 706–709 (2001).
33. R. Krupke, “Separation of metallic from semiconducting single-walled
carbon nanotubes,” Science 301(5631), 344–347 (2003).
34. R. Krupke, F. Hennrich, M. M. Kappes, and H. V. Löhneysen, “Surface
conductance induced dielectrophoresis of semiconducting single-walled
carbon nanotubes,” Nano Lett. 4(8), 1395–1399 (2004).
35. M. Zheng, A. Jagota, E. D. Semke, B. A. Diner, R. S. Mclean,
S. R. Lustig, R. E. Richardson, and N. G. Tassi, “DNA-assisted
dispersion and separation of carbon nanotubes,” Nature Mater. 2(5),
338–342 (2003).
36. M. Zheng, “Structure-based carbon nanotube sorting by sequence-
dependent DNA assembly,” Science 302(5650), 1545–1548 (2003).
37. M. J. O’Connell, S. M. Bachilo, C. B. Huffman, V. C. Moore,
M. S. Strano, and E. H. Haroz, “Band gap fluorescence from individual
single-walled carbon nanotubes,” Science 297(5581), 590–593 (2002).
814 Chapter 30

38. M. S. Arnold, S. I. Stupp, and M. C. Hersam, “Enrichment of single-


walled carbon nanotubes by diameter in density gradients,” Nano Lett.
5(4), 713–718 (2005).
39. M. S. Arnold, A. A. Green, J. F. Hulvat, S. I. Stupp, and M. C. Hersam,
“Sorting carbon nanotubes by electronic structure using density
differentiation,” Nature Nanotechnol. 1(1), 60–65 (2006).
40. A. L. Antaris, J.-W. T. Seo, A. A. Green, and M. C. Hersam, “Sorting
single-walled carbon nanotubes by electronic type using nonionic,
biocompatible block copolymers,” ACS Nano 4(8), 4725–4732 (2010).
41. A. A. Green, M. C. Duch, and M. C. Hersam, “Isolation of single-walled
carbon nanotube enantiomers by density differentiation,” Nano Res.
2(1), 69–77 (2009).
42. A. A. Green and M. C. Hersam, “Nearly single-chirality single-walled
carbon nanotubes produced via orthogonal iterative density gradient
ultracentrifugation,” Adv. Mater. 23(19), 2185–2190 (2011).
43. T. P. Tyler, T. A. Shastry, B. J. Leever, and M. C. Hersam, “Narrow
diameter distributions of metallic arc discharge single-walled carbon
nanotubes via dual-iteration density gradient ultracentrifugation,” Adv.
Mater. 24(35), 4765–4768 (2012).
44. A. A. Green and M. C. Hersam, “Processing and properties of highly
enriched double-wall carbon nanotubes,” Nature Nanotechnol. 4(1),
64–70 (2009).
45. A. A. Green and M. C. Hersam, “Properties and application of double-
walled carbon nanotubes sorted by outer-wall electronic type,” ACS
Nano 5(2), 1459–1467 (2011).
46. Y. F. Li, R. Hatakeyama, T. Kaneko, T. Izumida, T. Okada, and
T. Kato, “Electronic transport properties of Cs-encapsulated double-
walled carbon nanotubes,” Appl. Phys. Lett. 89(9), 093110 (2006).
47. T. Shimada, T. Sugai, Y. Ohno, S. Kishimoto, T. Mizutani, H. Yoshida,
T. Okazaki, and H. Shinohara, “Double-wall carbon nanotube field-
effect transistors: Ambipolar transport characteristics,” Appl. Phys. Lett.
84(13), 2412–2414 (2004).
48. B. Ha, D. H. Shin, J. Park, and C. J. Lee, “Electronic structure and field
emission properties of double-walled carbon nanotubes synthesized by
hydrogen arc discharge,” J. Phys. Chem. C 112(2), 430–435 (2008).
49. D. Jariwala, V. K. Sangwan, L. J. Lauhon, T. J. Marks, and
M. C. Hersam, “Carbon nanomaterials for electronics, optoelectronics,
photovoltaics, and sensing,” Chem. Soc. Rev. 42(7), 2824–2860 (2013).
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 815

50. A. A. Green and M. C. Hersam, “Emerging methods for producing


monodisperse graphene dispersions,” J. Phys. Chem. Lett. 1(2), 544–549
(2010).
51. J.-W. T. Seo, A. A. Green, A. L. Antaris, and M. C. Hersam, “High-
concentration aqueous dispersions of graphene using nonionic, biocom-
patible block copolymers,” J. Phys. Chem. Lett. 2(9), 1004–1008 (2011).
52. Z. Wu, Z. Chen, X. Du, J. M. Logan, J. Sippel, M. Nikolou,
K. Kamaras, J. R. Reynolds, D. B. Tanner, A. F. Hebard, and
A. G. Rinzler, “Transparent, conductive carbon nanotube films,”
Science 305(5688), 1273–1276 (2004).
53. V. K. Sangwan, R. P. Ortiz, J. M. P. Alaboson, J. D. Emery, M. J. Bedzyk,
L. J. Lauhon, T. J. Marks, and M. C. Hersam, “Fundamental performance
limits of carbon nanotube thin-film transistors achieved using hybrid
molecular dielectrics,” ACS Nano 6(8), 7480–7488 (2012).
54. T. P. Tyler, R. E. Brock, H. J. Karmel, T. J. Marks, and M. C. Hersam,
“Electronically monodisperse single-walled carbon nanotube thin films
as transparent conducting anodes in organic photovoltaic devices,” Adv.
Energy Mater. 1(5), 785–791 (2011).
55. Y. Ma, B. Wang, Y. Wu, Y. Huang, and Y. Chen, “The production of
horizontally aligned single-walled carbon nanotubes,” Carbon 49(13),
4098–4110 (2011).
56. A. Vijayaraghavan, S. Blatt, D. Weissenberger, M. Oron-Carl,
F. Hennrich, D. Gerthsen, H. Hahn, and R. Krupke, “Ultra-large-scale
directed assembly of single-walled carbon nanotube devices,” Nano Lett.
7(6), 1556–1560 (2007).
57. M. Ganzhorn, A. Vijayaraghavan, A. A. Green, S. Dehm, A. Voigt,
M. Rapp, M. C. Hersam, and R. Krupke, “A scalable, CMOS-
compatible assembly of ambipolar semiconducting single-walled carbon
nanotube devices,” Adv. Mater. 23(15), 1734–1738 (2011).
58. M. Steiner, M. Engel, Y.-M. Lin, Y. Wu, K. Jenkins, D. B. Farmer,
J. J. Humes, N. L. Yoder, J.-W. T. Seo, A. A. Green, M. C. Hersam,
R. Krupke, and P. Avouris, “High-frequency performance of scaled
carbon nanotube array field-effect transistors,” Appl. Phys. Lett. 101(5),
053123 (2012).
59. T. A. Shastry, J.-W. T. Seo, J. J. Lopez, H. N. Arnold, J. Z. Kelter,
V. K. Sangwan, L. J. Lauhon, T. J. Marks, and M. C. Hersam, “Large-
area, electronically monodisperse, aligned single-walled carbon nanotube
thin films fabricated by evaporation-driven self-assembly,” Small 9, 45–51
(2013).
60. M. Engel, J. P. Small, M. Steiner, M. Freitag, A. A. Green, M. C. Hersam,
and P. Avouris, “Thin film nanotube transistors based on self-assembled,
816 Chapter 30

aligned, semiconducting carbon nanotube arrays,” ACS Nano 2(12),


2445–2452 (2008).
61. S. R. Forrest, “The path to ubiquitous and low-cost organic electronic
appliances on plastic,” Nature 428(6986), 911–918 (2004).
62. Y. Hayakawa, Y. Suda, T. Hashizume, H. Sugawara, and Y. Sakai,
“Hydrogen-sensing response of carbon-nanotube thin-film sensor with
Pd comb-like electrodes,” Jap. J. Appl. Phys. 46(15), L362–L364 (2007).
63. I. Sayago, E. Terrado, E. Lafuente, M. C. Horrillo, W. K. Maser,
A. M. Benito, R. Navarro, E. P. Urriolabeitia, M. T. Martinez, and
J. Gutierrez, “Hydrogen sensors based on carbon nanotubes thin films,”
Synthetic Metals 148(1), 15–19 (2005).
64. J. Kong, M. G. Chapline, and H. Dai, “Functionalized carbon nanotubes
for molecular hydrogen sensors,” Adv. Mater. 13(18), 1384–1386 (2001).
65. V. R. Khalap, T. Sheps, A. A. Kane, and P. G. Collins, “Hydrogen
sensing and sensitivity of palladium-decorated single-walled carbon
nanotubes with defects,” Nano Lett. 10(3), 896–901 (2010).
66. P. Avouris, M. Freitag, and V. Perebeinos, “Carbon-nanotube photonics
and optoelectronics,” Nature Photon. 2, 341–350 (2008).
67. J. A. Misewich, “Electrically induced optical emission from a carbon
nanotube FET,” Science 300(5620), 783–786 (2003).
68. M. Freitag, J. Chen, J. Tersoff, J. Tsang, Q. Fu, J. Liu, and P. Avouris,
“Mobile ambipolar domain in carbon-nanotube infrared emitters,” Phys.
Rev. Lett. 93(7), 076803 (2004).
69. J. Chen, V. Perebeinos, M. Freitag, J. Tsang, Q. Fu, J. Liu, and
P. Avouris, “Bright infrared emission from electrically induced excitons
in carbon nanotubes,” Science 310(5751), 1171–1174 (2005).
70. M. Steiner, M. Freitag, V. Perebeinos, A. Naumov, J. P. Small,
A. A. Bol, and P. Avouris, “Gate-variable light absorption and emission in
a semiconducting carbon nanotube,” Nano Lett. 9(10), 3477–3481 (2009).
71. H. Qian, C. Georgi, N. Anderson, A. A. Green, M. C. Hersam,
L. Novotny, and A. Hartschuh, “Exciton energy transfer in pairs of
single-walled carbon nanotubes,” Nano Lett. 8(5), 1363–1367 (2008).
72. E. Adam, C. M. Aguirre, L. Marty, B. C. St-Antoine, F. Meunier,
P. Desjardins, D. Ménard, and R. Martel, “Electroluminescence from
single-wall carbon nanotube network transistors,” Nano Lett. 8(8),
2351–2355 (2008).
73. J. Zaumseil, X. Ho, J. R. Guest, G. P. Wiederrecht, and J. A. Rogers,
“Electroluminescence from electrolyte-gated carbon nanotube field-effect
transistors,” ACS Nano 3(8), 2225–2234 (2009).
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 817

74. M. Kinoshita, M. Steiner, M. Engel, J. P. Small, A. A. Green, M. C. Hersam,


R. Krupke, E. E. Mendez, and P. Avouris, “The polarized carbon nanotube
thin film LED,” Opt. Express 18(25), 25738–25745 (2010).
75. J. U. Lee, P. P. Gipp, and C. M. Heller, “Carbon nanotube p-n junction
diodes,” Appl. Phys. Lett. 85(1), 145–147 (2004).
76. B. G. Lewis and D. C. Paine, “Applications and processing of
transparent conducting oxides,” MRS Bull. 25(08), 22–27 (2000).
77. Y. Yang, S. Jin, J. E. Medvedeva, J. R. Ireland, A. W. Metz, J. Ni,
M. C. Hersam, A. J. Freeman, and T. J. Marks, “CdO as the
archetypical transparent conducting oxide. Systematics of dopant ionic
radius and electronic structure effects on charge transport and band
structure,” J. Am. Chem. Soc. 127(24), 8796–8804 (2005).
78. G. Gruner, “Carbon nanotube films for transparent and plastic
electronics,” J. Mater. Chem. 16(35), 3533–3539 (2006).
79. W. Ma, L. Song, R. Yang, T. Zhang, Y. Zhao, L. Sun, Y. Ren, D. Liu,
L. Liu, J. Shen, Z. Zhang, Y. Xiang, W. Zhou, and S. Xie, “Directly
synthesized strong, highly conducting, transparent single-walled carbon
nanotube films,” Nano Lett. 7(8), 2307–2311 (2007).
80. A. A. Green and M. C. Hersam, “Colored semitransparent conductive
coatings consisting of monodisperse metallic single-walled carbon
nanotubes,” Nano Lett. 8(5), 1417–1422 (2008).
81. J. Cui, A. Wang, N. L. Edleman, J. Ni, P. Lee, N. R. Armstrong, and
T. J. Marks, “Indium tin oxide alternatives—high work function
transparent conducting oxides as anodes for organic light-emitting
diodes,” Adv. Mater. 13(19), 1476–1480 (2001).
82. M. W. Rowell, M. A. Topinka, M. D. McGehee, H.-J. Prall,
G. Dennler, N. S. Sariciftci, L. Hu, and G. Gruner, “Organic solar
cells with carbon nanotube network electrodes,” Appl. Phys. Lett. 88(23),
233506 (2006).
83. J. L. Blackburn, T. M. Barnes, M. C. Beard, Y.-H. Kim, R. C. Tenent,
T. J. McDonald, B. To, T. J. Coutts, and M. J. Heben, “Transparent
conductive single-walled carbon nanotube networks with precisely
tunable ratios of semiconducting and metallic nanotubes,” ACS Nano
2(6), 1266–1274 (2008).
84. R. C. Tenent, T. M. Barnes, J. D. Bergeson, A. J. Ferguson, B. To,
L. M. Gedvilas, M. J. Heben, and J. L. Blackburn, “Ultrasmooth, large-
area, high-uniformity, conductive transparent single-walled-carbon-
nanotube films for photovoltaics produced by ultrasonic spraying,”
Adv. Mater. 21(31), 3210–3216 (2009).
818 Chapter 30

85. D. Mann, Y. K. Kato, A. Kinkhabwala, E. Pop, J. Cao, X. Wang,


L. Zhang, Q. Wang, J. Guo, and H. Dai, “Electrically driven thermal
light emission from individual single-walled carbon nanotubes,” Nature
Nanotechnol. 2(1), 33–38 (2007).
86. L. Xie, H. Farhat, H. Son, J. Zhang, M. S. Dresselhaus, J. Kong, and
Z. Liu, “Electroluminescence from suspended and on-substrate metallic
single-walled carbon nanotubes,” Nano Lett. 9(5), 1747–1751 (2009).
87. S. Essig, C. W. Marquardt, A. Vijayaraghavan, M. Ganzhorn, S. Dehm,
F. Hennrich, F. Ou, A. A. Green, C. Sciascia, F. Bonaccorso,
K.-P. Bohnen, H. von Löhneysen, M. M. Kappes, P. M. Ajayan,
M. C. Hersam, A. C. Ferrari, and R. Krupke, “Phonon-assisted
electroluminescence from metallic carbon nanotubes and graphene,”
Nano Lett. 10(5), 1589–1594 (2010).
88. A. H. Castro Neto, N. M. R. Peres, K. S. Novoselov, and A. K. Geim,
“The electronic properties of graphene,” Rev. Mod. Phys. 81(1), 109–162
(2009).
89. J. S. Moon, D. Curtis, M. Hu, D. Wong, C. McGuire, P. M. Campbell,
G. Jernigan, J. L. Tedesco, B. VanMil, R. Myers-Ward, C. Eddy, and
D. K. Gaskill, “Epitaxial-graphene RF field-effect transistors on Si-face
6H-SiC substrates,” IEEE Electron. Device Lett. 30(6), 650–652 (2009).
90. Y. Wu, Y. Lin, A. A. Bol, K. A. Jenkins, F. Xia, D. B. Farmer, Y. Zhu,
and P. Avouris, “High-frequency, scaled graphene transistors on
diamond-like carbon,” Nature 472(7341), 74–78 (2011).
91. S.-K. Lee, B. J. Kim, H. Jang, S. C. Yoon, C. Lee, B. H. Hong, J. A. Rogers,
J. H. Cho, and J.-H. Ahn, “Stretchable graphene transistors with printed
dielectrics and gate electrodes,” Nano Lett. 11(11), 4642–4646 (2011).
92. L. Liao, Y.-C. Lin, M. Bao, R. Cheng, J. Bai, Y. Liu, Y. Qu,
K. L. Wang, Y. Huang, and X. Duan, “High-speed graphene transistors
with a self-aligned nanowire gate,” Nature 467(7313), 305–308 (2010).
93. C. Sire, F. Ardiaca, S. Lepilliet, J.-W. T. Seo, M. C. Hersam,
G. Dambrine, H. Happy, and V. Derycke, “Flexible gigahertz transistors
derived from solution-based single-layer graphene,” Nano Lett. 12(3),
1184–1188 (2012).
94. P. Blake, P. D. Brimicombe, R. R. Nair, T. J. Booth, D. Jiang,
F. Schedin, L. A. Ponomarenko, S. V. Morozov, H. F. Gleeson, E. W.
Hill, A. K. Geim, and K. S. Novoselov, “Graphene-based liquid crystal
device,” Nano Lett. 8(6), 1704–1708 (2008).
95. X. Li, G. Zhang, X. Bai, X. Sun, X. Wang, E. Wang, and H. Dai,
“Highly conducting graphene sheets and Langmuir–Blodgett films,”
Nature Nanotechnol. 3(9), 538–542 (2008).
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 819

96. H. A. Becerril, J. Mao, Z. Liu, R. M. Stoltenberg, Z. Bao, and Y. Chen,


“Evaluation of solution-processed reduced graphene oxide films as
transparent conductors,” ACS Nano 2(3), 463–470 (2008).
97. M. Girtan and M. Rusu, “Role of ITO and PEDOT:PSS in stability/
degradation of polymer:fullerene bulk heterojunctions solar cells,” Solar
Energy Mater. Solar Cells 94(3), 446–450 (2010).
98. M. Jørgensen, K. Norrman, and F. C. Krebs, “Stability/degradation of
polymer solar cells,” Solar Energy Mater. Solar Cells 92(7), 686–714
(2008).
99. J. M. Szarko, J. Guo, Y. Liang, B. Lee, B. S. Rolczynski, J. Strzalka,
T. Xu, S. Loser, T. J. Marks, L. Yu, and L. X. Chen, “When function
follows form: Effects of donor copolymer side chains on film
morphology and BHJ solar cell performance,” Adv. Mater. 22(48),
5468–5472 (2010).
100. D. R. Dreyer, S. Park, C. W. Bielawski, and R. S. Ruoff, “The chemistry
of graphene oxide,” Chem. Soc. Rev. 39(1), 228–240 (2009).
101. P. Matyba, H. Yamaguchi, M. Chhowalla, N. D. Robinson, and
L. Edman, “Flexible and metal-free light-emitting electrochemical cells
based on graphene and PEDOT-PSS as the electrode materials,” ACS
Nano 5(1), 574–580 (2011).
102. I. P. Murray, S. J. Lou, L. J. Cote, S. Loser, C. J. Kadleck, T. Xu,
J. M. Szarko, B. S. Rolczynski, J. E. Johns, J. Huang, L. Yu, L. X. Chen,
T. J. Marks, and M. C. Hersam, “Graphene oxide interlayers for robust,
high-efficiency organic photovoltaics,” J. Phys. Chem. Lett. 2(24),
3006–3012 (2011).
103. L. J. Cote, J. Kim, Z. Zhang, C. Sun, and J. Huang, “Tunable assembly
of graphene oxide surfactant sheets: Wrinkles, overlaps and impacts on
thin film properties,” Soft Matter 6(24), 6096–6101 (2010).
104. F. Kim, L. J. Cote, and J. Huang, “Graphene oxide: surface activity and
two-dimensional assembly,” Adv. Mater. 22(17), 1954–1958 (2010).
105. A. L. Linsebigler, G. Lu, and J. T. Yates, Jr., “Photocatalysis on TiO2
surfaces: Principles, mechanisms, and selected results,” Chem. Rev. 95(3),
735–758 (1995).
106. S. C. Roy, O. K. Varghese, M. Paulose, and C. A. Grimes, “Toward
solar fuels: Photocatalytic conversion of carbon dioxide to hydro-
carbons,” ACS Nano 4(3), 1259–1278 (2010).
107. Y. Yao, G. Li, S. Ciston, R. M. Lueptow, and K. A. Gray,
“Photoreactive TiO2/carbon nanotube composites: Synthesis and
reactivity,” Environ. Sci. Technol. 42(13), 4952–4957 (2008).
820 Chapter 30

108. K. Woan, G. Pyrgiotakis, and W. Sigmund, “Photocatalytic carbon-


nanotube–TiO2 composites,” Adv. Mater. 21(21), 2233–2239 (2009).
109. Y. Zhang, Z.-R. Tang, X. Fu, and Y.-J. Xu, “TiO2–graphene
nanocomposites for gas-phase photocatalytic degradation of volatile
aromatic pollutant: Is TiO2–graphene truly different from other TiO2–
carbon composite materials?,” ACS Nano 4(12), 7303–7314 (2010).
110. C. Gómez-Navarro, J. C. Meyer, R. S. Sundaram, A. Chuvilin,
S. Kurasch, M. Burghard, K. Kern, and U. Kaiser, “Atomic structure
of reduced graphene oxide,” Nano Lett. 10(4), 1144–1148 (2010).
111. Y. Hernandez, V. Nicolosi, M. Lotya, F. M. Blighe, Z. Sun, S. De,
I. T. McGovern, B. Holland, M. Byrne, Y. Gunko, J. Boland, P. Niraj,
G. Duesberg, S. Krishnamurti, R. Goodhue, J. Hutchison, V. Scardaci,
A. C. Ferrari, and J. N. Coleman, “High-yield production of graphene
by liquid-phase exfoliation of graphite,” Nature Nanotechnol. 3(9),
563–568 (2008).
112. Y. T. Liang, B. K. Vijayan, K. A. Gray, and M. C. Hersam,
“Minimizing graphene defects enhances titania nanocomposite-based
photocatalytic reduction of CO2 for improved solar fuel production,”
Nano Lett. 11(7), 2865–2870 (2011).
113. Y. T. Liang, B. K. Vijayan, O. Lyandres, K. A. Gray, and
M. C. Hersam, “Effect of dimensionality on the photocatalytic behavior
of carbon–titania nanosheet composites: Charge transfer at nanomaterial
interfaces,” J. Phys. Chem. Lett. 3(13), 1760–1765 (2012).

Heather N. Arnold is a NASA Space Technology


Research Fellow, who is currently pursuing a Ph.D.
under the guidance of Prof. Mark C. Hersam in the
Department of Materials Science and Engineering at
Northwestern University. She received a B.S. in
electrical engineering in 2010 from Kansas State
University, where she worked on characterizing wide-
bandgap semiconductors, namely scandium nitride. Her
current research interests include electronic, optoelectronic, and radiation-
hard applications based on carbon nanotubes and graphene.
Optoelectronic Applications of Monodisperse Carbon Nanomaterials 821

Mark C. Hersam is the Bette and Neison Harris Chair


in Teaching Excellence and Professor of Materials
Science and Engineering, Chemistry, and Medicine at
Northwestern University. He earned a B.S. in electrical
engineering from the University of Illinois at Urbana-
Champaign (UIUC) in 1996, M.Phil. in physics from
the University of Cambridge in 1997, and a Ph.D. in
electrical engineering from UIUC in 2000. His research
interests include nanofabrication, scanning probe microscopy, semiconductor
surfaces, and carbon nanomaterials. Dr. Hersam cofounded NanoIntegris,
which is a commercial supplier of high-performance carbon nanotubes and
graphene. Dr. Hersam is a Fellow of MRS, AVS, APS, and SPIE, and serves
as an Associate Editor of ACS Nano.
Chapter 31
Design of Radial p–i–n
Silicon Nanowires for
High-Performance Solar Cells
Binh-Minh Nguyen and Jinkyoung Yoo
Center for Integrated Nanotechnologies, Los Alamos National Laboratory,
Los Alamos, New Mexico, USA

Shadi A. Dayeh
Center for Integrated Nanotechnologies, Los Alamos National Laboratory,
Los Alamos, New Mexico, USA and Department of Electrical and Computer
Engineering, University of California, San Diego, La Jolla, California, USA

Paul Schuele and David Evans


Sharp Laboratories of America, Camas, Washington, USA

S. Tom Picraux
Center for Integrated Nanotechnologies, Los Alamos National Laboratory,
Los Alamos, New Mexico, USA

31.1 Introduction
31.2 Device Fabrication
31.3 Estimation of Depletion Region
31.4 Optical Absorption Simulation
31.4.1 Effect of nanowire length
31.4.2 Effect of pitch size
31.5 Conclusion and Outlook
Acknowledgments
References

823
824 Chapter 31

31.1 Introduction
The quantum efficiency of solar cells, like that of any photon detector, is
dictated by the ability to absorb photons to create conducting carriers, and the
efficiency to drive such carriers to electrodes for collection. Having a medium
that enables full photon absorption in a short length, together with a long
carrier lifetime that allows photogenerated carriers to reach electrodes before
recombining is ideal but is not always realistic. For example, silicon
photovoltaics, despite being a major player in the solar cell market, suffer
from their low absorption coefficient, thus requiring a thick absorbing layer
that impairs the efficiency with which photogenerated carriers are collected.
Radial p–i–n silicon nanowires (NWs) have been proposed as a candidate for
reducing the optical absorption length and required processing purity in
silicon-based solar cells without compromising their quantum efficiency and
yet reducing the overall cell cost.1 In this scheme incident light propagates
along the axial dimension of the NWs and thus has a greater chance of being
absorbed when the NW length extends beyond 10 mm due to interarray light
scattering effects [Fig. 31.1(a)]. At the same time, the core–shell radial p-i-n
structure leads electrical current flow along submicron radii, enabling rapid
collection of most photogenerated carriers, as the transport length is typically
less than the diffusion lengths of minority carriers [Fig. 31.1(b)].
Since the first discussion on the device operation of a radial NW geometry
for photovoltaic cell,1 much work has been done to experimentally realize the
advantages of this NW array system.2 14 A detailed survey on current
activities and progress on NW array solar cells can be found in Ref 15. In the
current work discussed in the chapter we perform finite-difference time-
domain (FDTD) simulations to investigate the absorption process in arrayed

Figure 31.1 Schematic illustration showing the advantages of a core–shell NW solar cell.
(a) Array arrangement enhances light scattering and photon absorption. (b) Short collection
length of charge carriers along radial direction is decoupled from the long absorption length
along the axis.
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 825

radial NWs. The goal of this work is to gain insight on absorption processes in
NW arrays and to develop strategies for enhancing absorption efficiency. The
effects of light scattering and the material filling ratio (ratio of the cross-
sectional area that is occupied by the nanowires to the total area of the array)
at different NW spacings will be discussed. Evolution of absorption with NW
length, particularly in the long-wavelength range (700–1100 nm) will be
shown to illustrate the advantages of NWs as opposed to conventional planar
structures. In addition, actual NW geometries after shell overgrowth using
chemical vapor deposition (CVD) for different NW lengths and spacings have
been studied.

31.2 Device Fabrication


As discussed, the goal of this chapter is to present a theoretical estimation of
absorption and external quantum efficiency of arrayed NW solar cells in order
to guide experimental work. However, before going into the simulation
details, it is important to know the capability of current fabrication
technology so that devices to be simulated are experimentally feasible.
As described above, the device structure consists of two parts: the core and
the shell. The core can be fabricated either with a bottom-up approach using
the traditional vapor–liquid–solid (VLS) growth mode for NWs, or with a
top-down approach by dry etching. The formation of the shell can also be
done with either dopant diffusion/implantation/evaporation or lateral
overgrowth using the vapor–solid growth mode. Approaches for core and
shell fabrication can be flexibly combined, but the main objective is to realize
an array of (preferentially vertical) NWs with sharp junction interfaces and
sufficiently high material quality: minority carrier diffusion lengths larger
than the device’s diameter, yet a few orders of magnitude shorter than in bulk
silicon solar cells.
In this work, for NW core formation, we utilize the top-down approach
for NW array fabrication. A dielectric (SiO2) or metal (Ni) mask is patterned
on a piece of Si (111) substrate using electron beam (e-beam) lithography and
CVD or e-beam metal evaporation, respectively. E-beam lithography is
employed for its flexibility in altering mask designs during the optimization
process. The NW diameter is typically in the range of few hundreds of
nanometers to several micrometers, while the spacing between NWs can be as
short as 1 mm without causing additional difficulties in NW formation using
silicon deep reactive-ion etching [Fig. 31.2(a)]. NWs with a height of 10 mm
are routinely used in this study; longer NWs (up to 20 mm) can be achieved
with spacing larger than 2 mm. One advantage of a top-down approach is the
ability to achieve up-straight NWs with tailorable sidewall profiles: vertical or
conical. Top-down arrays also have more flexibility in controlling NW
location, spacing, and a wide range of diameters. Bottom-up VLS growth can
826 Chapter 31

Figure 31.2 Illustration of NW solar cell fabrication process: (a) core formation, (b) surface
damage removal, and (c) junction formation by vapor–solid shell overgrowth.

also enable control over NW location and spacing by using patterned metal
catalysts but experiences difficulty in tuning growth conditions for a wide
range of NW diameters to achieve high yield in vertically aligned NW arrays.
The challenge for a top-down process is sidewall damage and residual
contamination during plasma etching, which hamper the nucleation of the
high-quality single-crystalline NW shell. In order to eliminate such detrimen-
tal defects, after the etch mask removal, the NW array is subjected to several
cycles of a thermal oxidation step to create a thin oxide layer followed by a
wet oxide etch step. The process also helps in removing sidewall undulation
(scallops) due to the cyclic Bosch etch process [Fig. 31.2(b)]. From the smooth
silicon surface on NW sidewalls, a single- crystalline radial shell can be grown
by SiH4-based CVD.16
The combination of top-down core etching and CVD shell growth enables
both p–n and n–p core–shell polarity with controlled doping concentration
and junction abruptness [Fig. 31.2(c)]. It also allows i regions of arbitrary
thicknesses to be inserted between the p and n regions, if desired. After shell
growth, one metal contact is deposited at the backside of the substrate, while
the other is placed on the top grown layer, outside of the array area. Ideally, a
transparent contact that fully covers the array is desired for perfect electrical
access to each individual NW, but for process simplicity, a side contact pad is
sufficient, as long as the shell doping is high enough for good electrical
conduction and the core and the shell are not too thin to be fully depleted. The
next section will investigate the relationship between doping concentration,
core–shell thicknesses, and applied voltage to satisfy the above conditions.
After processing, the NW array is characterized with standard techni-
ques16 to extract quantum efficiency and device efficiency. An example of the
external quantum efficiency of a silicon core–shell NW array cell is shown in
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 827

Figure 31.3 Measured external quantum efficiency of a solar cell based on a silicon NW
array with 10-mm length, 3-mm wire-to-wire spacing, and 1.6-mm NW diameter.

Fig. 31.3. While the structure is far from optimal, a peak external quantum
efficiency of 70% is already achieved. The goal of this chapter is to elucidate
absorption processes in a NW array, and suggest an optimization scheme for
even higher quantum efficiency.

31.3 Estimation of Depletion Region


One advantage of core–shell NW photovoltaics is the decoupling of electrical
transport length from optical absorption length. NWs can be axially extended
to fully absorb the incident light while photogenerated carriers are collected
along radial directions with a transport length less than the NW radius. We
assume here that the NW material is of a quality that allows the diffusion
lengths of minority charge carriers to be a few times larger than the radius of
the NWs. In other words, NWs can be fabricated with diameters smaller than
the minority carrier diffusion length. In such conditions, all photogenerated
carriers are collected to the electrodes and contribute to the photocurrent;
thus, the diffusion transport of minority carriers is not of concern. The
remaining challenge would be to minimize series resistance in the quasi-
neutral regions between space-charge regions and the collecting electrodes.
Since there must be a conduction channel from the p and n regions at the
core and surface of the NWs to the electrodes, the p and n regions cannot be
fully depleted. To see under which conditions p–n regions become depleted,
we look at the static picture of the charge–electric field distribution in the
core–shell structure. Figure 31.4(a) is the schematic cross section of an n–p
core–shell NW. An n-doped core of radius a and a p-doped shell of radius b
have doping concentrations ND and NA, respectively. The depletion region is
confined in a ring of inner radius rn in the n-type core and outer radius rp in
the p-type shell. The electric field E and potential profile are obtained by
828 Chapter 31

Figure 31.4 (a) Schematic cross section of the core–shell NW structure. (b) Graphical
solution to Eqs. (31.3) and (31.6) for a silicon NW with a ¼ 100 nm, Vbi ¼ 1 V, V ¼ 0 V, NA ¼
ND ¼ 1018 cm3.

solving the Poisson equation, similar to the case of 1D linear p-n junction,
except in the NW case, the Poisson equation is 1D in cylindrical coordination.
The charge density r is given by

qND for rn  r  a
r ¼ erE ¼ , ð31:1Þ
qNA for a  r  rp
where e is the dielectric constant of the material, and q is the electron charge.
The first equation that links rn and rp is dictated by the charge neutrality
condition:
   
p a2 r2n qND ¼ p r2p a2 qNA , ð31:2Þ
or
ND rn 2 NA rp 2
þ ¼ 1: ð31:3Þ
NA þ N D a NA þ ND a
By taking the integral for r, with boundary conditions E(rn) ¼ E(rp) ¼ 0, we
obtain
8
>
> 1 qND r2 r2n
< for rn  r  a,
2 e r
E¼ ð31:4Þ
>
> r2 r2
: 1 qNA p for a  r  rp :
2 e r
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 829

A second integral of E will give the potential drop between r ¼ rn and r ¼


rp, which is the sum of the built-in voltage Vbi and the applied reverse bias V:
2eðVbi þ V Þ r  r 
n p
¼ ND r2n ln þ NA r2p ln , ð31:5Þ
q a a
or in a unitless form
2eðVbi þ V Þ ND r2n rn  NA r2p rp 
¼ ln þ ln : ð31:6Þ
ðNA þ ND Þqa2 ðNA þ ND Þ a2 a ðNA þ ND Þ a2 a
A graphical solution to Eqs. (31.3) and Eqs. (31.6) is presented in
Fig. 31.4(b). rn and rp are determined at the intersection of the two curves
represented by the two equations. As discussed above, the n core and p shell
must not be completely depleted, meaning that rn > 0 and rp < b. These
conditions lead to certain constraints to the sum of the applicable bias and
the built-in voltage. Although a solar cell operates at zero bias, these
constraints would still apply, as the built-in voltage can be nearly the cell’s
energy gap, which is in order of 1 eV.
If the shell is thin, it would become depleted before the core becomes fully
depleted. In this case, rp ¼ b, and the applied voltage cannot be larger than a
threshold V1, given by
 
2eðVbi þ V1 Þ ND r2n,max rn, max  NA b2 b
¼ ln þ ln , ð31:7Þ
ðNA þ ND Þqa 2 ðNA þ ND Þ a 2 a ðNA þ ND Þ a 2 a
s  
NA þ N D NA b 2
where rn, max ¼ a:
ND ND a
If the shell is thicker, a higher voltage can be applied until the core is fully
depleted: rn ¼ 0 when rp ¼ rp,max  b, and V ¼ V2, given by
r
NA þ ND
rp, max ¼ a  b, ð31:8Þ
NA
and
r  1  
2eðVbi þ V2 Þ p, max NA þ ND 2
¼ NA rp, max ln
2
¼ ðNA þ ND Þ ln a : ð31:9Þ
q a 2 ND
Such constraints between a, b, and V are summarized graphically in
Fig. 31.5.
We have seen that for a given configuration of core and shell radii, the
applied voltage must satisfy certain constraints to avoid full depletion in the
core and shell regions. Reciprocally, for a solar cell operating at zero bias and
a fixed doping concentration that determines Vbi, the core and shell radius
must exceed the minimum values amin and bmin so that neither the core nor the
830 Chapter 31

Figure 31.5 Correlation between core–shell thicknesses and junction depletion. Zone 1:
When V < V1, neither the core nor the shell are fully depleted. When V ¼ V1, the shell is fully
depleted. Zone 2: When V < V2, neither the core nor the shell are fully depleted. When V ¼
V2, the core is fully depleted.

shell is fully depleted. From Eq. (31.9), the minimum core radius can be
derived as
v
u
u 4eVbi
 ,
amin ¼ u ð31:10Þ
t NA þ ND
qðNA þ ND Þ ln
ND
and the minimum shell radius must be
r v
NA þ N D u
u 4eV
 bi :
bmin ¼ amin ¼ u ð31:11Þ
NA t NA þ ND
qNA ln
ND
Numerically, if we assume that the core and shell of silicon NWs are
doped at NA ¼ ND ¼ 1018 cm 3, we have Vbi ¼ 1 V, and the critical core–
shell radii are 44 nm and 62 nm, respectively. In order to achieve an effective
conduction channel to the electrodes, the actual radii of NWs should be larger
than the critical values by tens of nanometers.
In the case of a p–i–n radial junction, if the i region is thick enough, all of
the built-in potential and applied bias will drop at the I region, with a very thin
depletion into the p and n regions. For example, an i region of 60-nm in length
is enough to bear a built-in voltage of 1 V with only 20-nm depletion into the n
region and 10-nm depletion into the p region, assuming that NA ¼ ND ¼ 1018
cm 3 and a ¼ 100 nm. In such cases, contact depletion is not a concern.
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 831

31.4 Optical Absorption Simulation


In order to assess the absorption process in NW arrays, we utilize FDTD
solutions using the Lumerical Solutions, Inc. software package.17 This
commercial software is based on the FDTD framework to solve Maxwell’s
equations and calculate light–matter interactions. Optical properties [n(l),
k(l)] of bulk silicon are taken from Ref 18. The discrepancy in n(l), k(l)
between simulation-database and actual values of silicon NWs, as well as
experimental uncertainties in NW array fabrication (diameter uniformity,
sidewall roughness, random fluctuation of NW length, etc.), might lead to
some deviation of simulation results from experimental measurement;
however, these discrepancies should not affect the physics and the array
configuration dependencies under investigation.
Figure 31.6 is a schematic layout of the NW array to be simulated. Light–
matter interaction is considered over the whole array with a primitive square
lattice; however, to reduce the simulation cost, calculations are carried over
only one unit cell containing one NW by utilizing appropriate periodic
boundary conditions. With certain symmetries of the NW array and incident
light, the calculation time and memory can be even further reduced by
dividing the simulation volume by symmetric planes. Graphic cartoons shown
in this section sketch only one NW but should be interpreted as the entire
array of interacting NWs. The output of the simulation can be represented in
different ways. One can monitor the temporal propagation of the incident-
pulsed wave packet, comparing the electromagnetic field distribution at
different times (Fig. 31.7). The Fourier transform of the temporal propagation
intensity across a monitor plane represents the wavelength-dependent field
intensity, from which one can determine reflection R, transmission T, and
absorption or loss (1 – R – T) of a volume of material of interest [Fig. 31.7(b)].
Furthermore, the spatial dependence of the absorption at a particular
wavelength can also be calculated [Fig. 31.7(c)]. The combination of

Figure 31.6 (a) Schematic layout of the NW array. (b) Simulation volume containing one
NW; R, T1, and T2 are monitor planes for reflection and transmission, respectively.
832 Chapter 31

Figure 31.7 a) Snapshots taken at t ¼ 0, t ¼ t1, and t ¼ t2 > t1 illustrating temporal field
propagation. (b) Wavelength-dependent spectra of field intensity. (c) Spatial absorption
along a slice through the center of a NW. The scale bar indicates the absorption per unit
volume in m3. (See color plate section.)

temporal, spectral, and spatial distributions of field intensity allows us to gain


thorough insight into the absorption process and its dependence on NW array
geometry, which will be discussed below.

31.4.1 Effect of nanowire length


It is expected that arrayed NW solar cells not only possess good efficiency in
collecting photogenerated carriers to electrodes, but they also have enhanced
absorption due to light scattering over the patterned structure. Under visible
white light, an etched NW array appears darker than the unpatterned
reference area, indicating that it absorbs more light. However, that apparently
large absorption includes the absorption from both the NW array and the
substrate underneath, but only photogenerated carriers in the NW array can
contribute to electrical signal. It is thus important to isolate the absorption
inside the NW array from the total absorption of the array and assess its
dependence on the array geometry.
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 833

Figure 31.8 (a) Total absorption (NW þ substrate) of simulated NW arrays compared to
total absorption of an infinitely deep planar structure. (b) Absorption of NW arrays compared
only to absorption of planar bulks with the same thickness. (See color plate section.)

Numerical simulation is performed for silicon NW arrays with spacing of


3 mm and NW diameter of 1.6 mm. The NW lengths are 3, 7, and 10 mm
respectively. Figure 31.8(a) plots the total absorption (1 – R with the notation
in Fig. 31.6) of NW arrays with different lengths, in comparison to the planar
structure. Oscillatory fringes in NW array spectra are due to complex
interference in both vertical and horizontal directions. In reality, a NW array’s
sidewall roughness or random fluctuation in NW diameters and location can
destroy the coherency of scattered light, leading to the absence of the
oscillations in experimental spectra. This is one possible source for the
discrepancy between theory and experiments, but it can be diminished by
averaging out the simulated curves. In Fig. 31.8(a), the absorption values for a
NW array consist of the absorption both in the NW arrays and in the
substrate underneath. As expected, arrayed structures have substantially
larger absorption due to a decrease of reflection caused by light scattering
over patterned surfaces. However, when looking at the contribution of only
the NW arrays (1 – R – T1) in Fig. 31.8(b), the etched arrays do not absorb as
much as do planar slabs with the same thickness. The discrepancy between the
array and the slab decreases as the absorption length increases. This is,
however, not contradictory to the general expectation stated at the beginning
of the section. Considering that the NW arrays contain only 20% of
material volume compared to the planar slabs, absorption enhancement from
light scattering indeed plays a large role. With longer NWs, scattered light
inside the NW array has more chance to bounce back and forth between NWs
and thus gets absorbed more than in shorter NWs. Therefore, it takes a
smaller material volume in NW arrays to achieve the same amount of optical
absorption in thin planar films. The advantage of a small material volume is
834 Chapter 31

the small number of thermally generated carriers, which, in turn reduces its
component of dark current. For the same photocurrent generated from the
same amount of light absorption, the decrease of dark current leads to an
increase of open-circuit voltage in solar cells, as given by
 
nkT Iph
Voc ¼ ln þ1 , ð31:12Þ
q I
where

 
qV
I ¼ I0 exp 1
nkB T
is the bias-dependent dark current of a diffusion or generation–recombina-
tion-dominated photovoltaic device, n is the ideality factor, kB is the
Boltzmann constant, T is temperature, and I0 is related to the number of
minority carriers inside the devices, and this number is proportional to the
material volume where the carriers are generated.

31.4.2 Effect of pitch size


The previous section emphasized the role of light scattering in the absorption
of NW arrays. The question that follows is how one can strengthen the
scattering process in order to obtain better absorption. As is well known in
wave optics, scattering is more pronounced when the feature size approaches
the light’s wavelength. We thus may expect that it will be possible to enhance
scattering by reducing the array’s pitch size (distance between NWs). In order
to evaluate the effect of scattering, we simulated NW arrays with pitch size p
ranging from 1 to 5 mm. The NW length is kept the same at 3 mm, and the
NW diameter is set at half of the pitch size so that the material filling ratio
(NW cross-section area divided by unit cell area) is constant (20%) in all
cases.
As expected, the absorption spectra shown in Fig. 31.9(a) confirm
stronger absorption for smaller pitch, where scattering is expected to be more
pronounced. Enhancement at short wavelengths (l < 500 nm) is more
pronounced because at such wavelengths, the absorption coefficient is
relatively high, the absorption occurs mostly at the surface, and the
absorption magnitude is governed by the surface area at the silicon–air
interfaces, rather than the material volume [Fig. 31.9(b)]. While, as we saw in
Section 31.4.1, for large pitches, the absorption in the NW arrays is not as
high as in a planar film of the same thickness; when the pitch is reduced to
1 mm, the scattering is so strong that the NW array absorption becomes larger
than the reference thin film.
Figure 31.10 shows the absorption profile at l ¼ 587 nm in a 2D slice
through the NW center in three different configurations (p ¼ 1, 3, and 5 mm).
The scale bars confirm once again that absorption occurs much more strongly
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 835

Figure 31.9 (a) With an absorption profile at l ¼ 400 nm, the penetration depth is so
small that the amount of absorption depends on the air–silicon surface area rather than on
the volume of silicon. (b) Absorption spectra of silicon NW arrays with different pitches.
(See color plate section.)

Figure 31.10 Spatial distribution of absorption in a cross-sectional slice through the NW


center (note the increase of absorption magnitude in the scale bar for p ¼ 1 mm). (See color
plate section.)

in a smaller pitch than in a larger pitch. Another important remark drawn from
Fig. 31.10 is that in all three cases the absorption occurs more strongly near the
center of the NWs. This is as is expected because each individual NW acts as a
waveguide for light propagation, making light intensity more concentrated in
the center and, hence, resulting in a stronger absorption at the core.
836 Chapter 31

Figure 31.11 Absorption spectrum of NW arrays with different pitches and diameters. (See
color plate section.)

Observations from Fig. 31.10 raise a question about the degree to which
the outer part of the NW contributes to the total absorption. Figure 31.11
shows the absorption spectra of NW arrays with different diameter-to-pitch
(d/p) ratios for the three pitches p ¼ 1 mm, p ¼ 3 mm, and p ¼ 5 mm. For p ¼
5 mm, the pitch is too large for the scattering effect to become dominant, the
absorption is governed by the absorbing material volume; thus, the larger
diameter has a higher absorption. With p ¼ 3 mm, the effect of the material
filling ratio is still dominant, resulting in the same trends as in p ¼ 5 mm;
however, the absorption is enhanced due to the presence of light scattering.
For p ¼ 1 mm, the scattering becomes so strong that the proportionality
between absorption amplitude and material volume no longer holds. The
scattered light deviates from normal incidence, bouncing back and forth
between NWs and eventually becoming absorbed in the NWs rather than
penetrating the substrate. Also, regardless of the NW diameter, the absorption
is nearly the same.
The contribution of light scattering effects should be carefully considered
while optimizing device performance. Ideally, a smaller pitch is desired to
maximize enhanced absorption due to scattering. And at such a pitch, a small-
diameter NW can still achieve high absorption while exhibiting low dark
current due to smaller material volume. However, if technological limitation
does not allow for small pitch and small diameter, and especially if the
material is not of sufficient quality for the carrier diffusion lengths to be larger
than the NW diameter, the design for p–n NWs will need to be carefully
considered. For example, the core diameter should be small so that the
junction is closer to the core where more carriers are generated from the
absorption process. If permitted, the core material should be of p type, where
the diffusion length of minority electrons is longer than that of holes in the
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 837

n-type region; thus, generated electrons near the core have a higher chance of
diffusing to the junction. In the absence of light scattering at a large pitch,
larger NW diameters will result in stronger absorption at the expense of larger
dark current. Compromises in terms of diameter and pitch will need to be
taken into account for experimental optimizations.
In this work, arrays of cylindrical NWs are utilized for the simplicity and
generality of the simulation; however, trends and interpretations can still be
applied to other experimental configurations that slightly deviate from
cylindrical form. In fact, advances in fabrication techniques can enable NW
geometries that are more beneficial for the absorption process. Conical NWs3
or dual-diameter structures4 have been shown to enhance the absorbance to
above 90%. The key in these works is the reduction of reflectance by
minimizing the top flat areas that most strongly reflect the light. The growth
conducted in this work has resulted in a different NW geometry that can lead
to similar effects. During CVD shell deposition, crystalline spherical bulbs (so-
called match heads) are built up at the top of the NWs due to faceted growth
with different growth rates along different directions [Fig. 31.2(c)]. Not only is
the reflection decreased due to literally no horizontal surface area, but the
match heads also act as microlenses that converge incident light into the NW
structure underneath, thus minimizing unabsorbed light penetrating into the
substrate. Simulation shows that NW arrays with match heads have much
higher absorption than similar arrays without match heads. Further details on

Figure 31.12 Optical photograph of a 6-in wafer with NW arrays fabricated using stepper
photolithography and dry etching. (L ¼ 10 mm, d ¼ 500 nm, p ¼ 1.5 mm.)
838 Chapter 31

match head formation and their effects on optical absorption will be reported
in a future publication.16

31.5 Conclusion and Outlook


Simulation results suggest that the optimal configuration for NW arrays is to
minimize NW spacing (pitch) and diameter. Small NW spacing facilitates
light scattering and thus enhances the absorption, even with short vertical
absorption length. With small spacing, where light scattering is dominant, this
approach does not need much material volume to totally absorb the incident
light. However, in reality, it is still difficult to realize such a configuration with
current technology. Small dimensions present extra challenges for both top-
down core etching and CVD shell growth. One would have to compromise
between the absorption efficiency dictated by array design and the electrical
performance governed by the cleanness and dimensional limitations of the
fabrication process. Using a nonoptimized design (L ¼ 7 mm, d ¼ 1.65 mm,
and p ¼ 5 mm), we have demonstrated a NW solar cell with 60% external
quantum efficiency and 10% power conversion efficiency,16 efficiencies that
are comparable to the record values of efficiencies for NW solar cells at the
time of this writeup.12 It is expected that with further design optimization and
further advances in fabrication to realize the theoretically optimized structure,
the device efficiency should be considerably higher. An efficiency of 15% or
above would have significant implications for the use of this NW approach for
solar cells and would prove the approach to be a viable candidate in the market.
In addition to further pushing top-down etching to achieve small spacing
and small diameters, the bottom-up approach to NW synthesis can be a viable
alternative, considering the pros and cons of both methods for fabricating
small NWs. VLS NWs can be grown with sub-100-nm diameters and do not
suffer from small-spacing effects (on the order of few hundreds of
nanometers), in contrast to those realized through top-down fabrication.
Future improvements in NW verticality may become available with optimized
growth conditions, and even in the nonoptimized case, slanted and touching
NWs should not affect device performance because all NWs are electrically
connected in parallel.
While research in NW solar cells is still at an early stage of demonstrating
the capability of the system, the ultimate goal is to realize size-expandable,
low-cost, high-performance cells. With the current fabrication scheme, the
bottle neck for wafer scaling is the use of e-beam lithography for either mask
formation in the top-down approach, or metal patterns in the bottom-up
approach. However, such drawbacks can be easily overcome with alternative
methods such as nanoimprint19 or nanosphere photolithography,20 or stepper
photolithography. Thus, the present technology, once demonstrated, should
be viable at large-scale production. For a proof of capability, the present
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 839

authors have fabricated NW arrays over a 6-in wafer using stepper


photolithography and dry etching (Fig. 31.12).
An effort to further reduce fabrication cost involves integrating NW solar
cells onto low-cost alternative substrates. Compared to other solar cell
technologies such as bulk silicon solar cells, NWs are more advantageous due
to their arrayed structure where the gap between NWs makes the structure
more flexible and can release stress arising from heterogeneous integration,
especially for integration on flexible substrates. NW solar cells integrated on
low-cost substrates have been shown by using direct CVD synthesis on an
alternative substrate with a buffer layer,6,21 and by embedding the NW array
in a flexible polymer film for transferring to alternative substrates.22,23
Although device performance of solar cells on foreign substrates is still
limited, the large number of approaches to realize such devices and the rapid
progress made in recent years suggest that NW solar cells may very well
compete with existing planar technology.

Acknowledgments
This research was funded in part by the Laboratory Directed Research and
Development program at Los Alamos National Laboratory and by the
Department of Energy EERE (EB2102010). Work was performed in part at
the Center for Integrated Nanotechnologies, a U.S. Department of Energy,
Office of Basic Energy Sciences, user facility at Los Alamos National
Laboratory (Contract DE-AC52-06NA25396).

References
1. B. M. Kayes, H. A. Atwater, and N. S. Lewis, “Comparison of the device
physics principles of planar and radial p–n junction nanorod solar cells,”
J. Appl. Phys. 97(11), 114302–11 (2005).
2. L. Hu and G. Chen, “Analysis of optical absorption in silicon nanowire
arrays for photovoltaic applications,” Nano Lett. 7(11), 3249–3252 (2007).
3. J. Zhu, Z. Yu, G. F. Burkhard, C.-M. Hsu, S. T. Connor, Y. Xu, Q.
Wang, M. McGehee, S. Fan, and Y. Cui, “Optical absorption
enhancement in amorphous silicon nanowire and nanocone arrays,”
Nano Lett. 9(1), 279–282 (2008).
4. Z. Fan, R. Kapadia, P. W. Leu, X. Zhang, Y.-L. Chueh, K. Takei, K. Yu,
A. Jamshidi, A. A. Rathore, D. J. Ruebusch, M. Wu, and A. Javey,
“Ordered arrays of dual-diameter nanopillars for maximized optical
absorption,” Nano Lett. 10(10), 3823–3827 (2010).
5. E. Garnett and P. Yang, “Light trapping in silicon nanowire solar cells,”
Nano Lett. 10(3), 1082–1087 (2010).
840 Chapter 31

6. L. Tsakalakos, J. Balch, J. Fronheiser, B. A. Korevaar, O. Sulima, and J.


Rand, “Silicon nanowire solar cells,” Appl. Phys. Lett. 91(23), 233117
(2007).
7. B. Tian, X. Zheng, T. J. Kempa, Y. Fang, N. Yu, G. Yu, J. Huang, and
C. M. Lieber, “Coaxial silicon nanowires as solar cells and nanoelectronic
power sources,” Nature 449(7164), 885–889 (2007).
8. L. Yu, B. O’Donnell, P.-J. Alet, and P. Roca i Cabarrocas, “All-in-situ
fabrication and characterization of silicon nanowires on TCO/glass
substrates for photovoltaic application,” Solar Energy Mater. Solar Cells
94(11), 1855–1859 (2010).
9. O. Gunawan and S. Guha, “Characteristics of vapor–liquid–solid grown
silicon nanowire solar cells,” Solar Energy Mater. Solar Cells 93(8), 1388–
1393 (2009).
10. M. C. Putnam, S. W. Boettcher, M. D. Kelzenberg, D. B. Turner-Evans,
J. M. Spurgeon, E. L. Warren, R. M. Briggs, N. S. Lewis, and H. A.
Atwater, “Si microwire-array solar cells,” Energy & Environ. Sci. 3(8),
1037–1041 (2010).
11. M. D. Kelzenberg, D. B. Turner-Evans, M. C. Putnam, S. W. Boettcher,
R. M. Briggs, J. Y. Baek, N. S. Lewis, and H. A. Atwater, “High-
performance Si microwire photovoltaics,” Energy & Environ. Sci. 4(3),
866–871 (2011).
12. Y. Lu and A. Lal, “High-efficiency ordered silicon nano-conical-frustum
array solar cells by self-powered parallel electron lithography,” Nano Lett.
10(11), 4651–4656 (2010).
13. M. J. Naughton, K. Kempa, Z. F. Ren, Y. Gao, J. Rybczynski, N.
Argenti, W. Gao, Y. Wang, Y. Peng, J. R. Naughton, G. McMahon, T.
Paudel, Y. C. Lan, M. J. Burns, A. Shepard, M. Clary, C. Ballif, F. J.
Haug, T. Söderström, O. Cubero, and C. Eminian, “Efficient nanocoax-
based solar cells,” physica status solidi–Rapid Res. Lett. 4(7), 181–183
(2010).
14. J.-Y. Jung, Z. Guo, S.-W. Jee, H.-D. Um, K.-T. Park, M. S. Hyun, J. M.
Yang, and J.-H. Lee, “A waferscale Si wire solar cell using radial and bulk
p–n junctions,” Nanotechnol. 21(44), 445303 (2010).
15. S. T. Picraux, J. Yoo, I. H. Campbell, S. A. Dayeh, and D. E. Perea,
“Semiconductor nanowires for solar cells,” in Semiconductor Nanostruc-
tures for Optoelectronic Devices, G.-C. Yi, Ed., Springer, Berlin-
Heidelberg, pp. 297–328 (2012).
16. J. Yoo, S. A. Dayeh, W. Tang, and S. T. Picraux, “Epitaxial growth of
radial Si p-i-n junctions for photovoltaic applications,” Appl. Phys. Lett.
102(9), 093113–5 (2013).
Design of Radial p–i–n Silicon Nanowires for High-Performance Solar Cells 841

17. Lumerical Solutions, Inc., FDTD Solutions, http://www.lumerical.com/.


18. E. D. Palik, Handbook of Optical Constants of Solids, Academic Press,
San Diego (1998).
19. S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Imprint lithography with
25-nm resolution,” Science 272(5258), 85–87 (1996).
20. C. L. Haynes and R. P. Van Duyne, “Nanosphere lithography: A versatile
nanofabrication tool for studies of size-dependent nanoparticle optics,” J.
Phys. Chem. B 105(24), 5599–5611 (2001).
21. V. Sivakov, G. Andrä, A. Gawlik, A. Berger, J. Plentz, F. Falk, and S. H.
Christiansen, “Silicon nanowire-based solar cells on glass: Synthesis,
optical properties, and cell parameters,” Nano Lett. 9(4), 1549–1554
(2009).
22. K. E. Plass, M. A. Filler, J. M. Spurgeon, B. M. Kayes, S. Maldonado,
B. S. Brunschwig, H. A. Atwater, and N. S. Lewis, “Flexible polymer-
embedded Si wire arrays,” Adv. Mater. 21(3), 325–328 (2009).
23. L. V. Jayaraman, M. Ombaba, A. M. Katzenmeyer, and M. S. Islam,
“Transfer of micro/nano-scale pillars and wires on conducting thermo-
plastic composite coated arbitrary substrates,” Proc. SPIE 7683, 768313
(2010) [doi: 10.1117/12.851762].

Binh-Minh Nguyen graduated from the Center for


Quantum Devices, Northwestern University in 2010
and stayed as a Research Assistant Professor until he
joined Los Alamos National Lab as a Director’s
Postdoctoral Fellow (2012). His research interests
include band structure modeling, semiconductor device
physics, epitaxial growth, nanofabrication, and materi-
als and device characterizations. He currently focuses
on exploring transport mechanisms in 1D Si/Ge nanowires, and developing
novel electronic/optoelectronic devices. He has authored six book chapters
and 59 papers with over 1000 citations. He serves as a frequent reviewer for
many journals of IoP, AIP, OSA, and Elsevier. Nguyen was the recipient of
SPIE scholarships in 2009 and 2010, an IEEE Photonics Society 2010
Fellowship, and the ECS’s Edward Weston 2010 Summer Fellowship.
842 Chapter 31

Shadi Dayeh received his Ph.D. degree in electrical


engineering from the University of California San
Diego in 2008. He joined Los Alamos National
Laboratory as a Director Fellow in 2008 and was
promoted to an Oppenheimer Fellow in 2010. In the
Fall of 2012, he joined the faculty of Electrical and
Computer Engineering at the University of California
San Diego. His research work encompasses materials
science and device physics for heterointegrated electronic and optoelectronic
devices and systems and for bio-interface transducer devices.

S. Tom Picraux most recently served as Chief Scientist


of the Center for Integrated Nanotechnologies (CINT)
at Los Alamos National Laboratory, New Mexico, and
currently is Lab Fellow Emeritus. Previously, he has
served as a research scientist, manager, and center
director at Sandia National Laboratories and as
Executive Director for Materials Research and Profes-
sor at Arizona State University. Tom received his B.S.
in electrical engineering from the University of Missouri-Columbia and his
Ph.D. in engineering science & physics from the California Institute of
Technology and studied physics at Cambridge University as a Fulbright
Fellow. He is a past President of the Materials Research Society, past chair of
the Division of Materials Physics of the American Physical Society, and past
chair of the American Association for the Advancement of Science’s
Industrial Science and Technology Section. He is a Fellow of MRS, APS,
AAAS, and Los Alamos National Laboratory, and received the U.S.
Department of Education E.O. Lawrence Award for Materials Research.
He has more than 325 publications, is the author of the book Materials
Analysis by Ion Channeling, has edited 6 books, and has 16 book chapters with
over 7000 citations. His research interests focus on ion-beam modification and
analysis of materials, nanoscale electronic materials, and semiconducting
nanowires.

Biographies for Jinkyoung Yoo, Paul Schuele, and David Evans are not
available.
Chapter 32
Nanostructured Electrode
Interfaces for Energy
Applications
Palash Gangopadhyay, Kaushik Balakrishnan, and Nasser Peyghambarian
College of Optical Sciences, The University of Arizona, Tucson, Arizona, USA

32.1 Introduction
32.2 0D Nanostructured Electrodes
32.3 1D Nanostructured Electrodes
32.4 2D Nanostructures and Nanostructured Electrodes
32.4.1 2D nanomaterials in energy storage
32.4.2 Supercapacitors
32.4.3 Batteries
32.4.4 2D nanostructures for flow based energy harvesting
32.4.5 Fabrication of nanostructured electrodes via nanoimprinting
32.5 3D Nanostructures
32.5.1 3D nanoarchitectures for energy harvesting
32.5.2 Sustainable integrated 3D powering solutions
32.6 Concluding Remarks
Acknowledgment
References

32.1 Introduction
One of the key challenges facing todays’ energy market is to provide highly
efficient, low-cost, and environmentally benign alternative-energy devices in
the near future, including solar1 10 and wind power,11 16 geothermal and
hydroelectric power,17,18 and batteries and supercapcitors.19 23 The impend-
ing exhaustion and the necessity to lower our dependence on fossil fuel, the
desire to develop a more sustainable transportation system, and the demand

843
844 Chapter 32

for a cleaner and secure energy future are all pushing the unprecedented
research effort and massive technological innovations that have been
experienced in the last few years.24 Concurrently, global investment initiatives
in renewable energy have seen a rapid boost in recent years, driven by
concerns about climate change, the forecast of an increasing cost of fossil
fuels, and national economic policies to create jobs. Looking forward, global
investment in renewable-energy projects alone will rise from $195 billion in
2010 to $395 billion in 2020 and to $460 billion by 2030. Over the next
20 years this growth will require nearly $7 trillion of new capital.25,26
Reflecting the rising production and investment levels, the installed capacity
of renewable power sources has been projected to climb, reaching 2.5 TW by
2030, a growth of over 800%. Although most of this market is currently
occupied by solar and onshore wind-power units, lithium (Li)-ion batteries
(LIBs), supercapacitors, and their hybrid devices have seen an upsurge in
market share in the recent years, mostly due to the resurgence of electric
vehicles and a renewed push for reducing airborne pollution from vehicles.27
In addition to electric vehicles, LIB and supercapacitors play important roles
in our daily lives by powering numerous portable consumer electronics
including laptops, personal digital assistants, and cell phones.
Given the vast expanse of the recent literature in this field and the
difficulty of addressing them all, this chapter is confined to the scope of our
group’s work on nanostructured electrode interfaces of various dimensions in
alternative-energy generation and storage. Within the last few years, our focus
has been on development of nanostructured electrodes and active materials in
organic bulk heterojunction (BHJ) solar cells, LIBs and ZnO-based energy-
harvesting devices. Nanostructured electrode interfaces, in particular, offer
unique combinations of properties in addition to the possible tunability of
their optical and electrical properties in a range of devices. However, the
design elements and fabrication processes that need to be addressed in each of
these devices are very different. In organic BHJ solar cells, the most common
donor–acceptor systems used are regioregular poly(3-hexyl)thiophene as
active donor and C60 or any of its derivatives as acceptor in a randomly mixed
nanophase. The photogenerated bound exciton is split into holes and electrons
at the donor–acceptor interface; the majority of holes transport through the
donor phase to an indium-tin-oxide electrode, whereas the electrons hop
through the acceptor phase to an aluminum electrode. In this case,
nanostructured electrodes are built to enhance absorption over a broad
spectrum of light as well as to be more efficient in charge collection from the
low-mobility polymeric semiconducting materials. A random walk model
suggests that it is essential to use a periodic and ordered electrode to improve
charge collection efficiency,28 whereas an aperiodic active material is favored
for most efficient absorption and superior antireflection properties.29,30 Such a
seemingly impossible combination, even if fabricated, runs the risk of
Nanostructured Electrode Interfaces for Energy Applications 845

imbalanced carrier transport where the effective hole mobility is far lower
than that of the electrons.31 Optimization of the nanostructured electrodes for
organic photovoltaic applications thereby must address the optical fields and
resulting photogeneration within the active material, the most efficient
pathway for maximum charge collection, and a possible hierarchical periodic–
aperiodic structure. Figure 32.1(a) presents a schematic of the working
principles of an electrode in an organic BHJ solar cell.
The design elements for the electrode of a purely electrical or
electrochemical device32 are very different from those of a solar cell.
A typical LIB is made up of a carbon–graphite electrode as an anode, a
nonaqueous liquid electrolyte, and a positive layered LiCoO2 electrode as
cathode. On charging, lithium ions are extracted from the layered LiCoO2
intercalation host (commonly carbon), and are transported through the
electrolyte and intercalate between the graphite layers in the anode. Opposite
electrochemical reactions occur during the discharging process, and the
electrons pass through the external circuit. Supercapacitors are capacitors

Figure 32.1 (a) Structure and working mechanism (top) and energy band diagram (bottom)
in a BHJ cell, where Jex is exciton diffusion flux, Jrec is recombination current, Jdark is dark
current, and Jph is the photocurrent. (b) Schematics of the electric double-layer structure
showing the arrangement of solvated anions and cations near the electrode–electrolyte
interface in the Gouy–Chapman–Stern model (top). The graph (bottom) represents the
potential across the electrical double layer. (See color plate section.)
846 Chapter 32

with liquid electrolyte instead of a dielectric layer and can withstand


extraordinarily high energy density. Most supercapacitors store their charges
in the electrochemical double layer that forms between the two electrodes and
the electrolyte upon charging. Therefore, these devices use electrodes with
high-surface-area nanostructured electrodes. Figure 32.1(b) shows a tradi-
tional Gouy–Chapman–Stern model, where the electrical double layer is
considered as a combination of two separate layers: (1) a first strongly
immobilized Stern layer (or Helmholtz layer), referring to the compact layer
of immobile ions strongly adsorbed to the electrode surface, and (2) the diffuse
layer where the ions are mobile and the Gouy–Chapman model applies.33 It
has been shown that in supercapacitors, electric-field-dependent permittivity
of the nanostructured electrodes significantly influences and alters capaci-
tance, whereas the readily available large surface area improves kinetic
performances.33,34 On the other hand, energy-harvesting devices can convert
ambient energy into electrical energy and have applications in both the
military and commercial sectors. Some systems convert motion, such as that
of ocean waves, into electricity to be used by oceanographic monitoring
sensors for autonomous operation. Applications include wearable electronics
with motion harvesters, mobile computers, and radio communication
equipment, among others.35 40
For future commercially viable applications, these devices need to be able
to achieve extraordinarily high solar-conversion efficiency, high energy
densities at high rate capability, and faster charging rate in the batteries than
is now available.41,42 These parameters will necessitate revolutionary
advances for these devices, such as using innovative electrodes with controlled
architecture and tunable optical and electrical properties. This chapter reviews
some of our recent work in the field of nanostructured metal and metal-oxide
electrodes for solar cells, nanostructured carbon electrodes for LIB applica-
tions, and graphene-based composite electrodes for supercapacitors. The
nanostructured electrodes are discussed based on their structural complexity
and applications: 0D nanoparticle-modified electrodes for solar cell applica-
tions, 1D ordered nanostructured plasmonic electrodes for solar cells and
optoelectronic devices, 2D graphene-based composite electrodes for super-
capacitors and core–shell hybrid nanopillar electrodes for solar cell
applications, and 3D ZnO electrodes for energy-harvesting applications and
mesoporous nanostructured carbon–silicon composites for LIB applications.
The advantages and disadvantages of using the nanoscale in electrode design
and electrode interfaces for these devices are also highlighted.
By geometric definition, dimensions in nanostructures are defined as the
number of sides that are larger than the others; a spherical particle is of zero
dimension, a nanotube/nanorod is 1D, whereas a sheet, such as graphene, is
2D. As the shape, or morphology, of nanostructures plays an important role
in their properties, it is essential that we classify nanostructures based on their
Nanostructured Electrode Interfaces for Energy Applications 847

number of dimensions (see Fig. 32.2). Being electrodes, these structures can
also be classified based on the number of preferred directions for electron
conduction/transport. The structures listed in Fig. 32.2 fall under the same
dimensional classification by either; however, there may be some exceptions.
For example, an optical hex grating with nanoholes or pillars is geometrically
1D but is 2D considering the directional diffraction properties.

Figure 32.2 Different nanostructured electrode materials based on dimensionality. The


structures discussed and reviewed in this chapter are (a) plasmonic nanoparticle modified
ITO electrodes (0D) for BHJ solar cell and (b) core–shell hybrid metal–metal-oxide
electrodes (2D) for organic optoelectronic device applications, (c) graphene-based
composite electrodes (2D) for supercapacitors, (d) cellulose–ZnO composite nanoforest
for energy-harvesting applications (3D), and (e) nanostructured graphite–Si composite 3D
electrodes for LIB applications (reprinted from Ref. 32 with permission of The Royal Society
of Chemistry). (See color plate section.)
848 Chapter 32

0D nanomaterials. Analogous to the number 0, any spherically shaped


nanoparticle, be it a pure nanoparticle, a core–shell, a composite, or an
encapsulated nanoparticle, is always a 0D structure. We have classified
nanoparticle-coated ITO electrodes as 0D, as the self-assembled particles
covers <50% of the electrode surface and are largely noninteracting.
1D nanomaterials. Materials with one dimension in the nanometer scale are
typically nanotubes, nanorods, nanowires, and nanostructures with high
aspect ratio. Thin films or surface coatings such as antireflection and hard
coatings on eyeglasses can also be classified as 1D.
2D nanomaterials. 2D nanomaterials have two dimensions that are larger
than the third in the nanometer scale. These include graphene sheets,
stacked graphene composite electrodes, hybrid core–shell nanopillar
arrays, nanobelts, nanoplates, etc.
3D nanomaterials. Materials that are nanoscale in all three dimensions are
considered to be 3D nanomaterials. These include thin films fabricated
under conditions that generate atomic-scale or hierarchical porosity,
colloids, and free nanoparticles in porous composites with various
morphologies.

32.2 0D Nanostructured Electrodes


The ever-increasing demand for inexpensive renewable energy is the driving
force behind new approaches for the development of low-cost organic
photovoltaic (OPV) devices. OPVs also possess many advantageous properties
such as light weight, low processing temperature, mechanical flexibility, and
ease of fabrication.43 46 However, state-of-the-art OPVs still cannot compete
against their silicon counterparts because of their relatively low conversion
efficiency. The basic layout of an OPV consists of an active material
sandwiched between two electrodes, as shown in Fig. 32.3(a). When
illuminated with light, photons are absorbed inside the active layer, generating
pairs of charge carriers (excitons). To be an effective power source, these
photogenerated excitons need to be dissociated into electrons and holes,
followed by charge collection at the electrodes. This must occur within the
lifetime of the excitons to avoid recombination of the electrons and holes.47
The active layer in organic solar cells is typically chosen to be around 100–300
nm because this results in practically complete light absorption in the spectral
region near the solar maximum at 530 nm, but performance is then hampered
by the short exciton diffusion lengths and poor charge transport in organic
materials, resulting in poor charge collection. To improve the performance of
OPV devices, a great deal of effort has gone into ameliorating these material
or device drawbacks by implementing a number of modifications, designs,
and improvements in structure, material, photon absorption, carrier injection,
Nanostructured Electrode Interfaces for Energy Applications 849

transport, and thickness.48 One of the primary issues being tested to enhance
OPV performance is to enhance absorption of photons in relatively thinner
OPV active material by efficient photon harvesting. One possible solution for
capturing the light more efficiently within an ultrathin active region is the
application of plasmonic nanoparticles (PNPs) and exploitation of localized
surface plasmon resonance (SPR).49 PNPs enhance the absorption of solar
radiation by near-field enhancement as well as by increasing the forward-
scattering cross section. Moreover, since the optical absorption spectra of
PNPs depend on their size, by tuning the size of nanoparticles (NPs), large
absorption can be achieved in different parts of the solar spectrum.50
We have devised a solar cell structure that takes advantage of e-field
enhancement from 50-nm diameter gold nanoparticles (AuNPs).51 54 The
conversion efficiency of OPVs can be broken into three factors: photon
absorption efficiency (PAE), exciton dissociation efficiency (EDE), and
charge collection efficiency (CCE). By incorporating the AuNPs, both PAE
and EDE improve, while CCE is improved by using a thinner active layer.
A 65% increase in total absorption was achieved using AuNPs. Finite-difference
time-domain (FDTD) simulations show an enhancement factor of 120 in the
e-field intensity in immediate proximity to the AuNPs at their resonant
frequency, as shown in Fig. 32.3(b). The large e-field around the particles

Figure 32.3 (a) Traditional BHJ OPV with an AuNP-facilitated 0D nanostructured


electrode. (b) e-field intensity enhancement around the cross section of an AuNP at
resonance. (c) SEM image of an AuNP-coated ITO electrode. [(a), (c), and (d) reprinted from
Ref. 54.] (See color plate section.)
850 Chapter 32

increases the probability of excitons dissociating into electrons and holes, in


turn, increasing EDE. As shown in Fig. 32.3(b), the enhanced e-field around
the 50-nm-diameter AuNPs is localized to the surface and does not extend
beyond 15 nm. Armed with this key observation and considering that the
exciton generation and dissociation occur within the photoactive layer, we
have confined this e-field enhancement inside the active layer by choosing the
appropriate thickness of the buffer layer (PEDOT:PSS). To incorporate the
PNPs into OPVs, we have developed a solution-processed method that is low
cost and amenable to scaleup. We used silanized indium-tin-oxide (ITO)
electrodes to self-assemble PNPs and showed that by employing an atypical
silane, N’-(3-trimethoxysilylpropyl)diethylenetriamine (DETA), we not only
achieve uniform coating of the NPs on the ITO surface with virtually no
aggregation compared to conventional methods, but also can adjust the
surface coverage at will. The scanning electron microscope (SEM) image in
Fig. 32.3(c) shows a representative uniformly AuNP-coated ITO 0D
nanostructured electrode (NSE). More recent studies have confirmed our
observation, as well as the advantages of using 0D NSEs in various OPV
designs.55,56 The advantage of this method is in its practical simplicity, strong
attachment of AuNPs to ITO, and uniform surface coverage tunable
between 5 and 50%. Since the PNP-modified ITO electrodes are solution
processed, the fabrication technique is compatible with large-area, low-cost,
roll-to-roll processing. Comparing the performance of 50-nm-active-layer
plasmonic solar cells with 120-nm-active-layer devices without AuNPs
(“standard”), the short-circuit current of the devices is enhanced by at least
30%.51 Therefore, OPVs with improved efficiency can be achieved by the
appropriate use of AuNPs inside their structures and as 0D NSEs.
Furthermore, the method for incorporation of AuNPs can be used in other
optical-resonance-based fields, such as in nonlinear photonics, where large
e-field enhancements are desired to allow the use of low-power lasers for
optical switching applications. These 0D NSEs are also a perfect example of
random walk systems from the perspective of various optical and electronic
scattering processes.

32.3 1D Nanostructured Electrodes


1D nanostructures can be considered seminal in achieving the current trends
in the advancement of nanomaterials and nanotechnology. The synthetic
advances of various forms of 1D nanostructures such as carbon nanotubes
(CNTs), and metallic and metal-oxide nanowires and tubes have been critical
in energy-related applications impacting energy harvesting from solar cells
(with Si-coaxial structures)57 and ZnO nanowires for harvesting energy from
the ambient.58 CNTs have also been critical in advancing energy storage
device applications.59 The rich literature reported in the past decade based on
Nanostructured Electrode Interfaces for Energy Applications 851

1D nanostructures underscores important factors in nanomaterials and


technology approaches for energy-related research. Given the vast expanse
of examples, we summarize some of the critical and relevant advances that
will be important for future goals. Much of the current trend in 1D
nanostructures and electrodes involves strategic integration favoring 3D
architectures for improving the performance metrics, as will be further
discussed below.
A notable effort toward understanding the fundamental limits of
performance relies on implementing a single-nanowire battery.60 Using a
template approach, Gowada et al. have fabricated a single-nanowire battery
made up of an Ni-Sn nanowire (anode) and a polyaniline (cathode)
encapsulated in conformal coating of polymer electrolyte.60 An integrated
array of this device shows a discharge capacity of 3 mAhcm 2. A detailed
understanding of these limits is critical for the next generation of such devices,
whereby optimizing the components and scaling dimensions and sizes will be
important for optimization of performance. Furthermore, these template-
based 1D nanostructures can also be integrated into 3D architectures for
scaling the performance. Similarly, fundamental limits of performance in solar
cells have been evaluated in coaxial silicon nanowires.57 The vapor–liquid–
solid (VLS) method allows for controlled growth of high quality single-
crystalline structures. Using this approach, core–shell structures of p–i–n
coaxial nanowires of Si have been achieved that show energy conversion
efficiencies of up to 3.4%. Wang and coworkers have demonstrated the
utilization of ZnO nanowires for piezoelectric energy harvesting by exciting
the ZnO nanowires with ultrasonic waves.61 The resulting current and voltage
as well as the concomitant power output from the first-generation
nanogenerator devices were relatively low. Nevertheless, they provided a
unique platform for exploring and exploiting these material systems for energy
harvesting from ambient sources, such as vibrations and mechanical motion.
The refinements and adaptions from this early work now allows for achieving
high voltages62,63 (up to 209 V)64 from nanogenerators based by strategic
integration. Using combinations of nanogenerators, the harvested power can
be used for powering sensors,65 displays,64,66 and wireless devices,67 for
performing electrochemical reactions,68 and for charging batteries.69 Further-
more, the concepts have now been incorporated into piezotronic electron-
ics.70 72 CNTs have been used as electrode materials in both supercapacitors
and batteries in a thin, flexible format, making them viable in a range of
applications.73 Numerous strategies and combinations have been tested for
CNTs in energy storage applications.59 The efforts described here are critical,
and interested readers are guided to literature reviews for the full scope and
potential of 1D nanostructures for energy harvesting and storage applications.
Recently, we reported on the fabrication of a wide range of 1D NSEs with
tunable optical and electronic properties.74,75 A key candidate among them,
852 Chapter 32

Ag NSEs with an array of nanopillars, showed a >6  increase in


transparency compared to a planar Ag film of similar thickness within the
wavelength range of 300–500 nm (see Fig. 32.4). The dominant mechanism
behind the enhanced transmission in Ag NSEs is most likely the excitation of
standing surface plasmon polariton (SPP) Bloch waves on the periodically
nanostructured interfaces of the Ag film. Since the SPPs of the nanostructured
metal interfaces are strongly coupled to each other and to free space, they
appear to be primarily responsible for the observed extraordinary optical
transmission.76 A key fact is that this tendency is known to be associated with
the periodicity of the nanostructure,77 and one may optimize the periodicity to
achieve maximum transparency. These NSEs also show strong plasmonic
responses both in the visible and near-infrared region. Our FDTD simulations
indicate that the strong absorption in the near-infrared region is due to the
plasmon resonance along the height of the pillars and can be tuned by
changing the height, with increased height shifting the resonance toward
longer wavelengths. Interpillar interactions, periodicity, and diameter
influence the lower-wavelength SPP resonance; several Ag and Au
nanostructures with varied diameter and pitch have been fabricated to
confirm the generality of this observation. The Ag NSE shown in Fig. 32.4
has a 13  larger surface area than its planar counterpart (<0.5 V/sq) with a
resistivity of <2 V/sq); the blueish area in the Fig. 32.4 inset photograph of
the Ag NSE sample is a 1 cm  1 cm nanostructured area. These electrodes
show promise for potential photonic and energy applications, e.g., surface-
enhanced Raman sensors, organic inverse BHJ cells, OLEDs, etc. The
combined effects of SPP-induced absorption and field enhancement, photon

Figure 32.4 (a) SEM micrograph of a silver NSE with 47-nm silver layer coated on top of
polyacrylonitrile (PAN) nanostructures. The Ag nanopillars have a diameter of 152 6.2 nm,
height of 360 10 nm, and a center-to-center pitch of 200 7 nm. (b) Comparison of absorption
spectra between nanostructured and planar regions in an Ag electrode; the inset shows a
photograph of the sample (reprinted from Ref. 74 with permission). (See color plate section.)
Nanostructured Electrode Interfaces for Energy Applications 853

concentration from broadband scattering in these subwavelength periodic


structures, and access to a large metal electrode interface area within the
photoactive organic materials’ exciton diffusion length provide for selective
optimization of photovoltaic performance based on nanostructure.

32.4 2D Nanostructures and Nanostructured Electrodes


The isolation of single-layer graphene from bulk graphite by mechanical
exfoliation using a scotch tape and the subsequent exploration of the rich
electrical, photochemical, electrochemical, and mechanical properties from
the individual nanostructures has provided valuable insight into the
applicability of these new materials in a host of energy-related applica-
tions.78,79 For a long time, 2D materials were thought to be thermodynami-
cally unstable and hence were nonexistent.78 The rapid advances in the
synthetic realization of graphene has now rapidly advanced to other 2D
nanomaterials and can be directly attributed to establishing a new niche field
based on a variety of 2D nanomaterials and to widening the scope of
nanomaterials and technology.79,80 The rapid advances in the 2D nano-
materials can be directly traced to the expansive advances with fullerenes
(0D) and CNTs (1D) that have spurred the development of a wide range of
nanomaterials and interesting energy storage and harvesting applications. The
library of 2D nanostructures is growing at a significant pace.80,81 Already, 2D
nanomaterials with a range of properties have been explored. A more
interesting point to be noted is the integration of 2D nanomaterials with other
nanomaterials, leading to interesting nanocomposites that possess unique
properties or enable efficient utilization of the properties.
The advantageous combinations of a variety of 2D nanomaterials with
other nanomaterials will be critical for achieving multifunctional nanocom-
posites. For example, 2D graphene and graphene-oxide nanostructures have
been integrated with a host of metallic and magnetic nanostructures to benefit
from electrical, magnetic, and optical properties of the added nanostructures
(such as Au nanoparticles, and iron-oxide nanoparticles).82,83 These
approaches allow for the integration of and improvement in plasmonic
properties for use in either sensing or energy-harvesting applications. A unique
combination worthy of discussion here is the controlled synthesis of graphene
on a 2D hexagonal boron nitride (h-BN) dielectric that offers higher electron
mobility (>100,000 cm2V 1s 1) in comparison to the graphene field-effect
transistors (FETs) on other substrates.84,85 This subtle interplay of the
electronic states of graphene and h-BN shows that the combinatorial strategies
are critical for achieving the predicted theoretical limits and performance
metrics for various applications.
From an energy-storage viewpoint, the discussion is further continued
below. The mobility of single-layer, bilayer, and trilayer graphene has been
854 Chapter 32

attractive in the application of new materials for the electronics industry. The
semi-metal properties of graphene have been somewhat of a challenge in
controlling the FET operation (namely, speed of switching, i.e., on/off ratio)
despite impressive charge transport characteristics, and this challenge is
currently being addressed. Similarly, MoS2 2D nanosheets have been explored
for use in FETs; already, impressive performance metrics with high switching
speeds and charge carrier mobilities >200 cm2V 1s 1 have been achieved
and, with new advances, will immensely expand the scope of applications in
the electronics industry.86,87 The planar integration efforts of the 2D
nanomaterials are expected to favor ease of integration in comparison to
the 1D nanostructures such as carbon nanotubes, Si nanowires, etc., while
h-BN and other ceramics and chalcogenide-based 2D nanomaterials are being
explored for use as dielectric layers for electronics and energy applications.80
Perhaps the likely widespread applications of the 2D nanomaterials, particularly
graphene, are anticipated to be in nanocomposite fillers for improving the
mechanical properties. Successful demonstrations from exploratory efforts in
research labs have also paved the way for rapid commercialization of 2D
nanomaterials. Recent market estimates indicate that graphene-related products
will be worth $100 million in the next five years, with the largest impact from
electrode materials in energy-storage applications.88 This impact is largely due to
the combination of favorable properties of graphene and related materials. The
key characteristics for the use of graphene as active electrodes in energy-storage
applications are discussed below, with regards to the use in supercapacitors, LIBs,
and solar cells.

32.4.1 2D nanomaterials in energy storage


Graphene has been widely employed as electrodes in energy storage owing to
its characteristic properties, such as good electrical conductivity, large surface
area, light weight, processability, and compatibility with other device
components.79,89 90 Perhaps the most important reason for the success and
advances of graphene and graphene-based materials in energy-storage
applications has been the advances in the wide range of synthetic methods for
the realization of various qualities of graphene. The initial strategies for
graphene relied on mechanical exfoliation using scotch tape.78 Although this
method produces the highest-quality single-layer graphene, the yield of the
process is low, and the method in itself is cumbersome. The chemical vapor
deposition (CVD) of graphene from carbon precursors has also been an
attractive strategy for achieving high-quality improved yields of graphene.91
This method is now most commonly employed for achieving high-grade
graphene films for a range of electronics and energy applications: applications
that demand high-quality graphene. The graphene films produced using
this method has also been deemed critical for the development and advancement
of high-performance conductive transparent electrodes to replace ITO.92
Nanostructured Electrode Interfaces for Energy Applications 855

A comparison of various methods used for production of conductive thin


transparent graphene films indicates that it will be challenging for graphene by
itself to surpass the stringent limits set by ITO.92,93 However, it has been noted that
doping of graphene and integration with silver nanostructures are two methods
that are suitable for achieving the high metrics necessary for the transparent
conducting electrodes. A major limitation of the CVD method is that the growth
can be performed only on metallic substrates such as Cu and Ni, and requires
transfer methods to be employed in most of the applications. The use of chemicals
during the wet transfer leads to introduction of defects on the graphene.
Most recently, liquid exfoliation of graphene from bulk graphite has
attracted much attention for producing large quantities of graphene.94 The most
favorable solvent for liquid exfoliation of graphene is 1-methyl-2-pyrrolidinone
(NMP).95 The approach uses the strong interaction of the graphene sheets with
NMP solvent that, in turn, allows for stable dispersions of graphene, similar to
the production of stable dispersions of CNTs in NMP. Although reasonable
yields have been recently achieved, the use of NMP leads to challenges because
of its high boiling point and toxicity. To alleviate issues associated with NMP,
small molecules are wedged into graphite during the sonification process usually
performed in either water or alcohol to promote the exfoliating of graphene.96
Also, surfactants are commonly employed to achieve stable dispersions of
graphene in solvents such as water, making the process more sustainable.96
A major drawback is the removal of small molecules or surfactant wedged into
the graphene layers. Another approach widely employed is the chemical and
thermal reduction of graphene oxide (GO), prepared by oxidation of graphite
and subsequent exfoliation.97 Although the quality of this graphene is not as
high as that obtained by other methods, this method is the most widely
researched approach to yield graphene films, and both GO and reduced
graphene oxide (rGO) have been explored for many applications. It must be
noted here that graphene prepared from all of the methods mentioned above
have been used as electrode materials in energy-storage devices such as
supercapacitors and LIBs. It remains to be seen which method or methods will
ultimately be more viable from a commercial viewpoint for mass manufacturing
of electrodes for energy storage and harvesting applications.

32.4.2 Supercapacitors
Activated carbon and carbon nanostructures such as CNTs are well known for
their use in electrical double-layer capacitors (EDLCs), owing to their unique
combination of pore sizes, electrical conductivities, and compatibility with a
range of electrolytes.98 The wide range of pore size distribution in carbon
nanostructures allows for maximizing the percolation of electrolyte ions within
the electrodes, leading to efficient formation of electrical double layers.
Graphene, in particular, is deemed critical for the efficient use of the available
surface area because of the ability to use both the basal and edge planes for
856 Chapter 32

the formation of the electrical double layer over the large surface area
(2600 m2 g 1).99 To this end, graphene obtained from the various methods
described above has been directly employed for the fabrication of super-
capacitors.99 101 Also, a wide range of electrolytes [aqueous, organic, room-
temperature ionic liquid (RTIL), polymer gel] have been demonstrated to be
suitable for fabricating double-layer capacitors.99,101,102 Additionally, combi-
nations of graphene with other nanomaterials (nanocomposites) have been
studied for the fabrication of redox supercapacitors (pseudo-capacitors).103
Given this vast range of exploration, we summarize some of the key metrics
achieved with graphene-based supercapacitors. The performance from
graphene devices has set record performances in terms of specific capacitance
(100–250 Fg 1),100,101 energy density (85–136 Whkg 1),104 and power
density (10 kWkg 1).99
While a majority of the supercapacitor devices have been carried out in a
stacked geometry, Yoo et al. demonstrated a planar approach that maximizes
the utilization of the available electrochemical surface area.98 The major
advantage of the in-plane geometry is the favorable movement of the ions
across the electrolyte because the applied field is in the same direction as the
high conductivity of the graphene. In this approach, both pristine graphene
and rGO films are tested and show higher capacities (three times) than the
stacked design. The concept of in-plane supercapacitors and relevant details
are illustrated in Fig. 32.5. CVD-grown pristine graphene shows areal specific

Figure 32.5 The concept and comparison of (a) stacked and (b) in-plane graphene-based
supercapacitors. The in-plane geometry is more favorable for movement of ions (upon
application of potential) because of the high in-plane conductivities and the direction of the
electric field. (c) A solid state in-plane graphene supercapacitor with a polymer-gel electrolyte.
(d) These planar graphene supercapacitors can also be fabricated in flexible geometries. Both
(e) pristine graphene grown by CVD and (f) and (g) rGO films of 10-nm thickness were
tested for their characteristic performance using in-plane and stacked geometry (reprinted
from Ref. 98 with permission; © 2011, American Chemical Society). (See color plate section.)
Nanostructured Electrode Interfaces for Energy Applications 857

capacitance of approximately 80 mFcm 2, while multilayer rGO films can


have up to 394 mFcm 2. These methods have recently been extended to
fabrication of high-performance micro-supercapacitors by laser scribing
patterns on graphene oxide.105 Also, planar device architectures have been
extended to other 2D electrode materials such as VS2 with very high specific
capacitance of 4.76 mFcm 2 and stable responses.106

32.4.3 Batteries
Graphite has been a popular choice for anodes in LIBs because of its high
electrical conductivities and its ability to intercalate and release lithium ions
(upon charging and discharging).107 Carbon nanostructures are therefore
immensely useful in battery applications, particularly as anodes.108,109 To this
end, graphene and doped-graphene structures have been widely employed as
anodes in LIB devices and show high performance.90,108,110 Graphene-based
batteries show high performance because of the dramatically increased surface
area, which is a crucial factor in LIBs. Additionally, graphene and other
carbon nanostructures have been routinely employed as conductive fillers for
cathode materials.111 Traditionally used cathodes in LIBs are often oxides
that suffer from poor electrical conductivities. Thus, graphene, given its 2D
sheet-like nature allows for improvement in conductivity by acting as a filler.
Recently, porous 3D architectures of 2D graphene sheets used as anodes in
LIBs have also shown high-performance metrics.109 Graphene is likely poised
to make a significant impact in LIB applications, given the numerous
combinations in which it can be employed (e.g., as anodes and as conductive
fillers in cathodes) and currently remains an active field of research. The
advancement of new strategies and architectures being developed for
graphene-based electrodes will be critical for next-generation LIBs.

32.4.4 2D nanostructures for flow-based energy harvesting


The generation of voltage from polar liquids flowing over CNTs is another
interesting approach to energy harvesting.112 The coupling of ions with the
free charge carriers on the surface of CNTs allows for harnessing a few
millivolts. Recently, Diman et al. extended this approach to controlling the
energy harvested by ions flowing over a graphene surface.113 Using various
concentrations of HCl on a small piece of graphene (high quality, CVD) areal
power output of 175 Wm 2 could be achieved. It was determined that the
drift velocity due to the adsorbed Cl on the graphene surface is the primary
reason for power generation. Although a complete understanding of this
process is still underway and poses new challenges,114 the flow-based
approach may be feasible in certain niche energy-harvesting applications.
Much more work is needed before such paradigms are realized in real-time
applications.
858 Chapter 32

32.4.5 Fabrication of nanostructured electrodes via nanoimprinting


Metal and metal-oxide electrodes play a significant role in many state-of-the art
high-tech applications including photonics,115 membranes,116 biological sup-
ports,117,118 sensing,119 121 electrochromics,122 and in various green technologies,
such as photocatalysis,123,124 LIBs,125 and photovoltaics.126,127 Researchers in all
of these fields are aiming to create 1D nanoscale metal and metal-oxide electrode
structures that provide high surface area, tunability of the electrode–organic
interfaces, and low tortuosity for improved electron–hole transport character-
istics.128,129 Tailored nanoscale active layer interfaces enable optimization of
exciton generation and dissociation along with selective charge transport, while
nanoscale electrodes can assist in charge collection for electron- and hole-rich
regions.130 In addition, increased surface area within the nanostructured
electrodes allows easy access to analytes, as well as direct paths for charge
collection and electrical transport—key aspects for many electrochemical
applications. Further advantages include short radial diffusion distances and
increased mechanical stability that are of interest for lithium insertion into anodes
for high-performance batteries.131,132 In photocatalytic, photoelectrolysis, and
photovoltaic applications, including nanostructured organic solar cells,133 these
structures can provide a means to increase efficiency in transport-limited systems
by allowing radial transport to occur perpendicular to the direction of the incident
light as well as from the increase in surface area.134,135 To date, well-aligned
vertical arrays of 1D nanostructures (nanowire,136 nanorod,137 nanotube,138 and
nanobelt139) have been reported as novel and promising architectures for a wide
spectrum of practical implementations because of their superior geometric
characteristics140 in comparison to bulk materials and powder mixtures.141
Over the last decade, a variety of methods have been reported for
fabrication of 1D nanostructured metal and metal oxides.141 151 Along with
the oblique-incidence deposition technique142 and traditional photolithogra-
phy,143 bottom-up approaches such as solution phase and hydrothermal
growth of crystalline rods,144,145 oxidation146 or anodization of metal foils,147
and vapor phase growth148,149 of titania nanostructures have been reported.
Patterning of oxides with sub-500-nm structures has been demonstrated using
PDMS- and perfluoropolyether-based soft lithography.150 Recently, a transfer
molding approach for the fabrication of large-area nanostructured oxides
including TiO2, SnO2, and organosilicates has also been reported.151 In
contrast to these strategies, creation of various metal and transparent
conducting oxide (TCO) nanopatterns using a high-aspect-ratio, thermally
stable, polymer nanostructure as a common scaffold holds a number of
inherent advantages. A modified imprinting process is used to fabricate
thermoplastic nanostructures that can be further coated with a wide variety of
metal and metal oxides using traditional deposition techniques. This process
allows easy integration with the device and TCO manufacturing methods,
Nanostructured Electrode Interfaces for Energy Applications 859

lower operating and start-up materials cost, and the potential for high-
throughput manufacturing including possible roll-to-roll production. The
dimensions and distribution of the patterns in the NSEs are easily tuned by
simply varying the deposited metal or metal-oxide layer thickness, which in
turn allows a greater control over the optical and electrical properties of the
NSEs. An important milestone in this area of research is demonstration of a
suitable approach that allows production of high-aspect-ratio NSEs with
tunable optical and electrical properties with features below 100 nm and
covering device-relevant areas of a few square centimeters.
Interest in patterning polymer-based nanodevices and creating sub-100-
nm metal and TCO-based NSEs has led us to modify traditional imprint-
lithography techniques to enable the creation of an array of sub-30-nm-
diameter polymer nanostructures.152 We have created a thermally stable,
optically transparent, large-area, nanostructured scaffold that can be used for
fabrication of various metal and metal-oxide electrodes of interest via
traditional thermal, sputtering, e-beam, and CVD routes. In this approach, a
hard e-beam-lithographed Si or SiC master is used to directly imprint a desired
large-area nanopattern onto PAN film. The composite film is then cured at
150 8C to complete the production of nanostructured PAN films. Silver and
TCOs (such as indium tin oxide and indium zinc oxide) are then coated with
different thicknesses onto the PAN structure. A conformal coating of Ag and
TCOs is then obtained to create the representative NSEs reported here. The
mold used and the printed PAN structures are shown in Fig. 32.6. The molding

Figure 32.6 (a) Schematic presentation of 1D and 2D nanostructured electrode


fabrication. (b) SEM micrographs and dimensions of mold, imprinted nanostructured PAN,
Ag, IZO, and ITO arrays (reprinted from Ref. 74 with permission).
860 Chapter 32

Figure 32.7 (a) Schematic of a high-aspect-ratio TCO nanostructure with a PAN core,
(b) optical bandgaps of ITO and IZO 2D electrodes, and (c) SEM images of an ITO electrode
(reprinted from Ref. 74 with permission). (See color plate section.)

process takes advantage of the antiwetting behavior of PAN on the


nanostructured master, enabling an imprinting technique that works with little
or no applied pressure. Low-pressure printing helps to preserve the hard master
for fabrication of hundreds of structures without defects, making this process
quite cost effective. Whereas Ag NSEs have very small aspect ratio (1) and
behave very much like 1D structures, the native TCO structures with large
aspect ratio (10) and hybrid multilayer TCO structures with multiple
intermittent Ag and ITO, or ITO and IZO, layers along with a thicker base
layer of the oxides are 2D in nature. Interestingly, both ITO and IZO NSEs
show an additional optical bandgap with energy ranges lower than the
intrinsic ranges in the bulk electrodes and that reduce with increasing surface
area (Fig. 23.7).

32.5 3D Nanostructures
3D nanostructures offer robust mediums for a range of energy-harvesting
applications. Particularly, these structures offer favorable attributes such as
high surface area and increased compatibility with other nanostructures that
can be selectively or specifically integrated with desired structural character-
istics to enable further improvement and on current performance metrics. The
new nanoimprinting technique developed by the authors and reported in
Section 32.5.5 is advantageous because PAN, being a thermoset polymer and
otherwise unprintable using traditional imprinting techniques, can be printed
into a large range of dimensions. The PAN structure can further be
crosslinked at 150 8C, followed by stabilization at 250 8C. These 2D stabilized
nanostructures can then be carbonized at high temperature (1200 8C) to form
graphitic carbon with a hierarchical porous structure: a 3D high-surface-area
NSE. The authors are currently developing LIBs and supercapcitors using
these 3D porous graphitic carbon structures. The flexible process of the
Nanostructured Electrode Interfaces for Energy Applications 861

nanoimprinting technique also allows us to embed necessary additives into the


carbon structures to further tune the dimensions and properties.

32.5.1 3D nanoarchitectures for energy harvesting


The exploration of energy harvesting from ZnO nanowires has been adapted
to large-scale integrated approaches for achieving high-performance energy-
harvesting devices.58,64,67,69,153 These approaches have also been extended to
other nanomaterials such as lead zirconate titanate (PZT), BaTiO3,
polyvinylidene fluoride (PVDF), and related structures (that possess
noncentrosymmetric crystal structures).154 156 3D nanocomposites of ZnO–
cellulose have been explored for energy harvesting from multiple ambient
sources such as vibration and thermal fluctuations.157 In this approach, first
a seed layer of ZnO is deposited on cellulosic fibers that are the central form
in any paper, followed by a hydrothermal growth in equimolar Zn(NO3)2
and hexamethylenetetramine (HMTA) solution. These growth procedures
allow for realization of uniform 3D nanostructures on the cellulosic fibers.
This growth method can be realized on a range of papers that possess
different porosity and cellulosic microstructures. The schematic illustration
of the envisioned growth of ZnO nanostructures on cellulosic fibers is
illustrated in Fig. 32.8(a), and the SEM morphological investigations are
shown in Figs. 32.8(b)–(d). It is evident that high-quality ZnO

Figure 32.8 (a) Illustration of the 3D ZnO–cellulose-fiber nanocomposite and (b)–(d) the
corresponding morphological SEM images after the growth of ZnO nanostructures on
cellulosic fibers. (e) and (f) A flexible multisource energy-harvesting device based on 3D
ZnO–cellulose nanocomposite. [(a), (e), and (f) reprinted from Ref. 157 with permission;
© 2011 Wiley-VCH Verlag GmbH & Co.].
862 Chapter 32

nanostructures have indeed been formed on the microscopic cellulosic


fibers.157 The paper is a ubiquitous, low-cost, and flexible platform that is
readily adaptable for energy-harvesting applications. Energy harvesting was
performed both by using vibrations from ultrasonic waves and by subjecting
the device to a temperature gradient. One such real-time device is shown in
Figs. 32.8(e) and (f). The single-layer ZnO–cellulose composite piezoelectric
harvesting device has an output power of 10–20 nWcm 2, while the
pyroelectric devices show much better performance of 80–100 nWcm 2
when subjected to a temperature gradient of 80 oC. The device metrics can
be further improved by stacking multiple devices. This multisource
harvesting capability from 3D ZnO–cellulose nanocomposite shows the
strong potential of an integrated approach in energy-harvesting applications.
Exploring unique combinations of energy-harvesting piezoelectric, pyroelec-
tric, and thermal-conversion nanomaterials and devices with appropriate
scaling factors will be vital for commercialization of this technology in a
range of energy-management applications.

32.5.2 Sustainable integrated 3D powering solutions


Self-powered systems involving energy harvesting and storage that can be
readily integrated in mundane or routine human activities are anticipated to
be useful in energy-management applications. Harvesting energy from
nanostructures using piezoelectric, thermoelectric, and pyroelectric mechan-
isms from ambient is well researched.58,61,153,157,158 Also, as previously
noted, significant advances have occurred in energy-storage platforms such
as supercapacitors, batteries, and hybrids. Each of the harvesting and
storage elements can, by itself, be fabricated in either 1D or 3D platforms,
also as described above. But to be seamless in operation, and in order to
provide a uniform platform, a 3D integration of each of the segments is
necessary. This strategy demands effective conversion of the mechanical
energy to electrical energy, which is finally to be stored as chemical energy.
By engineering a nanostructured macroscale platform consisting of a stacked
layer of TiO2 nanotube (anode), PVDF (separator and harvester), and
LiCoO2 (cathode) over the current collectors, Wang and coworkers have
demonstrated a rather usable integrated 3D powering solution.69 In this
platform, the traditional electrolyte separator used in LIBs was replaced by
PVDF, a piezoelectric polymer used in energy-harvesting applications.
Under mechanical stress, the PVDF film converts the mechanical energy
into usable electrical energy and additionally drives the lithium ions across
the electrodes (Fig. 32.9). This ingenious stacked integration strategy can be
used in a hybrid harvesting–storage platform that attaches to the sole of a
shoe, thus creating a powerful powering solution that puts people and
nanomaterials to work.
Nanostructured Electrode Interfaces for Energy Applications 863

Figure 32.9 (a) Schematic illustration of the integrated 3D powering solutions based on the
strategic combination of a piezoelectric polymer and an LIB. This integrated platform
benefits from the adaptation of piezoelectric polymer PVDF instead of the standard
electrolyte separators commonly employed in LIBs. (b) These 3D integrated self-powering
stacks can be attached to the sole of a shoe for battery charging during routine walking and
running. (c) Morphological characterization revealing the individual components of the 3D
self-powered stack. (d) SEM image of the TiO2 nanotubes that act as the anode in the LIB
part of the 3D self-powering stack (reprinted from Ref. 69 with permission; © 2012, American
Chemical Society). (See color plate section.)

32.6 Concluding Remarks


The rapid progress in the synthesis and characterization of dimension-controlled
nanostructures and integrated nanostructures has fueled a major drive to
achieve miniaturized energy conversion, harvesting, and storage devices.
Already, there is significant excitement over the scaling of device performance
864 Chapter 32

as a function of miniaturization, as demonstrated by single-nanowire


batteries.60 On the other hand, the macroscale integration of NSE materials is
also poised to make a critical impact on energy-related applications.54,59,157
Nanostructured architectures with dimension control are therefore anticipated
to play a vital role in both miniaturization and improved performance metrics in
energy-related applications. 0D nanoparticles are anticipated to be favorable
for plasmonic enhancement, leading to strategic management of light in solar
cells, and they act as valuable filler materials in nanocomposites for LIBs as well
as in supercapcitor applications. With strategic integration, 1D nanowires and
nanotubes will lead to a new understanding of miniaturization aspects and will
also play a vital role in a broad range of energy-storage devices. Although they
are a more recent development, 2D nanomaterials and their composites are
already immensely impacting energy-related devices, such as supercapacitors,
batteries, and hybrid storage devices. Furthermore, the ease of integration
of 2D nanomaterials into many of the existing lithographic platforms is also
anticipated to be critical in approaches involving miniaturization and
integration. Integrated 3D nanostructure architectures are perhaps the best
suited for macroscale integration and high-performance energy-storage devices
and are more favorable due to the possibility of tailoring the performance by
integration of the various nanostructures into an integrated platform.
The safety aspects of nanomaterials have also gained significant interest
and should be a critical focus in the global quest for improved energy-storage and
harvesting devices. The combined consideration of safety, performance, and cost
will be vital for the future of sustainable energy. A likely major focus for energy
management in the near future will be to drive low-power devices through
integration with nanogenerators. The existing research efforts in energy
harvesting allow only a limited reasonable amount of power to be delivered
from these devices, and it will be critical to concomitantly match the energy
densities and power densities of both the harvesting and consuming device for
seamless device operations. The nearly three decades of nanomaterial
synthesis explorations have paved the way for exciting prospects for real-
time applications. Current research into strategic combinations of new
nanomaterials with unique properties is enabling further understanding of the
roles that materials technology can play in energy-related applications. The
future is poised for reaping the seeds sown in nanomaterials energy
technology, and, given the immense appetite for energy in modern society,
the true wonder of nanotechnology will be revealed.

Acknowledgment
This work was supported in part by the Center for Integrated Access
Networks / Engineering Research Center (CIAN ERC) (Grant No. EEC-
0812072) and the U.S. Air Force Office of Scientific Research / Center of
Nanostructured Electrode Interfaces for Energy Applications 865

Organic Materials for All Optical Switching / Multidisciplinary Research


Program of the University Research Initiative (AFOSR COMAS MURI)
(FA9550-10-1-0558). The authors also acknowledge support from the U.S.
Air Force Office of Scientific Research (AFOSR FA9550-13-1-0101) and the
State of Arizona Technology and Research Initiative Funding (TRIF).

References
1. T. Soga, Nanostructured Materials for Solar Energy Conversion, First
edition, Elsevier, Amsterdam, pp. 45–80 (2006).
2. N. Asim, K. Sopian, S. Ahmadi, K. Saeedfar, M. A. Alghoul,
O. Saadatian, and S. H. Zaidi, “A review on the role of materials science
in solar cells,” Renew. Sustain. Energy Rev. 16(8), 5834–5847 (2012).
3. J. H. Cai, H. Chen, and L. Y. Han, “Models of electron injection,
diffusion and recombination in dye sensitized solar cells,” Int. J. Mod.
Phys. B 26(17), 1230009 (2012).
4. W. Chen, M. P. Nikiforov, and S. B. Darling, “Morphology characteri-
zation in organic and hybrid solar cells,” Energy Environm. Sci. 5(8),
8045–8074 (2012).
5. X. Huang, S. Han, W. Huang, and X. Liu, “Enhancing solar cell
efficiency: The search for luminescent materials as spectral converters,”
Chem. Soc. Rev. 42(1), 173–201 (2013).
6. P. Kumar and S. Chand, “Recent progress and future aspects of organic
solar cells,” Prog. Photovolt. 20(4), 377–415 (2012).
7. S. Mokkapati and K. R. Catchpole, “Nanophotonic light trapping in
solar cells,” J. Appl. Phys. 112(10), 101101 (2012).
8. R. Po, C. Carbonera, A. Bernardi, F. Tinti, and N. Camaioni, “Polymer
and carbon-based electrodes for polymer solar cells: Toward low-cost,
continuous fabrication over large area,” Solar Energy Mater. Solar Cells
100, 97–114 (2012).
9. M. Wright and A. Uddin, “Organic-inorganic hybrid solar cells:
A comparative review,” Solar Energy Mater. Solar Cells 107, 87–111 (2012).
10. Y. Yang, K. Mielczarek, M. Aryal, A. Zakhidov, and W. Hu,
“Nanoimprinted polymer solar cell,” ACS Nano 6(4), 2877–2892 (2012).
11. M. A. Abdullah, A. H. M. Yatim, C. W. A. Tan, and R. Saidur,
“A review of maximum power point tracking algorithms for wind energy
systems,” Renew. Sustain. Energy Rev. 16(5), 3220–3227 (2012).
12. A. Arvesen and E. G. Hertwich, “Assessing the life cycle environmental
impacts of wind power: A review of present knowledge and research
needs,” Renew. Sustain. Energy Rev. 16(8), 5994–6006 (2012).
866 Chapter 32

13. I. Colak, S. Sagiroglu, and M. Yesilbudak, “Data mining and wind


power prediction: A literature review,” Renew. Energy 46, 241–247
(2012).
14. F. Diaz-Gonzalez, A. Sumper, O. Gomis-Bellmunt, and R. Villafafila-
Robles, “A review of energy storage technologies for wind power
applications,” Renew. Sustain. Energy Rev. 16(4), 2154–2171 (2012).
15. A. M. Foley, P. G. Leahy, A. Marvuglia, and E. J. McKeogh, “Current
methods and advances in forecasting of wind power generation,” Renew.
Energy 37(1), 1–8 (2012).
16. J. Luis Dominguez-Garcia, O. Gomis-Bellmunt, F. D. Bianchi, and
A. Sumper, “Power oscillation damping supported by wind power:
A review,” Renew. Sustain. Energy Rev. 16(7), 4994–5006 (2012).
17. R. P. Lowell, P. A. Rona, and R. P. Vonherzen, “Sea-floor hydrothermal
systems,” J. Geophys. Res. Solid Earth 100(B1), 327–352 (1995).
18. J. E. Mock, J. W. Tester, and P. M. Wright, “Geothermal energy from
the earth: Its potential impact as an environmentally sustainable
resource,” Ann. Rev. Energy Environ. 22, 305–356 (1997).
19. R. J. Brodd, K. R. Bullock, R. A. Leising, R. L. Middaugh, J. R. Miller,
and E. Takeuchi, “Batteries, 1977 to 2002,” J. Electrochem. Soc. 151(3),
K1–K11 (2004).
20. D. Cericola and R. Koetz, “Hybridization of rechargeable batteries and
electrochemical capacitors: Principles and limits,” Electrochimica Acta
72, 1–17 (2012).
21. A. Kuperman and I. Aharon, “Battery-ultracapacitor hybrids for pulsed
current loads: A review,” Renew. Sustain. Energy Rev. 15(2), 981–992
(2011).
22. H. Zhang, G. Cao, and Y. Yang, “Carbon nanotube arrays and their
composites for electrochemical capacitors and lithium-ion batteries,”
Energy Environm. Sci. 2(9), 932–943 (2009).
23. D. Zhao, Y. Wang, and Y. Zhang, “High-performance Li-ion batteries
and supercapacitors based on prospective 1D nanomaterials,” Nano-
Micro Lett. 3(1), 62–71 (2011).
24. M. Song, S. Park, M. Faisal, J. Cho, and M. Liu, “Nanostructured
electrodes for lithium-ion and lithium-air batteries: The latest develop-
ments, challenges, and perspectives,” Mater. Sci. Eng. R 72, 203–252
(2011).
25. Bloomberg New Energy Finance, “Global Renewable Energy Market
Outlook,” 16 Nov. 2011.
26. U.S. Energy Information Administration, “Short-Term Energy Outlook
(STEO),” Jan. 2013, http://www.eia.gov/forecasts/steo/pdf/steo full.pdf.
Nanostructured Electrode Interfaces for Energy Applications 867

27. S. Dhameja, Electric Vehicle Battery Systems, Newnes, Butterworth–


Heinemann, Woburn, MA, pp. 2–8 (2002).
28. J. P. Gonzalez-Vazquez, V. Morales-Florez, and J. A. Anta, “How
important is working with an ordered electrode to improve the charge
collection efficiency in nanostructured solar cells?” Phys. Chem. Lett. 3,
386–393 (2012).
29. C. Lin and M. L. Povinelli, “Optimal design of aperiodic, vertical silicon
nanowire structures for photovoltaics” Opt. Express 19(S5), A1148–
A1154 (2011).
30. S. H. Tan, C. B. Soh, W. Wang, S. J. Chua, and D. Chi, “Tapered and
aperiodic silicon nanostructures with very low reflectance for solar
hydrogen evolution,” Appl. Phys. Lett. 101, 133906 (2012).
31. B. Ray, M. R. Khan, C. Black, and M. A. Alam, “Nanostructured
electrodes for organic solar cells: Analysis and design fundamentals,”
IEEE J.Photovolt. 3(1), 318–329 (2013).
32. R. Liu, J. Duay, and S. B. Lee, “Heterogeneous nanostructured electrode
materials for electrochemical energy storage,” Chem. Comm. 47, 1384–
1404 (2011).
33. H. Wang and L. Pilon, “Accurate simulations of electric double layer
capacitance of ultramicroelectrodes,” J. Phys. Chem. C. 115, 16711–
16719 (2011).
34. Z. H. Chai, R. J. Ma, Z. K. Zhang, and L. Q. Shi, “Recent progress in
biomimetic light harvesting materials,” Acta Polymerica Sinica 10,
11081117 (2012).
35. L. Gammaitoni, “There's plenty of energy at the bottom (micro and
nano scale nonlinear noise harvesting),” Contemp. Phys. 53(2): 119–135
(2012).
36. L. Grande, V. T. Chundi, D. Wei, C. Bower, P. Andrew, and
T. Ryhanen, “Graphene for energy harvesting/storage devices and
printed electronics,” Particuology 10(1), 1–8 (2012).
37. H. B. Radousky and H. Liang, “Energy harvesting: an integrated view of
materials, devices and applications,” Nanotechnol. 23(50), 502001–
502035 (2012).
38. G. D. Szarka, B. H. Stark, and S. G. Burrow, “Review of power
conditioning for kinetic energy harvesting systems,” IEEE Trans. Power
Electron. 27(2), 803–815 (2012).
39. Z. L. Wang and W. Z. Wu, “Nanotechnology-enabled energy harvesting
for self-powered micro-/nanosystems,” Angew. Chem. Int. Ed. 51(47),
11700–11721 (2012).
868 Chapter 32

40. R. Zhu, W. G. Zhang, and R. S. Yang, “High output piezoelectric


nanogenerator: Development and application,” Sci. Adv. Mater. 4(8),
798–804 (2012).
41. H. S. Zhou, D. L. Li, M. Hibino, and I. Honma, “A self-ordered,
crystalline-glass, mesoporous nanocomposite for use as a lithium-based
storage device with both high power and high energy densities,” Angew.
Chem. Int. Ed. 44, 797–802 (2005).
42. K. M. Shaju and P. G. Bruce, “Macroporous Li(Ni1/3Co1/3Mn1/3)O2:
A high-power and high-energy cathode for rechargeable lithium
batteries,” Adv. Mater. 18, 2330–2334 (2006).
43. C. W. Tang, “Two-layer organic photovoltaic cell,” Appl. Phys. Lett. 48,
183–185 (1986).
44. G. Yu, J. Gao, J. C. Hummelen, F. Wudl, and A. J. Heeger, “Polymer
photovoltaic cells: Enhanced efficiencies via a network of internal donor-
acceptor heterojunctions,” Science 270, 1789–1791 (1995).
45. C. J. Brabec, A. Cravino, D. Meissner, N. S. Sariciftci, T. Fromherz, M.
Minse, L. Sanchez, and J. C. Hummelen, “Origin of the open circuit
voltage of plastic solar cells,” Adv. Funct. Mater. 11, 374–380 (2011).
46. G. Li, V. Shrotriya, Y. Yao, J. Huang, and Y. J. Yang, “Manipulating
regioregular poly(3-hexylthiophene): [6,6]-phenyl-C61-butyric acid
methyl ester blends—route towards high efficiency polymer solar cells,”
Mater. Chem. 17, 3126–3150 (2007).
47. H. Hoppe and N. S. Sariciftci, “Organic solar cells: An overview,”
J. Mater. Res. 19, 1924–1945 (2004).
48. A. F. Tillack, K. M. Noone, B. A. MacLeod, D. Nordlund, K. P. Nagle,
J. A. Bradley, S. K. Hau, H.-L. Yip, A. K. Y. Jen, G. T. Seidler, and
D. S. Ginger, “Surface characterization of polythiophene: Fullerene
blends on different electrodes using near edge x-ray absorption fine
structure,” ACS Appl. Mater. Interface 3, 726–732 (2011).
49. H. A. Atwater and A. Polman, “Plasmonics for improved photovoltaic
devices,” Nature Mater. 9, 205–213 (2010).
51. S. Shahin, P. Gangopadhyay, and R. A. Norwood, “Ultrathin organic
bulk heterojunction solar cells: Plasmon enhanced performance using Au
nanoparticles,” Appl. Phys. Lett. 101, 053109 (2012).
52. S. Shahin, P. Gangopadhyay, and R. A. Norwood, “Plasmonic-
enhanced organic solar cells,” Proc. SPIE 8471, 84710D (2012) [doi:
10.1117/12.930406].
53. S. Shahin, P. Gangopadhyay, and R. A. Norwood, “Efficiency
improvement in ultrathin plasmonic organic bulk heterojunction solar
cells,” in Integrated Photonics Research, Silicon and Nanophotonics, OSA
Nanostructured Electrode Interfaces for Energy Applications 869

Technical Digest (online), Optical Society of America, paper IW2C.2


(2012).
54. R. A. Norwood, P. Gangopadhyay, and S. Shahin, “Ultra-thin organic
photovoltaics with increased efficiency,” SPIE Newsroom, December
2012 [doi: 10.1117/2.1201212.004593].
55. M. Chen, Y. Yang, S. Chen, J. Li, M. Aklilu, and Y. Tai, “Self-
assembled monolayer immobilized gold nanoparticles for plasmonic
effects in small molecule organic photovoltaics,” ACS Appl. Mater.
Interfaces 5(3), 511–517 (2013).
56. X. Chen, L. Zuo, W. Fu, Q. Yan, C. Fan, and H. Chen, “Insight into the
efficiency enhancement of polymer solar cells by incorporating gold
nanoparticles,” Solar Energy Mater. Solar Cells 111, 1–8 (2013).
57. B. Tian, X. Zheng, T. J. Kempa, Y. Fang, N. Yu, G. Yu, J. Huang, and
C. M. Lieber, “Coaxial silicon nanowires as solar cells and nanoelec-
tronic power sources,” Nature 449(7164), 885–889 (2007).
58. S. Xu, Y. Qin, C. Xu, Y. Wei, R. Yang, and Z. L. Wang, “Self-powered
nanowire devices,” Nature Nanotechnol. 5 (5), 366–373 (2010).
59. A. L. M. Reddy, S. R. Gowda, M. M. Shaijumon, and P. M. Ajayan,
“Hybrid nanostructures for energy storage applications,” Adv. Mater.
24(37), 5045–5064 (2012).
60. S. R. Gowda, L. M. Reddy, X. Zhan, and P. M. Ajayan, “Building
energy storage device on a single nanowire,” Nano Lett. 11 (8), 3329–
3333 (2011).
61. X. Wang, J. Song, J. Liu, and Z. L. Wang, “Direct-current
nanogenerator driven by ultrasonic waves,” Science 316 (5821), 102–
105 (2007).
62. G. Zhu, R. Yang, S. Wang, and Z. L. Wang, “Flexible high-output
nanogenerator based on lateral ZnO nanowire array,” Nano Lett. 10(8),
3151–3155 (2010).
63. G. Zhu, A. C. Wang, Y. Liu, Y. Zhou, and Z. L. Wang, “Functional
electrical stimulation by nanogenerator with 58 V output voltage,” Nano
Lett. 12 (6), 3086–3090 (2012).
64. L. Gu, N. Cui, L. Cheng, Q. Xu, S. Bai, M. Yuan, W. Wu, J. Liu,
Y. Zhao, F. Ma, Y. Qin, and Z. L. Wang, “Flexible fiber nanogenerator
with 209 V output voltage directly powers a light-emitting diode,” Nano
Lett. 13 (1), 91–94 (2013).
65. Y. Yang, S. Wang, Y. Zhang, and Z. L. Wang, “Pyroelectric
nanogenerators for driving wireless sensors,” Nano Lett. 12 (12), 6408–
6413 (2012).
870 Chapter 32

66. S. Wang, L. Lin, and Z. L. Wang, “Nanoscale triboelectric-effect-enabled


energy conversion for sustainably powering portable electronics,” Nano
Lett. 12 (12), 6339–6346 (2012).
67. Y. Hu, Y. Zhang, C. Xu, L. Lin, R. L. Snyder, and Z. L. Wang, “Self-
powered system with wireless data transmission,” Nano Lett. 11 (6),
2572–2577 (2011).
68. Y. Yang, H. Zhang, S. Lee, D. Kim, W. Hwang, and Z. L. Wang,
“Hybrid energy cell for degradation of methyl orange by self-powered
electrocatalytic oxidation,” Nano Lett. 13(2), 803–808 (2013).
69. X. Xue, S. Wang, W. Guo, Y. Zhang, and Z. L. Wang, “Hybridizing
energy conversion and storage in a mechanical-to-electrochemical
process for self-charging power cell,” Nano Lett. 12(9), 5048–5054
(2012).
70. W. Liu, M. Lee, L. Ding, J. Liu, and Z. L. Wang, “Piezopotential gated
nanowire-nanotube hybrid field-effect transistor,” Nano Lett. 10 (8),
3084–3089 (2012).
71. Z. L. Wang, “Piezotronic and piezophototronic effects,” J. Phys. Chem.
Lett. 1(9), 1388–1393 (2010).
72. Z. L. Wang, “Piezopotential in Wurtzite Semiconductors,” in Piezo-
tronics and Piezo-Phototronics, Z. L., Wang, Ed., Springer, Berlin–
Heidelberg, pp. 19–50 (2012).
73. V. L. Pushparaj, M. M. Shaijumon, A. Kumar, S. Murugesan, L. Ci,
R. Vajtai, R. J. Linhardt, O. Nalamasu, and P. M. Ajayan, “Flexible
energy storage devices based on nanocomposite paper,” PNAS 104(34),
13574–13577 (2007).
74. A. A. Khosroabadi, P. Gangopadhyay, B. Duong, J. Thomas,
A. K. Sigdel, J. J. Berry, T. Gennet, N. Peyghambarian, and
R. A. Norwood, “Fabrication, electrical and optical properties of silver,
indium tin oxide (ITO) and indium zinc oxide (IZO) nanostructure
arrays,” Phys. Status Solidi A 210, 831–838 (2013).
75. A. A. Khosroabadi, P. Gangopadhyay, B. Cocilovo, B. Duong,
J. Thomas, and R. A. Norwood, “Spectroscopic ellipsometry on metal
and metal oxide multi-layer hybrid plasmonic nanostructures,” Opt.
Lett. [In Press] (2013).
76. I. I. Smolyaninov and Y. Hung, “Enhanced transmission of light
through a gold film due to excitation of standing surface-plasmon Bloch
waves,” Phys. Rev. B. 75, 033411 (2007).
77. L. Salomon, F. Grillot, A. V. Zayats, and F. de Fornel, “Near-field
distribution of optical transmission of periodic subwavelength holes in a
metal film,” Phys. Rev. Lett. 86, 1110 (2001).
Nanostructured Electrode Interfaces for Energy Applications 871

78. A. K. Geim and K. S. Novoselov, “The rise of graphene,” Nature Mater.


6(3), 183–191 (2007).
79. K. S. Novoselov, V. I. Fal'ko, L. Colombo, P. R. Gellert, M. G. Schwab,
and K. Kim, “A roadmap for graphene,” Nature 490(7419), 192–200
(2012).
80. M. Xu, T. Liang, M. Shi, and H. Chen, “Graphene-like two-dimensional
materials,” Chem. Rev. 113(5), 3766–3798 (2013).
81. R. Mas-Ballesté, C. Gómez-Navarro, J. Gómez-Herrero, and F.
Zamora, “2D materials: To graphene and beyond,” Nanoscale 3(1),
20–30 (2011).
82. Z. Yan, L. Ma, Y. Zhu, I. Lahiri, M. G. Hahm, Z. Liu, S. Yang,
C. Xiang, W. Lu, Z. Peng, Z. Sun, C. Kittrell, J. Lou, W. Choi,
P. M. Ajayan, and J. M. Tour, “Three-dimensional metal–graphene–
nanotube multifunctional hybrid materials,” ACS Nano 7(1), 58–64 (2013).
83. J. K. Wassei and R. B. Kaner, “Oh, the Places You’ll Go with
Graphene,” Acc. of Chem. Res. [In Press] (2013).
84. N. O. Weiss, H. Zhou, L. Liao, Y. Liu, S. Jiang, Y. Huang, and
X. Duan, “Graphene: An emerging electronic material,” Adv. Mater.
24(43), 5782–5825 (2012).
85. C. R. Dean, A. F. Young, I. Meric, C. Lee, L. Wang, S. Sorgenfrei,
K. Watanabe, T. Taniguchi, P. Kim, K. L. Shepard, and J. Hone,
“Boron nitride substrates for high-quality graphene electronics,” Nature
Nanotechnol. 5(10), 722–726 (2010).
86. S. Kim, A. Konar, W.-S. Hwang, J. H. Lee, J. Lee, J. Yang, C. Jung,
H. Kim, J.-B. Yoo, J.-Y. Choi, Y. W. Jin, S. Y. Lee, D. Jena, W. Choi,
and K. Kim, “High-mobility and low-power thin-film transistors based
on multilayer MoS2 crystals,” Nature Comm. 3, 1011 (2012).
87. B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, and A. Kis,
“Single-layer MoS2 transistors,” Nature Nanotech. 6(3), 147–150 (2011).
88. K. Ghaffarzadeh and C. Thiele, “Graphene: Analysis of Technology,
Markets, and Players 2013–2018,” Online report, IDTechEx (2012).
89. J. R. Miller, “Valuing reversible energy storage,” Science 335(6074),
1312–1313 (2012).
90. X. Huang, Z. Zeng, Z. Fan, J. Liu, and H. Zhang, “Graphene-based
electrodes,” Adv. Mater. 24 (45), 5979–6004 (2012).
91. X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, R. Piner,
A. Velamakanni, I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, and
R. S. Ruoff, “Large-area synthesis of high-quality and uniform graphene
films on copper foils,” Science 324(5932), 1312–1314 (2009).
872 Chapter 32

92. H. A. Becerril, J. Mao, Z. Liu, R. M. Stoltenberg, Z. Bao, and Y. Chen,


“Evaluation of solution-processed reduced graphene oxide films as
transparent conductors,” ACS Nano 2(3), 463–470 (2008).
93. J. K. Wassei and R. B. Kaner, “Graphene, a promising transparent
conductor,” Mater. Today 13(3), 52–59 (2010).
94. Y. Hernandez, V. Nicolosi, M. Lotya, F. M. Blighe, Z. Sun, S. De, I. T.
McGovern, B. Holland, M. Byrne, Y. K. Gun'Ko, J. J. Boland, P. Niraj,
G. Duesberg, S. Krishnamurthy, R. Goodhue, J. Hutchison, V. Scardaci,
A. C. Ferrari, and J. N. Coleman, “High-yield production of graphene
by liquid-phase exfoliation of graphite,” Nature Nanotech. 3(9), 563–568
(2008).
95. J. N. Coleman, M. Lotya, A. O’Neill, S. D. Bergin, P. J. King, U. Khan,
K. Young, A. Gaucher, S. De, R. J. Smith, I. V. Shvets, S. K. Arora,
G. Stanton, H.-Y. Kim, K. Lee, G. T. Kim, G. S. Duesberg, T. Hallam,
J. J. Boland, J. J. Wang, J. F. Donegan, J. C. Grunlan, G. Moriarty,
A. Shmeliov, R. J. Nicholls, J. M. Perkins, E. M. Grieveson,
K. Theuwissen, D. W. McComb, P. D. Nellist, and V. Nicolosi,
“Two-dimensional nanosheets produced by liquid exfoliation of layered
materials,” Science 331(6017), 568–571 (2011).
96. J. N. Coleman, “Liquid exfoliation of defect-free graphene,” Acc. of
Chem. Res. 46(1), 14–22 (2013).
97. D. Chen, H. Feng, and J. Li, “Graphene oxide: Preparation,
functionalization, and electrochemical applications,” Chem. Rev. 112(11),
6027–6053 (2012).
98. J. J. Yoo, K. Balakrishnan, J. Huang, V. Meunier, B. G. Sumpter,
A. Srivastava, M. Conway, A. L. Mohana Reddy, J. Yu, R. Vajtai, and
P. M. Ajayan, “Ultrathin planar graphene supercapacitors,” Nano Lett.
11(4), 1423–1427 (2011).
99. Y. Wang, Y. Shi, Y. Huang, Y. Ma, C. Wang, M. Chen, and Y. Chen,
“Supercapacitor devices based on graphene materials,” J. Phys. Chem. C
2009, 113(30), 13103–13107 (2009).
100. J. Luo, H. D. Jang, and J. Huang, “Effect of sheet morphology on the
scalability of graphene-based ultracapacitors,” ACS Nano 7(2), 1464–
1471 (2013).
101. M. D. Stoller, S. Park, Y. Zhu, J. An, and R. S. Ruoff, “Graphene-based
ultracapacitors,” Nano Lett. 8(10), 3498–3502 (2008).
102. Y. Zhu, S. Murali, M. D. Stoller, K. J. Ganesh, W. Cai, P. J. Ferreira,
A. Pirkle, R. M. Wallace, K. A. Cychosz, M. Thommes, D. Su, E. A. Stach,
and R. S. Ruoff, “Carbon-based supercapacitors produced by activation of
graphene,” Science 332(6037), 1537–1541 (2011).
Nanostructured Electrode Interfaces for Energy Applications 873

103. H. Wang, H. S. Casalongue, Y. Liang, and H. Dai, “Ni(OH)2


nanoplates grown on graphene as advanced electrochemical pseudoca-
pacitor materials,” J. Am. Chem. Soc. 132(21), 7472–7477 (2010).
104. C. Liu, Z. Yu, D. Neff, A. Zhamu, and B. Z. Jang, “Graphene-based
supercapacitor with an ultrahigh energy density,” Nano Lett. 10(12),
4863–4868 (2010).
105. W. Gao, N. Singh, L. Song, Z. Liu, A. L. M. Reddy, L. Ci, R. Vajtai, Q.
Zhang, B. Wei, and P. M. Ajayan, “Direct laser writing of micro-
supercapacitors on hydrated graphite oxide films,” Nature Nanotech.
6(8), 496–500 (2011).
106. J. Feng, X. Sun, C. Wu, L. Peng, C. Lin, S. Hu, J. Yang, and Y. Xie,
“Metallic few-layered VS2 ultrathin nanosheets: High two-dimensional
conductivity for in-plane dupercapacitors,” J. Am. Chem. Soc. 133(44),
17832–17838 (2011).
107. J. B. Goodenough and K.-S. Park, “The Li-ion rechargeable battery:
A perspective,” J. Am. Chem. Soc. 135(4),1167–1176 (2013).
108. N. A. Kaskhedikar and J. Maier, “Lithium storage in carbon
nanostructures,” Adv. Mater. 21(25–26), 2664–2680 (2009).
109. J. Liu and X. W. Liu, “Two-dimensional nanoarchitectures for lithium
storage,” Adv. Mater. 24(30), 4097–4111 (2012).
110. A. L. M. Reddy, A. Srivastava, S. R. Gowda, H. Gullapalli, M. Dubey,
and P. M. Ajayan, “Synthesis of nitrogen-doped graphene films For
lithium battery application,” ACS Nano 4(11), 6337–6342 (2010).
111. R. Ma, Y. Dong, L. Xi, S. Yang, Z. Lu, and C. Chung, “Fabrication of
LiF/Fe/graphene nanocomposites as cathode material for lithium-ion
batteries,” ACS Appl. Mater. Interfaces 5(3), 892–897 (2013).
112. S. Ghosh, A. K. Sood, and N. Kumar, “Carbon nanotube flow sensors,”
Science 299(5609), 1042–1044 (2003).
113. P. Dhiman, F. Yavari, X. Mi, H. Gullapalli, Y. Shi, P. M. Ajayan, and
N. Koratkar, “Harvesting energy from water flow over graphene,” Nano
Lett. 11(8), 3123–3127 (2011).
114. J. Yin, Z. Zhang, X. Li, J. Zhou, and W. Guo, “Harvesting energy from
water flow over graphene?” Nano Lett. 12(3), 1736–1741 (2012).
115. G. Subramania, Y.-J. Lee, A. J. Fischer, and D. D. Koleske, “Log-pile
TiO2 photonic crystal for light control at near-UV and visible
wavelengths,” Adv. Mater. 22, 487–491 (2010).
116. V. V. Guliants, M. A. Carreon, and Y. S. Lin, “Ordered mesoporous and
macroporous inorganic films and membranes,” J. Membrane Sci. 235,
53–72 (2004).
874 Chapter 32

117. J. D. Bass, E. Belamie, D. Grosso, C. Boissiere, T. Coradin, and


C. Sanchez, “Nanostructuration of titania films prepared by self-assembly
to affect cell adhesion,” J. Biomed. Mater. Res. A. 93A, 96–106 (2009).
118. X. X. Yan, C. Z. Yu, X. F. Zhou, J. W. Tang, and D. Y. Zhao, “Highly
ordered mesoporous bioactive glasses with superior in vitro bone-
forming bioactivities,” Angew. Chem. Int. Ed. 43, 5980–5984 (2004).
119. S. Q. Li, P. Guo, L. Zhang, W. Zhou, T. W. Odom, T. Seideman,
J. B. Ketterson, and R. P. H. Chang, “Infrared plasmonics with indium-
tin-oxide nanorod arrays,” ACS Nano, 5 9161–9170 (2011).
120. L. Nicole, C. Boissiere, D. Grosso, P. Hesemann, J. Moreau, and
C. M. Sanchez, “Advanced selective optical sensors based on periodi-
cally organized mesoporous hybrid silica thin films,” Chem. Commun.
20, 2312–2313 (2004).
121. G. Wirnsberger, B. J. Scott, and G. D. Stucky, “pH sensing with
mesoporous thin films,” Chem. Commun. 1, 119–120 (2001).
122. T. Ohsuku and T. Hirai, “An electrochromic display based on titanium
dioxide,” Electrochim. Acta 27, 1263–1266 (1982).
123. E. Martinez-Ferrero, Y. Sakatani, C. Boissiere, D. Grosso, A. Fuertes,
J. Fraxedas, and C. Sanchez, “Nanostructured titanium oxynitride
porous thin films as efficient visible active photocatalysts,” Adv. Funct.
Mater. 17, 3348–3354 (2007).
124. Y. Sakatani, D. Grosso, L. Nicole, C. Boissiere, G. J. D. A. Soler-Illia,
and C. Sanchez, “Optimized photocatalytic activity of grid-like
mesoporous TiO2 films: Effect of crystallinity, pore size distribution,
and pore accessibility,” J. Mater. Chem. 16, 77–82 (2006).
125. J. Jiang, Y. Li, J. Liu, and X. Huang, “Building one-dimensional oxide
nanostructure arrays on conductive metal substrates for lithium-ion
battery anodes,” Nanoscale 3, 45–58 (2011).
126. Q. Zhang and G. Cao, “Nanostructured photoelectrodes for dye-
sensitized solar cells,” Nano Today, 6, 91–109 (2011).
127. P. Yu, C.-H. Chang, M.-S. Su, M.-H. Hsu, and K.-H. Wei, “Embedded
indium-tin-oxide nanoelectrodes for efficiency and lifetime enhancement
of polymer based solar cells,” Appl. Phys. Lett. 96, 153307–3 (2010).
128. R. Hiesgen, I. Wehl, E. Aleksandrova, E. Roduner, A. Bauder, and
K. A. Friedrich, “Nanoscale properties of polymer fuel cell materials—
A selected review,” Int. J. Energy Res. 34, 1223–1238 (2010).
129. M.-G. Kang, H. J. Park, S. H. Ahn, and L. J. Guo, “Transparent Cu
nanowire mesh electrode on flexible substrates fabricated by transfer
printing and its application in organic solar cells,” Solar Energy Mater.
Solar Cells 94, 1179–1184 (2010).
Nanostructured Electrode Interfaces for Energy Applications 875

130. H. Ma, M. S. Liu, and A. K.-Y. Jen, “Interface-tailored and


nanoengineered polymeric materials for (opto) electronic devices,”
Polymer Int. 58, 594–619 (2009).
131. C. K. Chan, H. Peng, G. Liu, K. McIlwrath, X. F. Zhang, R. A. Huggins,
and Y. Cui, “High-performance lithium battery anodes using silicon
nanowires,” Nat. Nanotechnol. 3, 31–35 (2008).
132. X. Gao, H. Zhu, G. Pan, S. Ye, Y. Lan, F. Wu, and D. Song,
“Preparation and electrochemical characterization of anatase nanorods
for lithium-inserting electrode material,” J. Phys. Chem. B 108, 2868–
2872 (2004).
133. F. Yang and S. R. Forrest, “Photocurrent generation in nanostructured
organic solar cells,” ACS Nano 2, 1022–1032 (2008).
134. B. Kannan, K. Castelino, and A. Majumdar, “Design of nano-structured
heterojunction polymer photovoltaic devices,” Nano Lett. 3, 1729–1733
(2003).
135. B. M. Kayes, H. A. Atwater, and N. S. Lewis, “Comparison of the
device physics principles of planar and radial p–n junction nanorod solar
cells,” J. Appl. Phys. 97, 114302–11 (2005).
136. B. Varghese, Y. S. Zhang, L. Dai, V. B. C. Tan, C. T. Lim, and
C.-H. Sow, “Structure-mechanical property of individual cobalt oxide
nanowires,” Nano Lett. 8, 3226 (2008).
137. C. C. Li, Z. F. Du, L. M. Li, H. C. Yu, Q. Wanand, and T. H. Wang,
“Surface-depletion controlled gas sensing of ZnO nanorods grown at
room temperature,” Appl. Phys. Lett. 91, 032101 (2007).
138. J. Elias, T.-Z. Ramon, G. Y. S. Wang, and L.-C. Claude, “Conversion of
ZnO nanowires into nanotubes with tailored dimensions,” Chem. Mater.
20, 6633 (2008).
139. W. Z. Wang, B. Q. Zeng, J. Yang, B. Poudel, J. Y. Huang,
M. J. Naughton, and Z. F. Ren, “Aligned ultralong ZnO nanobelts
and their enhanced field emission,” Adv. Mater. 18, 3275 (2006).
140. Z. L. Wang and J. H. Song, “Piezoelectric nanogenerators based on zinc
oxide nanowire arrays,” Science 312, 242 (2006).
141. J. Bisquert, “Fractional diffusion in the multiple-trapping regime and
revision of the equivalence with the continuous-time random walk,”
Phys. Rev. Lett. 91, 010602 (2003).
142. J. K. Kim, T. Gessmann, E. F. Schubert, J.-Q. Xi, H. Luo, J. Cho,
C. Sone, and Y. Park, “GaInN light-emitting diode with conductive
omnidirectional reflector having a low-refractive-index indium-tin oxide
layer,” Appl. Phys. Lett. 88, 013501 (2006).
876 Chapter 32

143. C. Wagner and N. Harned, “EUV lithography: Lithography gets


extreme,” Nat. Photon. 4, 24–26 (2010).
144. Y. Li, M. Guo, M. Zhang, and X. Wang, “Hydrothermal synthesis and
characterization of TiO2 nanorod arrays on glass substrates,” Mater.
Res. Bull. 44, 1232–1237 (2009).
145. X. Feng, K. Shankar, M. Paulose, and C. A. Grimes, “Tantalum-doped
titanium dioxide nanowire arrays for dye-sensitized solar cells with high
open-circuit voltage,” Angew. Chem. Int. Ed. 48, 8095–8098 (2009).
146. E. Enache-Pommer, J. E. Boercker, and E. S. Aydil, “Electron transport
and recombination in polycrystalline TiO2 nanowire dye-sensitized solar
cells,” Appl. Phy. Lett. 91, 123116–3 (2007).
147. G. K. Mor, O. K. Varghese, M. Paulose, K. Shankar, and C. A. Grimes,
“A review on highly ordered, vertically oriented TiO2 nanotube arrays:
Fabrication, material properties, and solar energy applications,” Sol.
Energy Mater. Sol. Cells 90, 2011–2075 (2006).
148. J.-M. Wu, H. C. Shih, W.-T. Wu, Y.-K. Tseng, and I. C. Chen,
“Thermal evaporation growth and the luminescence property of TiO2
nanowires,” J. Cryst. Growth 281, 384–390 (2005).
149. B. Chi and T. Jin, “Synthesis of titania nanostructure films via TiCl4
evaporation-deposition route,” Cryst. Growth Design 7, 815–819 (2007).
150. M. J. Hampton, S. S. Williams, Z. Zhou, J. Nunes, D.-H. Ko,
J. L. Templeton, E. T. Samulski, and J. M. DeSimone, “The patterning
of sub-500-nm inorganic oxide structures,” Adv. Mater. 20, 2667–2673
(2008).
151. J. D. Bass, C. D. Schaper, C. T. Rettner, N. Arellano, F. H. Alharbi,
R. D. Miller, and H.-C. Kim, “Transfer molding of nanoscale oxides
using water-soluble templates,” ACS Nano 5, 4065–4072 (2011).
152. J. Thomas, P. Gangopadhyay, E. Araci, R. A. Norwood, and
N. Peyghambarian, “Nanoimprinting by melt processing,” Adv. Mater.
23, 4782–4787 (2011).
153. Y. Hu, Y. Zhang, C. Xu, G. Zhu, and Z. L. Wang, “High-output
nanogenerator by rational unipolar assembly of conical nanowires and
its application for driving a small liquid crystal display,” Nano Lett.
10(12), 5025–5031 (2010).
154. S. Cha, S. M. Kim, H. Kim, J. Ku, J. I. Sohn, Y. J. Park, B. G. Song,
M. H. Jung, E. K. Lee, B. L. Choi, J. J. Park, Z. L. Wang, J. M. Kim,
and K. Kim, “Porous PVDF as effective sonic wave driven
nanogenerators,” Nano Lett. 11(12), 5142–5147 (2011).
Nanostructured Electrode Interfaces for Energy Applications 877

155. K.-I. Park, S. Xu, Y. Liu, G.-T. Hwang, S.-J. L. Kang, Z. L. Wang, and
K. J. Lee, “Piezoelectric BaTiO3 thin film nanogenerator on plastic
substrates,” Nano Lett. 10(12), 4939–4943 (2010).
156. X. Wang, K. Kim, Y. Wang, M. Stadermann, A. Noy, A. V. Hamza,
J. Yang, and D. J. Sirbuly, “Matrix-assisted energy conversion in
nanostructured piezoelectric arrays,” Nano Lett. 10(12), 4901–4907
(2010).
157. A. Kumar, H. Gullapalli, K. Balakrishnan, A. Botello-Mendez,
R. Vajtai, M. Terrones, and P. M. Ajayan, “Flexible ZnO–cellulose
nanocomposite for multisource energy conversion,” Small 7(15), 2173–
2178 (2011).
158. D. Liang, H. Yang, S. W. Finefrock, and Y. Wu, “Flexible nanocrystal-
coated glass fibers for high-performance thermoelectric energy harvest-
ing,” Nano Lett. 12(4), 2140–2145 (2012).

Palash Gangopadhyay is a research scientist at the


College of Optical Sciences, University of Arizona. He
received his Ph.D. in nonlinear optical properties of
organic molecular materials from the University of
Hyderabad, Hyderabad, India. His research focuses on
the fundamentals of synthesized structured and random
heterogeneous nanomaterials for optical and electrical
applications, in particular, solar energy generation and
harvesting, LIBs and supercapcitors, and magneto-optical and other
integrated optical devices.

Kaushik Balakrishnan is an assistant research professor


at the College of Optical Sciences, University of
Arizona. He received his Ph.D. in chemistry from
Southern Illinois University Carbondale in 2008. His
graduate research focused on self-assembled structures
with dimension and size control. He was a postdoctoral
research associate at Rice University from 2008 to 2011
where he developed nanomaterials electrodes for energy
harvesting and storage applications. At the College of Optical Sciences,
University of Arizona he is involved in nanomaterials synthesis and advanced
energy and optical devices.
878 Chapter 32

Nasser Peyghambarian received his Ph.D. in solid state


physics from Indiana University in 1982, specializing in
optical properties of semiconductors. He worked as a
postdoctoral fellow at Indiana University from 1981 to
1982 and the University of Arizona, Optical Sciences
Center from 1982 to 1983. He is currently a professor at
both the College of Optical Sciences and the Depart-
ment of Materials Science & Engineering at the
University of Arizona. He is Director of the NSF Engineering Research
Center for Integrated Access Networks and Chair of Photonics and Lasers at
the University of Arizona as well as serving as the Director of the Photonics
Initiative. He is the Founder of TIPD, LLC and NP Photonics, Inc. He is the
recipient of the University of Arizona 2007 Technology Innovation Award,
International Francqui Chair, Belgium 1998–1999, TRW Young Faculty
Award, and 3M Company’s Young Faculty Award. He is a Fellow of the
American Association for the Advancement of Science, Optical Society of
America, SPIE, and the American Physical Society.
Index
2D electron gas, 196, 534 AlN/GaN superlattices (SLs), 181,
2D hole gas, 534 214
3! method, 86, 88, 94, 98 alternate substrates, 329
I–V characteristics, 146 ammonia, 599
I–V curves, 195 amplifiers, 510
III–nitrides, 291 amplitude modulation, 743, 749,
IV–VI semiconductors, 244 752, 755, 757
-separation line, 264 amplitude-modulated
-valley mobility, 166 photoacoustic spectroscopy
(AM-PAS), 606
A anisotype structure, 107
absorption, 451, 473 antibodies, 775, 779
absorption coefficient, 66, 300–302, antibody-conjugated QDs, 778, 781
313, 441, 536 anticommutator, 556
acoustic deformation potential antigens, 773, 779
scattering, 170 arrays, 235
acoustic detection module, 601 aspheric lens, 603
activation energy, 160, 355, 445 atmospheric chemistry, 598
active layer, 295 atmospheric windows, 209
active mode-locking, 301 atomic force microscopy (AFM),
active quenching circuits (AQCs), 451, 743, 745
515 Auger coefficient, 376
active region, 293 Auger generation, 371
adaptive target generation process, Auger lifetime, 376
657 Auger recombination, 106, 116,
adatom mobility, 179 118, 245, 370
aerosolized biological pathogens, Auger recombination coefficient,
786 123
AlAs, 87 autofluorescence, 777
Allan deviation, 613 avalanche, 497
Allan variance, 613, 714 average oscillator output power,
alloy scattering (AS), 50 197

879
880 Index

B bound-to-bound transition, 475


background endmembers, 657 bound-to-continuum (B-to-C)
background-limited performance design, 651
(BLIP), 373, 458 bound-to-continuum transition,
backscattered NSOM, 745 475, 479
backscattering spectroscopy, 647 boundary conditions, 4, 556, 561,
backward wave oscillator, 177 580, 582
bacteria, 780 bowtie antenna, 747
bacteriophages, 786 Bragg mirrors, 247
band bending, 191 breakdown voltage, 497
band diagram, 113 broken gap, 107, 385, 391
band offset, 106, 253 broken-gap type-II alignment,
band structure, 136, 140, 142 110
band-tailing effect, 300 buoyant density, 797
band-to-band transitions, 496 buried heterostructure (BH), 229
bandgap alignment, 4 buried-heterostructure (BH) device,
bandgap engineering, 60 229
bandgap-narrowing effect, 300
bandwidth, 453, 508 C
barrier infrared detector (BIRD), C-H absorption lines, 254
379 CaF2 buffer layer, 246
beam splitter, 615 cancer cells, 775
binding energies, 160 canonical current, 557
biological nanotubes, 774 capture probability, 412
biological self-assembly, 775 carbon monoxide, 599
biological supports, 858 carrier concentration, 158
biologically decorated nanowires, carrier leakage, 210
776 carrier lifetime, 439
biomedical imaging, 492 carrier mean free path, 535
bionanotechnology, 773–774 carrier recombination, 121
biosensor, 773 carrier-supplying layer, 538
biotags, 778 cavity ring-down spectroscopy
biotechnology, 774 (CRDS), 700
blackbody infrared radiator, 542 CdS, 777
blackbody radiator, 544 CdSe, 777
blackbody responsivity, 470, 476 CdTe, 777
blackbody temperature, 543 CdZnTe, 370
BLIP temperature, 466 channel layer, 538
Bloch frequency, 7 charge-coupled devices (CCDs),
Bloch oscillation, 9 329
Bloch waves, 852 CHCC process, 118, 122
bolometric detectors, 534 chemical ionization mass
boron nitride, 853 spectrometry (CIMS), 700
Index 881

chemical vapor deposition (CVD), CTE mismatch, 214


825 current operator, 554, 562, 564,
chemico-biological systems, 290 568–569, 580
chemiluminescence, 637 current–voltage (CV) curves, 113
CHHS process, 118 cutoff wavelength, 373
chiral vector, 796
CMOS multiplexer, 330 D
coefficient of thermal expansion dark current, 371, 373, 375, 377,
(CTE), 213 440, 444–445, 449, 453, 458,
coherent tunneling (CT), 15 465–466, 468, 470, 480,
cold cap, 140, 142 482–483, 499
cold pad, 538 dark-count/current rate (DCR), 501
collector, 293 data acquisition time, 676
collector layer, 295 deep-level transient spectroscopy
colloids, 778 (DLTS), 160
colony-forming units, 780 defects, 246, 372
commutators, 556 density gradient ultracentrifugation
compensation ratio, 167 (DGU), 796–798
complementary-barrier IR device density of states (DOS), 166
(CBIRD), 338, 389 denuder, 698
complex band structure, 571 depopulation, 290
conduction band, 159 detectivity, 412, 453, 455, 460, 481,
conduction-band diagram, 210 536, 539
conduction-band discontinuity, DFB-QCLs, 635
294 dielectrophoresis, 801
conduction-band energy, 295 difference-frequency generation
conduction-band offset, 189 (DFG), 704
confinement factor, 304, 307, differential optical absorption
310 spectroscopy (DOAS), 699
conjugation, 777 differential resonant photoacoustic
conservation equation, 565 cell, 602, 606
constitutive equations, 4 diffusion component, 535
continuity equation, 553–554, 562, diffusion currents, 371
584 digital lock-in amplifier, 604
conventional photoacoustic dimers, 134, 136
spectroscopy (CPAS), 599 diode laser, 600
core-shell structures, 824 diode-pumped solid state (DPSS)
Coulomb bound, 138 laser, 673, 677
Coulomb interaction, 135 dip-coating, 800
Coulomb potential, 143 discrete charge dielectric (DCD),
critical thickness, 62 21
cross-linking reagents, 781 discriminator slope, 266
CS-type mount, 231, 236 dislocation density, 168
882 Index

dislocation glide, 246 electron blocking, 353


distributed feedback (DFB), 229, electron-beam lithography, 447
234, 600 electron-electron scattering, 298
DNA-based nanotubes, 775 electron–hole pairs, 495
donor level, 159 electron-hole transport, 858
dot-in-a-well (DWELL), 478 electron-impurity scattering, 298
double heterostructure (DH), 379, electron-interface roughness
381 scattering, 298
double modulation, 674–675 electronic type, 797
double-walled carbon nanotubes electrostatic screening, 168
(DWCNTs), 798 emission and absorption rate, 297
DP Hamiltonian, 564, 569, 574, emission energy, 140
576, 580 emission port, 674
D’yakonov–Perel’ (DP), 553 emission spectroscopy, 673
dye molecules, 777 emission spectrum, 777
end pumping, 253
E energy band diagram, 108, 144, 537
edge spread function (ESF), 409 energy density, 856
edge-emitting laser diodes, 244 energy spectrum of carriers, 114
effective current, 558 energy storage, 851
effective Hamiltonian, 553, 559 envelope function, 554, 567, 582
effective mass approximation, 294 envelope-function approximation
Ehrenfest theorem, 556, 570 (EFA), 51
eigenstates, 306 epitaxy, 165
electrical efficiency, 304, 307 ethane, 599
electrical low-pressure impactor, evanescent states, 553, 565, 587
789 evaporation-driven self-assembly
electro-optical conversion (EDSA), 801, 803
efficiency, 231 excess bias, 522
electrochromics, 858 exchange interaction, 136
electroluminescence (EL), 107, 134, exchange reaction, 135
137, 673, 804–805 exciton binding energy, 300
electroluminescent devices, 804 excitonic region, 159
electromagnetic spectrum, 290 excitons, 848
electron and hole wavefunctions, explosives, 634, 639
117 explosives detection, 778
electron blocking barrier, 137 external quantum efficiency, 144, 441
electron blocking layer (EBL), external-cavity quantum cascade
808 laser (EC-QCL), 600
electron effective mass, 292 external-cavity setup, 651
electron ionization coefficient, 116 extinction, 25
electron populations, 297 extrinsic doping, 329
electron wavefunction, 294 eye safety, 649
Index 883

F frequency noise, 264


false positives, 779 FRET immunoassay, 786
far infrared, 777 Förster radius, 779
Faraday rotation spectroscopy, functional near-infrared
599 spectroscopy (fNIRS), 502
fast wavelength tuning, 653
fast-modulation area, 264 G
feedback, 508 Ga free, 355
Fermi level, 159 GaAs, 177
figure of merit, 536 GaAs film, 99
fill factor, 494 GaAs thin film, 87
finite-difference time-domain Ga-free SL, 354
(FDTD), 824, 831, 849 gain, 440–441, 453, 461, 470
first-generation scanning system, GaN, 176, 290
327 GaN/AlGaN quantum wells, 177
flicker (1/f) noise, 265 GaSb, 95
flip-chip bonding, 454, 461 GaSb (111)B, 359
fluorescence imaging, 779, 781 GaSb type-II broken-gap
fluorescence microscopes, 778 superlattices (T2SLs), 86
fluorescence resonance energy gated diode (GD), 375
transfer (FRET), 778 Geiger-mode SiAPDs
fluorescence spectroscopy, 777 (GM-SiAPDs), 492
fluorescent chromophores, 779 generation–recombination (G-R),
fluorescent dyes, 777 380, 386
fluoroimmunoassays, 778 generation–recombination (G-R)
flux, 134, 136 noise, 441
focal plane arrays (FPAs), 438, 442, glucose, 774
453, 545 glycine-receptor diffusion, 778
force sensitivity, 750 gold nanoparticles, 849
four-band Kane model, 115, 119 gold particles, 775
Fourier frequency, 675–676, Gouy–Chapman model, 846
682–684 Gouy–Chapman–Stern model,
Fourier-transform infrared 846
(FTIR) spectroscopy, 63, 70, 673, graphene, 796, 853
700 graphene dispersions, 798
free-electron current, 552, 579 graphene oxide, 808, 853
free-electron probability current, graphite susceptor, 161
558 grating, 446
free-site occupation, 165 greenhouse gases, 614
frequency discriminator, 265 growth processes, 496
frequency mixing, 177 growth temperature, 135–136, 138
frequency modulation, 743, guard ring, 501
752–753, 756 gyrotron, 177
884 Index

H high-resolution transmission
Hall transport, 48 electron microscopy (HRTEM),
Hamilton relation, 563 43, 138
Hamiltonian, 295 high-resolution x-ray diffraction
hard solders, 213 (HRXRD), 69, 140
Harrison, 554, 571 highly absorbing water films, 648
heat capacitance, 537, 539 HITRAN simulation, 616
heat sinking, 236 hole blocking, 353
heat waste, 215 hybrid configurations, 329
Helmholtz layer, 846 hybrid photodetectors (HPDs),
hemispherical radiation intensity, 494
543 hydrogen sensors, 803
hemoglobin, 777 hydrogenic model, 160
Hermitian symmetrized velocity hydrophobic materials, 777
operator, 562 hyperspectral imaging, 656
heterocascading, 650 hyperspectral target detection
heterojunction offset, 157 algorithms, 657
heterostructure engineering, 349 hysteresis, 31
heterostructure field-effect
transistors (HFETs), 290 I
heterostructure thermopiles image analysis, 656
(H-PILEs), 534 imaging standoff detection, 653
heterostructures, 106 impact ionization, 110–111, 116
Hg vacancy doping, 329 impact ionization threshold
HgCdTe, 324, 370, 372, 377, 442, energies, 117
445–446, 683–684 in situ tracing, 633
HgCdTe arrays, U.S. common- in vivo imaging, 777
module, 327 InAs/GaSb type-II broken-gap
HgMnTe, 340 superlattices (T2SLs), 86, 106,
HgZnTe, 340 337
hierarchical periodic-aperiodic incident radiated power, 542
structure, 845 incoherent broadband cavity-
high electron mobility, 290 enhanced absorption spectroscopy
high heat load, 232 (IBBCEAS), 699
high-heat-load (HHL) package, indirect bandgap, 492
609 indirect X and L valleys, 210
high-index plane, 358 indium tin oxide, 844, 850
high-operating-temperature (HOT), indium zinc oxide, 859
352 industrial process control, 598
high-operation-temperature (HOT) infrared (IR), 95, 99, 347
detectors, 339 infrared detectors, 41
high-performance liquid infrared focal plane array, 247
chromatography (HPLC), 697 infrared FPA image sensor, 546
Index 885

infrared image sensors, 534 inverse Auger process, 116


infrared lasers, 118 ionic compound semiconductors,
infrared radiation, 534 292
InGaAs, 335 ionization coefficient, 497
InGaAs/AlInAs semiconductor ionization energy, 158
heterostructures, 646 ionization threshold energies, 110
InGaAsSb, 106 ionized impurity, 168
InGaP, 465 ionized impurity scattering, 169
injection efficiency, 210, 226 iron-oxide nanoparticles, 853
injector, 293 isotype structure, 107–108
injector layer, 295
in-line analysis, 647 J
InP, 777 joint density of states, 301
InP-based devices, 443, 453 junction, 495
in-plane strain, 296
InSb, 333, 369, 684
insulin delivery, 774 K
integrated circuit, 507 Kramers, 558, 566, 573
integrated multicolor FPAs, 331
integrated QCL, 758 L
interband cascade infrared laser cavity, 304
photodetector (ICIP), 354 laser transition linewidth, 210
interband cascade lasers (ICLs), 85, lasing spectra, 673
96–97, 598 lateral dislocations, 144
interband transitions, 121, 463 lattice mismatch, 168, 246
interface quality, 179 lattice strain, 168
interface roughness scattering (IRS), lattice-matched composition, 217
50 lattice-matched material, 231
interferogram, 674 lead chalcogenides, 244
internal quantum efficiency, lead zirconate titanate, 861
123–124, 138, 304, 441 lifetimes, 387, 444, 461
intersubband (ISB) absorption, light detection and ranging
177 (LIDAR), 492
intersubband (ISB) devices, light-emitting diodes (LEDs), 85,
177, 438 99, 106, 704
intersubband electron–electron limiting amplifier, 509
scattering, 297 line locking, 610, 617
intersubband energy, 296 linear mixture model, 656
intersubband scattering times, 292 linear mode, 497
intersubband transitions, 293, 301, line-spread function (LSF), 410
650 linewidth, 263
intervalley scattering, 168 liquid phase epitaxy (LPE), 158
intrinsic linewidth, 269 liquidus and solidus, 332
886 Index

lithium-ion batteries, 858 mesas, 372


Littrow configuration, 651 metal-to-metal waveguide, 296
local form of the Ehrenfest theorem, metalorganic chemical vapor
555, 558 deposition (MOCVD), 68, 178,
local properties, 555 227, 447, 454, 457, 468, 477, 537
lock-in amplifier, 676, 682, 744, 750, metalorganic vapor phase epitaxy
752 (MOVPE), 113
lock-in signal, 751 methane, 599
logarithmic TIA (LogTIA), 511 Michelson interferometer, 674
long-path absorption photometer microelectromechanical systems
(LOPAP), 697 (MEMS), 537
long-path-length absorption, 699 microphone, 600
long-wavelength (LWIR), 85 microresonator, 601
longitudinal optical (LO) phonon, mid-IR fundamental absorption
177, 291, 292 bands, 598
longitudinal optical (LO) phonon midwave infrared (MWIR), 85, 230,
energy, 296 442, 634, 673
longwave infrared (LWIR), 231, migration-enhanced epitaxy (MEE),
442 135–136
longwave infrared (LWIR) QCLs, minimum detectable absorption
217 losses, 599
Lorentzian functions, 188 minimum detectable concentration,
Lorentzian lineshape, 264 610
low-noise transimpedance amplifier, MIR absorption spectroscopy,
616 637
luminescence, 455 mist chamber / ion
luminescence quantum yield, 777 chromatography, 697
L-valley mobility, 166 mode-hop-free tuning, 253
LWIR detectors, 379 modified Penn model, 20
modulation, 408
M modulation frequency, 676, 683
M-structure photodiode, 338 modulation transfer function
matrix element of the (MTF), 407
electron–electron interaction, 122 molecular beam epitaxy (MBE), 42,
Matthiessen’s rule, 170 60, 159, 177, 232, 244, 329, 447,
maximum permissible exposure, 468, 537
648 molecular fragments, 635, 639
Maxwell stress tensor, 748 molecular kinetics expression, 535
mechanical tuning, 752 molecular sensing, 746
medical breath analysis, 600 momentum series expansions,
membranes, 858 559
mercury-cadmium-telluride (MCT) monoclonal antibodies, 781
detector, 602 monophasic parameters, 21
Index 887

multiband detection, 353 nitride-based compound


multi-emitter bar, 236 semiconductors, 292
multipass gas absorption cell, 602 nitrogen dioxide, 695
multiplexed detection, 777, 779, nitrogen dioxide detection, 635
784 nitrous acid, 694
multispectral detection, 777 nitrous oxide, 599
MWIR detectors, 379 noise, 465, 511
MWIR photodiodes, 369, 377 noise bandwidth, 442
noise current, 453, 455, 470, 476
N noise-equivalent difference
nanobelt, 858 temperature (NEDT), 442, 454,
nano-biocontainers, 775 461, 483
nanobiotechnology, 773–774 noise-equivalent differential
nanocompositer, 856 temperature (NET), 413
nanogenerators, 851 Nomarski phase contrast
nanomaterials, 850 microscope, 163
nanoparticles, 774 noninvasive exhaled breath
nanorod, 858 analyzer, 611
nanoscale biosensor, 779 nonpolar orientation, 303, 311
nanoscale lithography, 775 nonradiative recombination, 72
nanoscale matrices, 774 nonuniformity, 349
nanostructures, 106, 437 normal-incidence absorption, 446
nanotechnology, 850 normalized noise-equivalent
nanotube, 858 absorption, 618
nanowire, 858 nuclear magnetic resonance
narrow emitter, 233 (NMR), 162
narrow-bandgap semiconductors, Nyquist frequency, 414
324
narrow-gap semiconductor alloys, O
106 oil and gas prospecting, 600
native lattice defects, 158 online tracing, 633
near-field scanning optical open-circuit voltage, 834
microscopy (NSOM), 743 operational transconductance
near-infrared (NIR), 491, 777 amplifier (OTA), 509
near-IR spectroscopy (NIRS), 492 optical antenna, 739
negative differential conductance optical damage threshold, 212
(NDC), 5 optical dipole matrix, 291
negative differential resistance optical efficiency, 304
(NDR), 189, 219 optical force, 747–749
neural processes, 778 optical frequency, 675
night vision, 534 optical gain, 251, 303, 313
nitric oxide, 599 optical output power, 313
nitric oxide detection, 635 optical power, 110
888 Index

optical pumping, 290 phonon scattering, 168


optical switching, 850 phonon-drag component, 535
optical waveguide, 217 photoacoustic effect, 600
optically pumped terahertz laser photoacoustic signal, 600
(OPTL), 177 photocatalysis, 810, 858
organic bulk heterojunction, 844 photochemical stability, 777
oscillations, 31 photoconductive gain, 412
oscillator strength, 302, 445, 466 photocurrent, 371, 440, 466, 470,
oscillators, 190 476, 480
out-of-plane strain, 296 photodetectors, 369, 600
output power, 231, 304 photodiodes (PDs), 86, 96–97, 369,
373
P photodissociation, 635
p polarization, 186 photofragmentation laser-induced
partial pressure, 164 fluorescence (PF-LIF), 700
particle number concentration photoluminescence (PL), 63,
(PNC), 608 134–136, 140, 158, 179, 382, 455,
particulate matter, 606 463, 473, 673, 777
parts per billion by volume (ppbv), photomultiplier tubes (PMTs),
605 494
parts per trillion (ppt), 598 photon density efficiency (PDE),
passivation, 349, 776 499
passive quenching circuits (PQCs), photon detectors, 534
515 photon population, 297
pathogen, 780 photonics, 858
PbSe, 245 photoresponse, 42, 459, 475, 479
PbSnTe, 331 photovoltaics, 827, 858
PbTe, 245 piezoelectric crystal, 253
peak detectivity, 476 piezoelectric polarization, 296
peak power, 232 piezoelectricity, 179
peak responsivity, 459, 470, 476, p-i-n structures, 337
480 pinhole, 612
peak-to-valley ratio (PVR), 197 planar architecture, 370
peptide, 775 planar interface, 30
peptide nanotubes, 775 Planck’s equation, 545
periodic structures, 293 plasmonic antennas, 742
permeation tube, 617 plasmonic electrodes, 846
PETN, 637 plasmonic nanoantennas, 741
phase-sensitive detection, 675, 682 plasmonic nanoparticles, 849
phonon back-filling, 226, 231 p–n junction, 805
phonon bottleneck, 437, 443–444, Poisson equation, 828
449 polar orientation, 303, 311
phonon mean free path, 535 polar phonon, 170
Index 889

polar semiconductors, 296 quantum wires (QWRs), 436


polarization charges, 198 quantum-dot barrier infrared
pollution monitoring, 598 detector (QD-BIRD), 380
polymerase chain reaction, 784 quantum-dot infrared detectors
polyvinylidene fluoride (PVDF), 861 (QDIPs), 439, 443, 445, 449,
porous graphitic carbon, 860 453
power consumption, 232 quantum-well infrared
power density, 856 photodetectors (QWIPs), 329, 411,
power spectral density (PSD), 263, 439, 442, 449, 481, 534
409 quartz tuning fork, 601
power spectrum, 304 quartz-enhanced photoacoustic
premature-edge-breakdown (PEB), spectroscopy (QEPAS), 599
501 quench, 515
protein conformation, 779 quencher molecules, 778
pseudo-capacitors, 856 quencher-conjugated inactivated
pulsed atomic-layer epitaxy antigens, 787
(PALE), 178 quencher-labeled QDs, 787
pulsed laser fragmentation, 634 Quinn, Joe, 27
Purcell constant, 741
pyroelectric detectors, 534, 607 R
radial p-i-n silicon nanowires,
Q 824
Q factor, 741 radiation frequency, 306, 310
QD/QW hybrid, 479 radiative recombination, 73, 117
quantum cascade lasers (QCLs), 85, radiative recombination constant,
99, 177, 209, 225, 290, 293, 598, 124
641, 646, 674, 687, 699, 745, 757, radiative recombination lifetime,
760 337
quantum dot laser, 438 random phase approximation
quantum dot (QD) sizes, 135 (RPA), 4, 29, 32
quantum dots (QDs), 436–437, 445, random walk, 850
448, 470, 774 random-network thin films, 800
quantum efficiency (QE), 373, 441, rapid scan, 674
446, 466, 481, 483, 824 Rashba Hamiltonian, 564
quantum ring (QR) formation, rate equations, 297, 755
138 RDX, 637
quantum rings (QRs), 138 readout integrated circuit (ROIC),
quantum tunneling, 190 453, 462, 481
quantum well (QW) width, 117 real-energy lines, 573, 576, 586
quantum wells (QWs), 106, 314, recombination, 763
436–437 recombination rates, 441
quantum wells (QWs), narrow and reduced-graphene oxide, 810, 855
deep, 116 refractive index, 297, 312
890 Index

reliability data, 215 Seebeck-coefficient phonon-drag


reproducibility, 349 component, 535
reset, 518 segregation coefficient, 158
resonance impact ionization, 110, selective surface etching, 537
117 selective wet etching, 541
resonant CHCC process, 123 self-assembled nanotubes, 775
resonant phonon, 290 self-pulsation, 301
resonant tunneling, 7 semiconductor disk laser, 244
resonant tunneling diode (RTD), semiconductor laser package
189, 290 assembly, 213
resonant tunneling diode (RTD), semiconductors, 495
nonpolar, 192 sensing, 858
resonant tunneling diode (RTD), sensing applications, 234
polar, 192 sequential tunneling (ST), 15, 440
resonant-cavity-enhanced detectors shallow-trench isolation (STI),
(RCEDs), 247 502
response time, 536 Shockley–Read–Hall (SRH)
responsivity, 440–441, 444, 455, lifetime, 348, 355
480, 482, 536, 539 Shockley–Read lifetime, 252
robot-eye vision, 534 Shockley–Read–Hall processes, 505
roll-to-roll production, 859 short-pulse laser, 301
root-mean-square (RMS) Si substrates, 246
roughness, 462 Si-coaxial structures, 850
Rule 07, 338 SiGe/Si, 291
signal-to-noise ratio (SNR), 441,
S 492, 601
s polarization, 187 silicon avalanche photodiodes
Sb segregation, 134 (SiAPDs), 491
Sb2, 134, 136 silicon photomultipliers (SiPMs),
Sb4, 136, 134 494
scan speed, 676–677 silicon photovoltaics, 824
scanning mode, 616 single-mode frequency tuning, 606
Schrödinger equation, 294 single-photon avalanche diode
secondary ion mass spectroscopy (SPAD), 494
(SIMS), 159, 541 single-photon detectors, 492
second-generation scanning system, single-walled carbon nanotubes
328 (SWCNTs), 796–797, 801
second-harmonic detection, 615 SiO2, 87, 99
security applications, 634 slow-modulation area, 264
Seebeck coefficient, 535 soft solders, 214
Seebeck effect, 534 solar cell, 143
Seebeck-coefficient diffusion solvent-exfoliated graphene, 810
component, 535 source term, 554, 563, 567, 576
Index 891

spatial filter, 612 scanning tunneling microscopy


specific capacitance, 856 (STM), 138
specific detectivity D*, 441, 447, subband structure, 313
453 substrate reabsorption, 188
speckle noise, 654 substrate transmission, 188
spectral crosstalk, 349 sulfur dioxide, 599
spectral response, 144 superlinear electroluminescence
spectral span, 680, 682 (EL), 110, 116
spectral tuning, 253 superlinear luminescence, 125
spectroscopic techniques, 697 surface plasmon, 741
spherical harmonics, 27 surface plasmon resonance, 849
spherical multipass cell, 600 surface segregation, 136
spin phase shifter, 579 surfactants, 778
spin precession, 565, 583 SWCNT chiralities, 798
spin-current operator, 553, 567 SWCNT enantiomers, 798
spin–orbit interaction (SOI), 552, SWCNT-TFTs, 802
563, 573, 579–580 SWCNT–titania nanocomposite,
spin–orbit splitting, 110, 117 810
spin-transfer torque, 563 symmetrization, 554, 562, 584
spintronics, 552 symmetry, 28
split-gate device, 804
spontaneous polarization, 296 T
SPRITE detector, 327 T2SL, 94–96
staggered-gap alignment, 107 TATP, 640
standoff configuration, 634, 637 TE-polarized photons, 297
standoff detection of explosives, technology readiness level (TRL),
647 340
Stark effect, 184 telecommunication wavelengths,
Stark ladder, 7 179
Stefan–Boltzmann law, 542 terahertz absorption, 176
step scan, 674–675, 677 terahertz applications, 176
stimulated emission, 296 terahertz electronics, 534
strain, 140, 447, 451–452 terahertz emission, 177–178
strain balance, 62 terahertz gap, 190
strain profiles, 43 terahertz imaging, 176
strain relief, 183 terahertz lasers, 290
strain-compensated materials, terahertz output power, 307
231 terahertz power, 310
strained-layer superlattices (SLSs), terahertz spectral range, 176
337 tetramers, 134, 136
Stranski–Krastanov (SK) growth, thermal background, 675
134, 437, 447, 449, 477 thermal conductivity, 213
stray-light background, 675 thermal cycle anneal, 247
892 Index

thermal detectors, 534 top-down approach, 825


thermal dissociation top-down methods, 437
chemiluminescence (TDC), 700 trace-gas detection, 598
thermal electron emission, 292 transimpedance amplifier (TIA),
thermal etchback, 179 506
thermal generation rate, 335 transistors, 508
thermal imaging, 369, 534 translational symmetry, 30
thermal resistance, 536 transmission electron microscopy
thermal resistivity, 535 (TEM), 69, 134–135, 451
thermal-expansion mismatch, transmission spectroscopy, 663
246 trapping level, 160
thermally assisted tunneling, 440 tri-diagonal matrix, 295
thermally stimulated capacitance true monomode (TEM00), 256
(TSCAP), 160 tunable diode laser absorption
thermionic emission, 440, 445 spectroscopy (TDLAS), 599
thermoelectric cooling (TEC), tunable laser absorption
600 spectroscopy (TLAS), 699
thermopile detectors, 534 tunneling, 505, 563, 571
thermovoltage, 543–544 tunneling current, 196, 372
thin film transistors (TFTs), 801 tunnelling structures, 157
third-generation HgCdTe systems, two-wire 3! method, 89
330 type-I junction, 111
threading dislocation density, type-II band alignments, 60
252 type-II heterojunctions, 106
threading dislocations, 144 type-II strained-layer superlattices
threshold current, 305 (T2SLSs), 347, 370
threshold current density, 124 type-II superlattice (T2SL), 380
threshold drive-current density,
123 U
threshold voltage, 305 unipolar barriers, 353, 380
time delay and integration (TDI), unipolar laser, 650
328 up- and down-spin currents, 565
time of energy relaxation, 117
time of impact ionization, 117 V
time of radiative recombination, vacuum filtration, 800, 805, 808
117 valence band, 159
time-correlated single-photon valence-band bowing, 63
counting (TCSPC), 518 valence-band offsets, 61
time-resolved photoluminescence, valley current, 196
60 valley voltage, 196
titania, 858 vapor pressure, 634
TNT, 637 vapor-liquid-solid (VLS) growth,
TO-3 package, 235 825
Index 893

vapor-solid growth, 825 wavelength modulation


Varshni and Fan expressions, 73 spectroscopy (WMS), 600
vertical external-cavity wet chemical methods, 697
surface-emitting laser wetting layer, 447, 455
(VECSEL), 244 W-structure photodiode, 338
very long wavelength infrared
(VLWIR), 41 X
viruses, 780 x-ray diffraction (XRD), 135
voltage efficiency, 304
V-T relaxation, 610 Y
Yagi antenna, 32
W
wall-plug efficiency (WPE), 304, Z
307, 313 zero-threshold Auger-
wavefunction overlap, 66 recombination channels, 118
wavefunctions of electrons and zero-threshold mechanism, 122
holes, 119 ZnO nanowires, 851
waveguide, 296 ZnS, 777
waveguide losses, 304 ZnSe, 777
SPIE PRESS

Nature offers us a full assortment of atoms, but nanoengineering is required to put


them together in an elegant way to realize functional structures not found in nature.
To design new optical properties, one must nanoengineer structures on a length
scale smaller than the wavelength of light. To design new electronic properties, one
must nanoengineer structures on a length scale smaller than the wavelength of the
electron. In the end, our ability to control material composition and shape on
nanometer scales is what gives us the ability to achieve technological results that
transcend the properties of naturally occurring materials.

The Wonder of Nanotechnology: Quantum Optoelectronic Devices and Applications,


edited by Manijeh Razeghi, Leo Esaki, and Klaus von Klitzing, summarizes the latest
developments in the application of nanotechnology to modern semiconductor
optoelectronic devices.

Electrons, photons, and even thermal properties can all be engineered at the
nanolevel. Possibly the simplest aspect of nanotechnology, the 2D quantum well has
dramatically enhanced the efficiency and versatility of electronic and optoelectronic
devices. Nanotechnology has now progressed to 1D (quantum wire) and 0D
(quantum dot) systems that exhibit remarkable and sometimes unexpected
behaviors. With these components serving as the modern engineer's building
blocks, it is remarkable to consider the endless possibilities that nanotechnology
holds in store.

P.O. Box 10
Bellingham, WA 98227-0010

ISBN: 9780819495969
SPIE Vol. No.: PM238

You might also like