FPT LSI Profile and Service Overview 20210719
FPT LSI Profile and Service Overview 20210719
FPT LSI Profile and Service Overview 20210719
4
About…
5
About…
6
Table of Content
Design Flow
Currently, there are more than 5500 engineers in Vietnam working on semiconductor domain 9
2. IC Design Industry
LSI Overall Introduction
2
2. LSI Overall Introduction
LOGIC SYNTHESIS & DFT: PHYSICAL DESIGN: POST-SILICON SERVICE: FIELD APPLICATION ENGINEER:
Logic Synthesis Floor Planning Silicon Validation Hardware Design
Timing Analysis Placement & Routing FPGA prototyping PCB Design & Assembly
DFT (JTAG, SCAN, BIST) Clock Tree Synthesis Test/Validation Board ATE Engineering
STA – Static Timing Analysis Physical Verification Silicon Bring Up Embedded Engineering
(DRC/LVS)
Power/Area Optimization
12
RTL Design and Verification Flow
3
3. RTL Design and Verification
RTL Design and Verification
o MCU, CPU, PCIe, DDR, AMBA Bus, USB, UFS
o ARM CPU Customization and ARM-based SoC Verification.
o Static Verification: Static RTL check, CDC verification, Formal Verification.
o Work with AMBA Bus(AXI4, AXI3, AHB, APB) and Peripheral IPs (IIC, WDT,UART, Timer, DMAC,
SD Card…).
‒ HDL Languages:
C/C++, Verilog, VHDL, System Verilog, UVM, System C, Matlab, scripting languages(Tcl, Cshell,Perl)
14
3. RTL Design
Input
Full flow of Design flow: Customer
Specification, Coding and Static requirements
Specification
Tools and Techniques:
System Specification - Document
Processing Tool (MS
Office)
Architecture Design
Y
Bug? Bug analyze & report
N
Main
tain
Deliver to customer
15
3. RTL Design Flow
1.ic_design_flow.pptx
3. RTL Verification
Input
Full flow of verification: Planning, Customer Specifications +
requirements RTL design
Verification Executing and
Analyze and investigate
Maintain requirement Tools and Techniques:
- Question and Answer
Planning
Features extraction - Checklist
- Vplaner
Executing
Simulation/Formal and
debugging
Y
Bug? Bug analyze & report
N
Regression and analyze
functional/code coverage
N Coverage
reaches? Y
Main
tain
Deliver to customer Maintain env./test-cases
17
3. EDA Tool
Methodology Language Tool
Verilog/VHDL Cadence: Incisive Enterprise Simulator (ncvlog, ncvhdl,
ncelab, ncsim, irun, simvision), Verification IPs (AMBA,
SystemVerilog PCIe, USB, MIPI, SATA, memory model, I2C, I2S, JTAG,
UART), Stratus, IMC.
Direct Verification
Synopsys: VCS MX, Verdi, Verification IPs (AMBA, PCIe,
USB, MIPI, SATA, memory model, I2C, I2S, JTAG, UART),
SystemC
URG.
Mentor Graphics: ModelSim/QuestaSim.
Cadence: Incisive Enterprise Simulator (ncvlog, ncvhdl,
ncelab, ncsim, irun, simvision), UVM/OVM/Assertion-
Random Verification
SystemVerilog Based Verification IP, IMC.
(UVM/OVM/Assertion-Based)
Synopsys: VCS MX, Verdi, UVM/OVM/Verification, URG.
Mentor Graphics: ModelSim/QuestaSim.
Cadence: JasperGold.
Formal Verification SystemVerilog Synopsys: VC Formal.
18
19
ASIC Physical Implementation – Tools
Design Flow Task EDA Tool
Synopsys Design Compiler
Logic synthesis
Cadence RTL Compiler
Synopsys DFT Compiler
Design for test
Synopsys BSD Compiler
Floorplanning Cadence Encounter EDI
Design implementation Cadence Encounter EDI
Physical implementation
Synopsys IC Compiler and IC Compiler II
Cadence QRC
RC extraction
Mentor Graphics xRC
Engineering change order (ECO) Cadence Conformal ECO
• Logic synthesis
Library RTL SDC
• Formality NO Pre-layout
PTS
STA
• Floor-planning
YES
• Parasitic Extraction
Back Annotation Extract-RCXT
• Static Timing Analysis
NO
• Signal Integrity Analysis Post-layout
STA PTS/PTSI
• Power Analysis NO
YES
Physical Verification
• Physical verification Calibre
Tape-out
21
4 Field Application Engineer Service
FAE - PCB Design
Designing Verification
High-Speed rules
Multi-Layer PCB Design High-Power Circuit Design and Power
Design For Test (DFT) and Design for
High-Speed and High-Density SMT Distribution Design
Manufacturing (DFM)
PCB Design • Matched Length Design
IPC Standard
Blind, Buried, and Micro Via • Impedance Control For Single-Ended
Signal Integrity (SI) verification
Mixed-Signal Design and Differential pair
EMI/EMC
Micro-BGA and Multi-BGA Package • EMI/EMC Compliance
PCB Thermal Analysis
Types
In-process cross QC
RF Design
Flexible PCB Design
24
FAE - PCB Design Tools
• Cadence Allegro
• Altium Designer
• Mentor Graphics Expedition Enterprise
• Valor NPI
• Hyper Lynx
• Zuken – Design Gateway
• Solid work
25
FAE - PCB Case study TCU device
• Scope: Schematic capture, PCB layout, PCB verification, PCB Assembly
Mobile App-Demonstration
27
Why Study and Learn IC design
• Not a spectator sport -> Learn/ Do/ Issue
• Practice/Experience
Project
No. Descriptions Target
1 CPU Design and simulation RTL, Verilog, VHDL, IC
2 IP design and Simulation RTL, Verilog, VHDL, IC
3 Cache of CPU RTL, Verilog, VHDL, IC
4 BUS system: AXI, AHB RTL, Verilog, VHDL, IC
5 DSP IP: Video, Audio RTL,
6 System on FPGA RTL, FPGA
Exam
Review with slide:
1.IC Design Overview
2.What is SoC System? (System on Chip)
3.What is IP?(Intelligent Property)
4.FPGA vs ASIC design
5.A.I in IC Design ( FPGA)
THANK YOU
31
CS #9: FPGA-based ADAS Hardware Implementation
Scope
H03
Design the FPGA-based system with features of: Temperature I/O Extend
Sensor FMC card
10Mhz
GPS_ OCXO 13 Ethernet
IMU
SPI/I2C
125Mhz
GTx Tx
Rx FlexRay PHY H
Tx
3. 8 lanes PCIe gen 3 FPGA Tx_en
(FlexRay
transceiver)
L
R bus
• IP core 2.5Gbps
Quad GMSL
Deserializer
MIPI CSI-4
4 lanes GTx
SATA1
• Software/Driver 2.5Gbps
Quad GMSL
Deserializer
MIPI CSI-4
4 lanes
UART1_Rx
• IP core GTx
USB1 3.0
• Software/Driver
USB4 3.0
33