Bio Nanofluidic MEMS Hesketh
Bio Nanofluidic MEMS Hesketh
Bio Nanofluidic MEMS Hesketh
BioNanoFluidic MEMS
Peter Hesketh, ed.
ISBN 978-0-387-46281-3
Forthcoming Titles
Photonic Microsystems
Olav Solgaard
ISBN 978-0-387-29022-5
Inertial Microsensors
Andrei M. Shkel
ISBN 978-0-387-35540-5
Peter J. Hesketh
Editor
BioNanoFluidic MEMS
Editor
Peter J. Hesketh
George W. Woodruff
School of Mechanical Engineering
Georgia Institute of Technology
Atlanta, GA 30332-0405
c 2008 Springer Science+Business Media, LLC
All rights reserved. This work may not be translated or copied in whole or in part without the written
permission of the publisher (Springer Science+Business Media, LLC., 233 Spring Street, New York,
NY10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in
connection with any form of information storage and retrieval, electronic adaptation, computer software,
or by similar or dissimilar methodology now known or hereafter developed is forbidden.
The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are
not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to
proprietary rights.
9 8 7 6 5 4 3 2 1
springer.com
Preface
Chapter 1 sets the stage by surveying the past and present of core microelectronic
nanotechnology, and addresses its likely future directions. It addresses a central
question: is the most appropriate method for integration based upon traditional top
down methods, or are bottom up methods more appropriate for manufacturing?
Chapter 2 examines the high temperature growth of a range of metal oxide nanos-
tructures that form nanobelts, nanowires, and nanorods. These materials exhibit
notably unique properties of special relevance because they become evident at the
nanoscale size. These materials represent an example of a broad class of nanomate-
rials that promise suitability for integration with microelectronics.
Chapter 3 discusses direct write lithography methods and their processing advan-
tages and limitations.
Chapter 4 presents an introduction to and an overview of nanofabrication
methods.
Chapter 5 examines emerging nanoimprinting methods.
Chapter 6 describes methods for nondestructive nanoscale material
characterization.
Chapter 7 addresses the use of micro stereo-lithography. Micro- and nanodevices
need to be connected to the outside world, and this highly versatile method provides
customized coupling either to individual dies or to arrays, and even to wafer-scale
integrated packaging.
Chapters 8 through 10 survey nanobiofluidic system applications, including case
studies for chemical sensors, nanopores-to-DNA sequencing, and biomaterial cell-
surface interfaces.
Chapter 11 concludes the discussion with an exploration into integration methods
for fine-pitch electrical connections to nanobiosensors.
I would very much like to thank all of the contributing authors for the timely
submission of their manuscripts and for assisting in reviews of their co-authors’
chapters. Thanks to Philip Duris for editorial suggestions, in particular a detailed
editing of Chapter 4.
It has been a great pleasure to have been a participant in the preparation of this
book, principally because of the involvement of such a knowledgeable group of
faculty and researchers. The interdisciplinary nature of this important, dynamic, and
challenging area of research necessitated the contributions of all involved, to whom
I am deeply grateful.
Peter J. Hesketh
Contents
3 Nanolithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Raghunath Murali
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Contributors
James D. Meindl
Abstract The predominant economic event of the 20th century was the informa-
tion revolution. The most powerful engine driving this revolution was the silicon
microchip. During the period from 1960 through 2000, the productivity of semi-
conductor or silicon microchip technology advanced by a factor of approximately
100 million. Concurrently, the performance of the technology advanced by a factor
greater than 1000. These sustained simultaneous advances were fueled primarily
by sequentially scaling down the minimum feature size of the transistors and inter-
connects of a microchip thereby both reducing cost and enhancing performance. In
2005 minimum feature sizes of 80 nanometers clearly indicate that microchip tech-
nology has entered the 1–100 nanometer domain of nanotechnology through use of a
“top-down” approach. Moreover, it is revealing to recognize that the 300-millimeter
diameter silicon wafers, which facilitate microchip manufacturing, are sliced from
a 1–2 meter long single crystal ingot of hyper-pure silicon. This silicon ingot is pro-
duced by a “self–assembly” process that represents the essence of the “bottom-up”
approach to nanotechnology. Consequently, modern silicon microchips containing
over one billion transistors are enabled by a quintessential fusion of top-down and
bottom-up nanotechnology.
Due to factors such as transistor leakage currents and short-channel effects,
critical dimension control tolerances, increasing interconnect latency and switch-
ing energy dissipation relative to transistors, escalating chip power dissipation and
heat removal demands as well as design, verification and testing complexity, it
appears that the rate of advance of silicon microchip technology may decline dras-
tically within the next 1–2 decades. Nanotechnology presents a generic opportu-
nity to overcome the formidable barriers to maintaining the historical rapid rate of
advance of microchip technology and consequently the information revolution itself.
The breakthroughs that are needed are unlikely without a concerted global effort
on the part of industries, universities and governments. Nurturing such an effort
J. D. Meindl
School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta,
GA 30332, USA
1.1 Introduction
Beginning about 10,000 years ago in the Middle East, the agricultural revolution was
a crucial development in human history. This revolution enabled the accumulation
of surplus food supplies, which gave rise to large settlements and the emergence of
Western civilization itself.
The industrial revolution that began in the 18th century in Europe was the most
far-reaching, influential transformation of human culture following the agricultural
revolution. The consequences of the industrial revolution have changed irrevoca-
bly human labor, consumption and family structure; it has caused profound social
changes, as Europe moved from a primarily agricultural and rural economy to a cap-
italist and urban economy. Society changed rapidly from a family-based economy
to an industry-based economy.
The information revolution was the predominant economic event of the 20th cen-
tury and promises to continue well into the 21st century and beyond. It has given
us the personal computer, the multi-media cell phone, the Internet and countless
other electronic marvels that influence our daily lives. The explosive emergence of
the Internet and its potential to create a global information infrastructure, a global
educational system and a global economy provide a unique opportunity to improve
the quality of life of all people to unprecedented levels.
1.2 In Retrospect
Perhaps the three most prominent inventions that collectively launched the informa-
tion revolution were the transistor in 1947 [1], the stored program digital computer
in 1945 [2] and the silicon monolithic integrated circuit or “microchip” in 1958 [3].
The single most powerful engine driving the information revolution has been the
silicon microchip for two compelling reasons, productivity and performance. For
example, from 1960 through 2000, the productivity of silicon technology improved
by a factor or more than 100 million [4, 5]. This is evident from the fact that the
number of transistors contained within a microchip increased from a handful in
1960 to several hundred million in 2000, while the cost of a microchip remained
virtually constant. Concurrently, the performance of a microchip improved by a
factor of more than 1,000 [6]. These simultaneous sustained exponential rates of
improvement in both productivity and performance are unprecedented in techno-
logical history.
The most revealing microchip productivity metric, the number of transistors per
microchip, N, can be quantified by a simple mathematical expression: N = F –2
•D2 •PE where F is the minimum feature size of a transistor, D2 is the area of
the microchip and PE is the transistor packing efficiency in units of transistors per
1 Nanotechnology: Retrospect and Prospect 3
minimum feature square or [tr/F2 ] [7]. One can graph log2 vs. calendar year, Y, and
then take the derivative of the plot, d(log2 N)/dY, to observe that N doubled every
12 months in the early decades of the microchip [4, 8] and every 18 months in more
recent decades [8]. This incisive observation is now quite widely known as Moore’s
Law [9].
The minimum feature size of a transistor, F, has been reduced at a rapid rate
throughout the entire history of the microchip [9, 10] and is projected to con-
tinue to decrease for at least another decade [11]. Chip area, D2 , increased less
rapidly than F−2 in the early decades of the microchip [9, 10] and maximum chip
area is projected to saturate for future generations of technology [11]. Packing
efficiency, PE, has increased monotonically throughout the entire history of the
microchip but at a considerably smaller rate than F−2 [9–11]. The key observation
regarding F, D and PE is that reducing the minimum feature size of a transistor,
F, or “scaling” has been the most effective means of increasing the number of
transistors per chip, N, and consequently improving the productivity of microchip
technology.
The most appropriate metric for gauging the performance of a microchip depends
greatly on its particular product application. For a microprocessor, the number of
instructions per second, IPS, executed by the chip is a commonly used performance
metric [12]. A useful mathematical relationship for this metric is: IPS = IPC •fC
where IPC is number of instructions per cycle and fC is the number of cycles
per second or clock frequency of the chip. The IPC executed by a microproces-
sor depends strongly on both the hardware microarchitecture of the chip and its
software instruction set architecture. Throughout the history of the microprocessor
its microarchitecture has been influenced significantly by the capabilities and lim-
itations of silicon monolithic microchip technology [12]. This has become quite
evident with the recent advent of the chip multiprocessor (or cell microprocessor),
CMP, [13,14], which consists of a (growing) number of complex cells each of which
is effectively a microprocessor. The principal purpose of the CMP is to increase the
number of instructions per cycle, IPC, executed by the chip. The microarchitecture
of a chip multiprocessor is particularly enabled by the cost and latency reductions
resulting directly from reduced feature size or scaling of transistors. Consequently,
it is clear that scaling effectively enables increases in IPC.
Moreover, the more than 1,000 times increase of microprocessor clock frequency,
fC , from approximately one megahertz in the early 1970’s to greater than one giga-
hertz in the past several years has been driven primarily by feature size and con-
sequent latency reductions due to transistor scaling. In addition, circuit innovations
have promoted increasing clock frequencies. Again, the key observation is that scal-
ing has been a most effective means of increasing both IPC and fC and consequently
the performance, IPS, of a microprocessor.
The salient conclusion of the preceding review of microchip productivity, N,
and performance, IPS, is that scaling has been the most effective means for their
enormous advancements. Scaling has been the most potent “fuel” energizing the
microchip engine, which has been the most powerful driver of the information
revolution.
4 J. D. Meindl
Throughout the nearly five-decade history of the silicon microchip, its “pac-
ing” technology has been microlithography, which enables scaling. For example,
in 1960 the minimum feature size, F, of a microchip transistor was approximately
25 m; by 2000, F had scaled down over two decades to a value of 0.25 m; and in
2005 transistor printed gate length is 45 nanometers, nm, and copper interconnect
half pitch is 80 nm [11]. In addition, current field effect transistor gate oxynitride
insulator thickness is in the 1.5 nm range. These 2005 transistor and intercon-
nect dimensions clearly indicate that silicon microchips have entered the 1.0–100
nanometer domain of nanotechnology [15].
The entry of the microchip into the realm of nanotechnology has been accom-
plished by exploiting a “top-down” approach. Transistor and interconnect dimen-
sions have been sequentially scaled down for more than four decades through a
continuing learning process. However, viewing the development of silicon technol-
ogy from this perspective alone could be misleading. It is revealing to recognize
that modern silicon microchip manufacturing begins with a 300-millimeter (mm)
diameter wafer that is sliced from a single crystal ingot of silicon, which is 1–2
meters in length. The density of atoms in this ingot is 5×1022 /cm3 and the atomic
spacing is 0.236 nm. Perhaps the most interesting feature of this ingot is that it
is entirely “self-assembled” atom-by-atom during its growth by the Czochralski
process [16]. This process has been used for volume production of silicon crystals
since the mid-1950s. It is patently “bottom-up” nanotechnology. Consequently, in
2005, silicon microchips exploit a quintessential fusion of top-down and bottom-
up nanotechnology. This fusion has been and remains paramount to the success of
microchip technology.
1.3 In Prospect
In projections regarding the prospects of nanotechnology as applied to gigascale and
terascale levels of integration for future generations of microchips, it is interesting to
consider a scenario that postulates a continuing fusion of top-down and bottom-up
approaches. Without a virtually perfect single-crystal starting material it is difficult
to project batch fabrication of billions and trillions of sub-10 nm minimum feature
size binary switching elements (i.e. future transistors) in a low cost microchip. It
is equally difficult to imagine the purposeful design, verification and testing of a
multi-trillion transistor computing chip without a disciplined top-down approach.
Consequently, this particular prospective is based on the premise of a fusion of
top-down and bottom-up nanotechnology with the target of advancing the infor-
mation revolution for another half-century or more. Discussion of the prospects
of nanotechnology begins with an assessment of the most serious obstacles now
confronting silicon microchip technology as it continues to progress more deeply
into the nanotechnology space. Subsequently, a tentative projection of the salient
challenges and opportunities for overcoming these obstacles through nanotechnol-
ogy and more specifically through carbon nanotube technology is outlined.
1 Nanotechnology: Retrospect and Prospect 5
A selected group of grand challenges that must be met in order to sustain the
historic rate of progress of silicon microchip technology includes the following:
1) field effect transistor (FET) gate tunneling currents a) that are increasing rapidly
due to the compelling need for scaling gate insulator thickness and b) that serve
only to heat the microchip and drain battery energy; 2) FET threshold voltage that
rolls-off exponentially below a critical value of channel length and consequently
strongly increases FET subthreshold leakage current without benefit; 3) FET sub-
threshold swing that rolls-up exponentially below a critical channel length and con-
sequently strongly reduces transistor drive current and therefore switching speed; 4)
critical dimension tolerances that are increasing with scaling and therefore endan-
gering large manufacturing yields and low cost chips; 5) interconnect latency and
switching energy dissipation that now supercede transistor latency and switching
energy dissipation and this supercession will only be exacerbated as scaling con-
tinues; 6) chip power dissipation and heat removal limitations that now impose the
major barrier to enhancement of chip performance; and 7) rapidly escalating design,
verification and testing complexity that threatens the economics of silicon microchip
technology.
Although the preceding grand challenges appear daunting, prospects for meet-
ing them are encouraging due to the exciting opportunities of nanotechnology as
eloquently summarized in the words of Professor Richard Feynman [17]: “There
is plenty of room at the bottom.” In 1959 he articulated an inspiring vision of nan-
otechnology [17]: “The principles of physics, as far as I can see, do not speak against
the possibility of maneuvering things atom by atom. It is not an attempt to violate
any laws; it is something, in principle, that can be done; but in practice, it has not
been done because we are too big.”
Several relatively recent advances in nanotechnology reveal encouraging
progress toward fulfillment of Feynman’s vision. First among these advances
was the invention of the scanning tunneling microscope in 1981 by Binnig
and Rohrer [18]. This novel measurement tool is capable of imaging individual
atoms on the surface of a crystal and thus providing a new level of capability to
understand what is being built “atom by atom.” A second major advance was the
discovery of self-assembled geodesic nanospheres of 60 carbon atoms in 1985 by
Smalley [19]. A third was the discovery of self-assembled carbon nanotubes in
1990 by Iijima [20]. A fourth was the demonstration, by two separate teams, of
carbon nanotube transistors in 1998 [21, 22]. The latter three of these advances
deal with carbon nanostructures, which currently represent the particular area of
nanotechnology that has been most widely investigated as a potential successor
(or extender) of mainstream silicon microchip technology. Consequently, this
discussion now focuses on carbon nanotube (CNT) technology as a prime example
of the prospects of nanotechnology.
Key challenges that carbon nanotube technology must meet if it is to prove useful
for gigascale and terascale levels of integration can be summarized succinctly in
two words: precise control. Precise control must be achieved of: 1) CNT transistor
placement; 2) CNT transistor semiconductor properties or chirality; 3) precise con-
trol of CNT interconnect placement; 4) precise control of CNT interconnect metallic
6 J. D. Meindl
Nanotube Connections
Controlled Assembly of
Multiple Connections
Single-wall Nanotube 2 µm 500nm
Networks of Varying
Density/Pitch (Courtesy Prof. P. Ajayan)
Ideal
Ideal Carbon
Carbon Nanotubes
Nanotubes versus
versus Copper
Copper Wires
Wires inin 2016
2016 (22nm
(22nm Node)
Node)
Fig. 1.2 Ideal carbon nanotubes compared with copper wires in 2016 (22 nm node)
1.4 Conclusion
The key conclusion that emerges from the foregoing retrospective and prospective
reviews of nanotechnology is that apparently it represents our best prospect for
continuing the exponential rate of advance of the information revolution. Recent
8 J. D. Meindl
References
1. Ross, I. M. (1998). The Invention of the Transistor. Proceedings of the IEEE: Special Issue:
50th Anniversary of the Transistor, 28, 7–28.
2. Seitz, F., & Einspruch, N. (1998). Electronic Genie: The Tangled History of Silicon. Urbana
and Chicago, IL: University of Illinois Press.
3. Kilby, J. S. (1959). U.S. Patent No. 3,138,743. Washington, DC: U.S. Patent and Trademark
Office.
4. Moore, G. E. (1965, April 19). Cramming More Components onto Integrated Circuits. Elec-
tronics, 38, No. 8.
5. Takai, Y., et al. (1999). A 250Mb/s/pin 1GB Double Data Rate SDRAM with a Bi-directional
Delay and an Inter-bank Shared Redundancy Scheme. IEEE International Solid-state Circuits
Conference, February 15–17, (pp. 418–419). Augusta, ME: The J. S. McCarthy Co.
6. Thompson, S., et al. (2002, May 16). 130nm Logic Technology Featuring 60nm Transistors,
Low-K Dielectrics and Cu Interconnects. Intel Technology Journal: Semiconductor Technol-
ogy and Manufacturing, 6(2), 5–13.
7. Meindl, J. (1993). Evolution of Solid-State Circuits: 1958-1992-20??, Digest of Papers, IEEE
International Solid-State Circuits Conference, February 24–26, (pp. 23–26).
8. Moore, G. E. (2003). No Exponential is Forever: But “Forever” can be Delayed! IEEE
International Solid-State Circuits Conference, February 9–13, (20–23). Augusta, ME: J. S.
McCarthy Printers.
9. Moore, G. E. (2003). Progress in Digital Integrated Electronics, Technical Digest of IEEE
International Electron Devices Meeting, p. 11, Dec. 1975.
10. Meindl, J. D. “Theoretical, Practical and Analogical Limits in ULSI”, Technical Digest, IEEE
International Electron Devices Meeting, pp. 8–13, Dec. 1983.
11. Tokyo, J. (2004). International Technology Roadmap for Semiconductors Update.
December 1.
12. Hennessy, J. L., & Patterson, D. A. (1990). Computer Architecture: A Quantitative Approach,
San Mateo, CA: Morgan Kaufmann Publishers, Inc.
13. Naffziger, S., et. al. (2005). The Implementation of a 2-core Multi-Threaded Itanium-Family
R
Processor, IEEE Solid-State Circuits Conference, February 6–10, (pp. 182–183). Lisbon Falls,
Maine: S3 Digital Publishing, Inc.
14. Pham, D., et al. (2005). The Design and Implementation of a First-Generation CELL Pro-
cessor, IEEE Solid State Circuits Conference, February 6–10, (pp. 184–185). Lisbon Falls,
Maine: S3 Digital Publishing, Inc.
15. Nanoscale Science, Engineering and Technology Subcommittee. (2004). The National Nan-
otechnology Initiative Strategic Plan. Washington, DC: National Science and Technology
Council.
16. Huff, H. R. (1997). Twentieth Centery Silicon Microelectronics, ULSI Science and Technol-
ogy/1997, ECS PV 97-3, 53–117.
17. Feynman, R. P. (1992). There’s Plenty of Room at the Bottom. Journal of Microelectrome-
chanical Systems. 1(1), 60–66.
1 Nanotechnology: Retrospect and Prospect 9
18. Binnig, H. G., Rohrer, C. G., & Weibel, E. (1981). Tunneling through a controllable vacuum
gap. Applied Physics Letters, 40(2), 178–180.
19. Kroto, H. W., Heath, J. R., O’Brien, S. C., Curl, R. F., & Smalley, R. E. (1985). C60 : Buck-
minsterfullerene. Nature, 318, 162–163.
20. Ijiima, S. (1991). Helical microtubules of graphitic carbon. Nature, 354, 56–58.
21. Tans, Sander J, Verschueren, Alwyin R. M., Dekker, C. (1998). Room-temperature transistor
based on a single carbon nanotube. Nature, 393, 49–52.
22. Martel, R., Schmidt, T., Shea, H. R., Hertel, T., & Avouris, Ph. (1998). Single- and multi-wall
carbon nanotube filed-effect transistors. Applied Physics Letters, 73(17), 2447–2449.
23. Jung, Y., et al. (2003). High-Density, large-Area Single-Walled Carbon Nanotube Networks
on nanoscale Patterned substrates. Journal of Physical Chemistry, 107, 6859–6864.
24. Appenzeller, J., Lin, Y. M., Knoch, J., & Avouris, Ph. (2004). Band-to-Band Tunneling in Car-
bon Nanotube Field-Effect Transistors. Physical Review Letters, 93(19), 196805-1-196805-4.
25. Naeemi, A., Sarvari, R., & Meindl, J. D. (2004). Performance Comparison between Carbon
Nanotube and Copper Interconnects for GSI. IEEE International Electron Devices Meeting.
December 13–15, 29.5.1–29.5.4.
26. San Francisco, C. A. (2005). First International Nanotechnology Conference on Communica-
tion and Cooperation. June 1–3.
27. Kirihata, T., et al. (1999). A 390mm2 16 Bank 1 Gb DDR SDRAM with Hybrid Bitline Archi-
tecture. IEEE International Solid-State Circuits Conference, February 15–17, (pp. 422–423).
Augusta, ME: The J. S. McCarthy Co.
28. Noyce, R. N. (1959). U.S. Patent No. 2,981,877. Washington, DC: U.S. Patent and Trademark
Office.
Chapter 2
Synthesis of Oxide Nanostructures
Abbreviation
2.1 Introduction
Functional oxides are probably the most diverse and rich materials that have
important applications in science and technology for ferromagnetism, ferroelectric-
ity, piezoelectricity, superconductivity, magnetoresistivity, photonics, separation,
catalysis, environmental engineering, etc. [1] Functional oxides have two unique
structural features: switchable and/or mixed cation valences, and adjustable oxygen
deficiency, which are the bases for creating many novel materials with unique
electronic, optical, and chemical properties. The oxides are usually made into
Z. L. Wang
School of Materials Science and Engineering Georgia Institute of Technology, Atlanta, GA
30332-0245, USA
e-mail: zhong.wang@mse.gatech.edu
nanoparticles or thin films in an effort to enhance their surface sensitivity, and they
have recently been successfully synthesized into nanowire-like structures. Utilizing
the high surface area of nanowire-like structures, it may be possible to fabricate
nano-scale devices with superior performance and sensitivity. This chapter reviews
the general techniques used for growing one-dimensional oxide nanostructures.
2.2.1 VS Growth
The vapor phase evaporation represents the simplest method for the synthesis of
one-dimensional oxide nanostructures. The syntheses were usually conducted in a
tube furnace as that schematically shown in Fig. 2.1 [2]. The desired source oxide
materials (usually in the form of powders) were placed at the center of an alumina or
quartz tube that was inserted in a horizontal tube furnace, where the temperatures,
pressure, and evaporation time were controlled. Before evaporation, the reaction
chamber was evacuated to ∼1–3×10–3 Torr by a mechanical rotary pump. At the
reaction temperature, the source materials were heated and evaporated, and the
vapor was transported by the carrier gas (such as Ar) to the downstream end of
the tube, and finally deposited onto either a growth substrate or the inner wall of the
alumina or quartz tube.
For the vapor phase evaporation method, the experiments were usually carried
out at a high temperature (>800◦C) due to the high melting point and low vapor
pressure of the oxide materials. In order to reduce the reaction temperature, a mixed
source material, in which a reduction reaction was involved, was employed. For
example, Huang et al. [3] obtained ZnO nanowires by heating a 1:1 mixture of ZnO
and graphite powders at 900−925◦C under a constant flow of Ar for 5–30 minutes.
In addition, the reaction temperature can be further reduced when the low melting
point metal that is the cation of the final oxide compound was heated in an oxidized
atmosphere.
Fig. 2.1 Schematic experimental setup for the growth of one-dimensional oxide nanostructures via
an evaporation-based synthetic method
2 Synthesis of Oxide Nanostructures 13
Fig. 2.2 SEM image of ZnO nanobelts. The inset is a TEM image showing the morphological
feature of the nanobelts
Figure 2.2 shows the vapor-solid process synthesized ZnO nanobelts. The as-
synthesized nanobelts have extremely long length and they are dispersed on the
substrate surface. The nanobelt has a rectangular cross-section and uniform shape.
The quasi- one dimension structure and uniform shape are a fundamental ingredient
for fabrication of advanced devices.
In the VLS process (Fig. 2.3), a liquid alloy droplet composed of metal catalyst
component (such as Au, Fe, etc.) and nanowire component (such as Si, III–V com-
pound, II–V compound, oxide, etc.) is first formed under the reaction conditions.
The metal catalyst can be rationally chosen from the phase diagram by identifying
metals in which the nanowire component elements are soluble in the liquid phase but
do not form solid solution. For the 1D oxide nanowires grown via a VLS process, the
commonly used catalysts are Au [3], Sn [5], Ga [6], Fe [7], Co [8], and Ni [9]. The
liquid droplet serves as a preferential site for absorption of gas phase reactant and,
when supersaturated, the nucleation site for crystallization. Nanowire growth begins
after the liquid becomes supersaturated in reactant materials and continues as long
as the catalyst alloy remains in a liquid state and the reactant is available. During
growth, the catalyst droplet directs the nanowire’s growth direction and defines the
diameter of the nanowire. Ultimately, the growth terminates when the temperature
is below the eutectic temperature of the catalyst alloy or the reactant is no longer
available. As a result, the nanowires obtained from the VLS process typically have
a solid catalyst nanoparticle at its one end with diameter comparable to that of the
connected nanowires. Thus, one can usually determine whether the nanowire growth
was governed by a VLS process form the fact that if there present a catalyst particle
at one end of the nanowire.
Figure 2.4 shows an array of ZnO nanowire arrays grown by VLS approach on
sapphire substrate. The distribution of the Au catalyst determines the locations of
the grown nanowires, and their vertical alignment is determined by the epitaxial
growth on the substrate surface.
and called as supercritical fluid (Fig. 2.5a). The solubility to the reactants and trans-
portation ability to the ions in the liquid of such a fluid is much better than that in
water. Therefore, some reactions that are impossible to carry on in water in ambient
atmosphere can happen at a hydrothermal condition. Normally, hydrothermal syn-
thesis process is a one-step reaction. All the reactants with water are added into the
autoclave. The reaction occurs in the sealed autoclave when the system is heated,
and the nanostructures can be obtained after the autoclave cooled down.
During the reaction, temperature of the reaction system and the pressure in the
autoclave are very important for the reaction results, such as the phase and mor-
phology of the product. The amount of water percentage in the vessel determines
the prevailing experimental pressure at a certain temperature [12]. In hydrothermal
systems, the dielectric constant and viscosity of water decrease with rising tempera-
ture and increase with rising pressure, the temperature effect predominating [13,14].
Owing to the changes in the dielectric constant and viscosity of water, the increased
temperature within a hydrothermal medium has a significant effect on the speciation,
solubility, and transport of solids. Formation of metal oxides through a hydrothermal
method should follow such a principal mechanism: the metal ions in the solution
react with precipitant ions in the solution and form precipitate, and the precipitate
dehydrate or decompound in the solution at a high temperature and form crystalline
metal oxide nanostrucutres [15].
16 C. Hu et al.
Fig. 2.5 Phase Diagram of water; b. An autoclave for synthesis of oxide nanostructure
Fig. 2.6 Morphology of MnO2 nanostructures synthesized through a hydrothermal method [16]. a.,
b. ␣-MnO2 nanowires; c., d. β- MnO2 nano rods
Fig. 2.7 MnO2 nanobelts synthesized through a hydrothermal method by using Mn2 O3 as starting
material [17]
18 C. Hu et al.
Fig. 2.8 Morphology of Tb4 O7 (a, b) and Y2 O3 nanotubes synthesized through a hydrothermal
method and calcining [18]
Fig. 2.9 TiO2 nanorods (a) and nano-spheres synthesized through a MMH method [19]
Fig. 2.10 Schematic illustration of the synthesis of metal oxide hollow spheres from hydrother-
mally treated carbohydrate and metal salt mixtures (a) and SEM images of NiO (b), Co3 O4 (c),
CeO2 , and (d) MgO hollow spheres [20]
Fig. 2.11 ZnAl2 O4 nanorods synthesized by a hydrothermal method, a. before calcinations; b after
calcinations at 750◦ C for 5 hours [21]
2 Synthesis of Oxide Nanostructures 21
Fig. 2.12 TEM micrographs of zircon-type ZrGeO precipitates; (a) in the absence of urea, (b) in
the presence of 0.1 mol/dm3 urea [22]
in recent decades. This synthesis method will attract more attention because of its
novel reaction mechanism and wide application in synthesis of some oxide be exten-
sively studied, because of its low-cost and novel mechanism for synthesis of some
nanomaterials with special nanostrucures.
Fig. 2.14 Preparation steps of synthesis of complex oxides. 1 complex hydroxides, 2 source mate-
rials, 3 heating, 4 mixed solution of melten complex hydroxides and source materials, 5 form
of nanostructures and growth, 6 stop heating, 7 cooling, 8 washing and filtrating, 9 as-produced
nanomaterials
Fig. 2.15 (a) TEM image of ultrafine CeO2 nanoparticles with size of 3–6 nm. (b) SEM image of
Cu2 O nanowires. (Hu et al., to be published)
24 C. Hu et al.
2.3.1 Perovskites
The perovskite structure ABO3 , constitutes one of the most basic and important
structures in solid-state science. This is not only because of its relative simplicity,
but also due to the fact that the structure leads itself to a wide variety of chemi-
cal substitutions at the A, B and O sites, provided the ionic radius and the charge
neutrality criteria are satisfied. In addition, many members of this family are found
to be useful in various technological applications. This is a direct consequence of
their wide spectrum of interesting physical properties such as electrical, magnetic,
dielectric, optical and catalytic behaviors.
Our first example of perovskite (ABO3 ) is BaTiO3 , an important ferroelectric
material [32]. The synthesis follows the following steps. (1) An amount of 20 g
of mixed hydroxides (NaOH:KOH=51.5:48.5) is placed in a 25 ml covered Teflon
vessel. (2) A mixture of anhydrous BaCl2 and TiO2 at 0.5 mmol each is used as the
raw material for reaction. (3) The raw material is placed on the top of the hydrox-
ide in the vessel. The vessel is put in a furnace, which is preheated to 200◦ C. (4)
After the hydroxides being totally molten, the molten hydroxide solution is stirred
2 Synthesis of Oxide Nanostructures 25
by a platinum bar or by shaking the covered vessel to ensure the uniformly of the
mixed reactants. (5) After reacting for 48 hours, the vessel is taken out and cooled
down to room temperature. Then, deionized water is added to the solid product. The
product is filtered and washed by first deionized water and then hot water to remove
hydroxide on the surface of the particles.
X-ray diffraction (XRD) measurement proved that the as-synthesized prod-
uct is tetragonal BaTiO3 (P4 mm, JCPD 81-2203) (Fig. 2.16a). Scanning electron
microscopy (SEM) image of the powder shows that the particles are nanocubes
or nanocuboids with 30–50 nm in sizes (Fig. 2.16b), and energy dispersive X-ray
analysis (EDS) shows that the presence of oxygen, barium, and titanium. Electron
diffraction (ED) and high-resolution transmission electron microscope (HRTEM)
Fig. 2.16 Perovskite (a–c) BaTiO3 and (d–f) Ba x Sr 1–x TiO3 nanocubes and (g–j)
Ba(Ti x Mn1– x )O3 synthesized by the CHM approach. (a) XRD pattern of BaTiO3 nanopowder.
(b) SEM image of BaTiO3 nanocubes; inset is EDS of the nanocubes showing the presence
of Ba, Ti and O. (c) TEM image of BaTiO3 nanocubes, insets are electron diffraction pattern
and HRTEM image of a nanocube, showing its single-crystal structure. (d). XRD pattern of
Ba x Sr1– x TiO3 nanopowder. (e). TEM image of Ba x Sr1– x TiO3 nanopowder; inset is EDS of the
nanocubes showing the presence of Ba, Sr, Ti and O. The Cu signal came from the TEM grid. (f).
A single-crystal Ba x Sr1– x TiO3 nanocube and its corresponding HRTEM image (inset). (g) XRD
pattern of BaTi x Mn1– x O3 nanopowder. (h) TEM image of the nanostructure. (i) A single-crystal
nanostructure and (j) its HRTEM image as well as its electron diffraction pattern (inset). [23]
26 C. Hu et al.
images show that the nanocubes are single crystal and the three crystal faces are
{100} planes (Fig. 2.16c and inset).
A possible reaction mechanism for the synthesis of BaTiO3 in hydroxide solution
is suggested as follows. During the reaction, hydroxides play a role not only as
a solvent, but also as a reactant to participate in reaction. In the molten hydroxide,
TiO2 reacts with NaOH/KOH and forms a hydroxide-soluble Na2 TiO3 /K2 TiO3 . The
simple chemical reaction (where M denotes Na or K) is as follows:
At the same time, BaCl2 reacts with hydroxide to form Ba(OH)2 , which is dissolved
in the hydroxide solution:
The M2 TiO3 from process (1) reacts with Ba(OH)2 produced in process (2) and
forms an indissoluble solid BaTiO3 :
The Gibbs free energy of the above three steps for the formation of BaTiO3 at 200◦C
is calculated to be –24.16 Kcal/mol. Because the viscosity of hydroxide is large, the
formation of BaTiO3 nanostructure is slow and it is not easy for the nanostructures to
agglomerate. This is likely the key for receiving dispersive single crystalline nanos-
tructures during the reaction without using surface capping material. The hydroxides
mediate the reaction, but they are not part of the final nanostructures.
The second example perovskite of (A x A1 −x BO3 ) is Ba0.5 Sr0.5 TiO3 to explore
the applicability of this method for synthesis of complex perovskites with partially
chemical substitution at the A site. Follow the same procedures as used for receiv-
ing BaTiO3 except replacing the source cation supplying materials by a mixture of
BaCl2 , SrCl2 and TiO2 at 0.5, 0.5 and 1.0 mmol, respectively. XRD pattern shows
that the received product is a pure perovskite Ba0.5 Sr0.5 TiO3 phase (Fig. 2.16d).
TEM measurement demonstrated that the powder product is nanocubes with about
30–40 nm in sizes (Fig. 2.16e). EDS measurement shows that the ratio of Ba to Sr is
∼1:1, demonstrating the controllability in chemical composition. HRTEM observa-
tion proved that Ba0.5 Sr0.5 TiO3 nanocubes are single crystals (Fig. 2.16f and inset).
However, there are some defects such as atomic disorders in the crystal because
strontium and barium share the same sites in the crystal, which possibly results in
substitution point defects. For both of BaTiO3 and Ba0.5 Sr0.5 TiO3 , the crystal face is
clean and sharp, and no amorphous layer is present, because no organic reagent or
capping material was introduced during the synthesis. The perovskite nanocubes
with clean surfaces are desirable for investigating ferroelectricity at nano-scale
and for building functional components. The mechanism about the formation of
Ba 1 - x Sr x TiO3 is described (where M denotes Na or K) as follows:
2 Synthesis of Oxide Nanostructures 27
The third example of perovskite (AB1 −x Bx O3 ) is BaTi0.5 Mn0.5 O3 to explore the
applicability of this method for synthesis of complex perovskites with partially
chemical substitution at the B site. When 50% of atoms at the Ti sites in barium
titanate is substituted by Mn, BaTi0.5 Mn0.5 O3 is received, which is a high dielec-
tric constant material. A mixture of BaCl2 , MnO2 and TiO2 at 0.422, 0.211 and
0.211 mmol, respectively, is used as the source material for the synthesis. XRD
measurement shows that the crystalline structure of the material is the same as
BaMnO3 (Fig. 2.16g), and EDS shows the atomic ratio of Mn to Ti is close to
1.0 (inset of Fig. 2.16h). The morphology of BaTi0.5 Mn0.5 O3 is different from that
of BaMnO3 (Fig. 2.20) [24] and BaTiO3 (Fig. 2.16h). The products are elliptical
nanorods about 40 nm in width, 20 nm in thickness, and 500 nm in length. ED and
HRTEM show that each nanobelt is a single crystal (Fig. 2.16 3j) with a flat plane
of (010). The growth direction is [101]. The mechanism about the formation of
Ba(Ti x Mn 1–x )O3 is described (where M denotes Na or K) as follows:
2.3.2 Spinel
Ferromagnetic spinel structured complex oxide is chosen as an example to demon-
strate the extensive applicability of the CHM method. To synthesize spinel Fe3 O4
2–
2 O4 ) nanostructure, a mixture of anhydrous FeCl2 and Fe2 O3 at 0.5 mmol
(Fe2+ Fe3+
each was used as the source material for providing Fe2+ and Fe3+ cations at the
desired atomic ratio. Synthesis temperature and time were 200◦ C and 72 hours,
respectively. XRD and EDS show that the product is cubic Fe3 O4 (JCPDS 89-3854)
(Fig. 2.17a and inset in Fig. 2.17b). In the product, most particles are nanocubes
about 250 nm in sizes, and nanocuboids about 250 nm in short sides and 300–400 nm
in long sides. From ED patterns of single particles, we can see that the nanocubes
and nanocuboids are single crystals. The faces of the nanocubes are the {100} crys-
tallographic planes (Fig. 2.17c and d). The growth direction of the nanocuboids is
[121] (Fig. 2.17e and f).
CoFe2 O4 nanocrystals are synthesized as an example to show the substitution at
A site of spinel structured complex oxide (AB2 O4 ). A mixture of Co(NO3 )2 ·6H2 O
and Fe2 O3 at 0.5 mmol each was used as the source material. XRD pattern
demonstrated that the product is cubic CoFe2 O4 (JCPDS 22-1086) (Fig. 2.17g), as
28 C. Hu et al.
Fig. 2.17 Spinel (a–f) Fe3 O4 nanoparticles and (g–l) CoFe2 O4 nanobelts synthesized by the CHM
approach. (a) XRD pattern of Fe3 O4 ; (b) SEM image of Fe3 O4 nanoparticles, and EDS pattern
(inset). (c) A cube-like nanoparticle and (d) its electron diffraction pattern. (e). A Fe3 O4 cuboids
and (f) its diffraction pattern. (g). XRD pattern of CoFe2 O4 nanobelts. (h) Morphology of the
nanobelts and the corresponding EDS spectrum (inset) showing the presence of Co, Fe and O.
The Si signal came from the TEM grid and holder. (i) A single-crystal nanobelt growing along
[121] and (j) its electron diffraction pattern. (k) A nanobelt growing along [100] and (l) its electron
diffraction pattern. [23]
2.3.3 Hydroxide
Hydroxide nanostructure has many potential applications [33]. The surface hydroxyl
groups may act as active sites for possible surface modification treatment through
condensation reactions with amino acids or biologically active molecules, and thus,
hydroxide nanostructure may have potential in the field of biological labeling. In
addition, the similarity of the crystal structure and lattice constants suggests that
doped hydroxide nanostructure could be prepared by a similar growth process, as
lattice mismatching would not be a serious concern. Meanwhile, since hydroxides
can be easily converted into oxides or sulfides through sulfuration, the hydroxide
or co-doped hydroxide nanostructrues can act as important precursor to oxide or
sulfide nanostructures.
Our investigations demonstrate that the CHM approach not only can synthe-
size simple and complex oxides nanostructures, but also can produce hydroxide
nanostructures under normal atmosphere pressure. Taken the synthesis of lanthanum
hydroxide (La(OH)3 ) as an example [34]. To prepare La(OH)3 nanostructrue, 0.1g
La(CH3 COO)3 with adding 1 ml deionized water is put into 18 g mixed hydrox-
ides (NaOH:KOH=51.5:48.5) in a covered Teflon vessel and heating them at 200◦C
for 48 h in a furnace. When the vessel was cooled down to room temperature, the
solid product was washed and filtered by deionized water. And then the product
is washed by diluted HCl solution of pH 1.2 to remove other by hydroxides. The
cleaned La(OH)3 nanobelts are obtained after twice deionized water washing. To
obtain the La2 O3 , we have tried calcinations of the La(OH)3 nanobelts from 300 to
700◦ C. The pure La2 O3 nanobelts could be successfully obtained by calcining the
La(OH)3 nanobelts at 690◦ C for 6 h in air [34].
X-ray diffraction (XRD) of the obtained La(OH)3 product is shown in Fig. 2.18a.
All of the peaks can be perfectly indexed as a pure hexagonal phase (P63 /m (176),
JCPDS-361481) of La(OH)3 with lattice constants a = 6.528 Å and c = 3.858 Å.
The morphology of the obtained La(OH)3 product was characterized by scanning
electron microscopy (SEM). Figure 2.18b–c gives the SEM and TEM images of
La(OH)3 , displaying the belt-like structure with typical widths of 30 to 200 nm,
thickness of 5–30 nm, and length up to a few millimeters. The diffraction pattern
and HRTEM image demonstrate the nanobelts are single crystalline and growth
direction is [110].
2.3.4 Sulphides
Many metal elements can combine with sulphur to form stable crystalline semicon-
ductor phases that exhibit a variety of unique optical and electrical properties [35].
Such metal sulphide semiconductors spend a large range of electronic energy band
gap and often possess a substantial exciton binding energy. Therefore, they have
attracted considerable technological and scientific interest [36, 37]. The metal sul-
phide semiconductors possess a variety crystalline phases depending largely on the
30 C. Hu et al.
Fig. 2.18 (a) A typical XRD pattern of the as-synthesized La(OH)3 product. (b) SEM images
of the La(OH)3 nanobelts, (c) TEM image of the La(OH)3 nanobelts. (d) HRTEM image and
electron diffraction (inset d), indicating the nanobelt is single-crystalline with growth direction of
[110]. [34]
atomic radius ratios and electronegativity differences of the constituent atoms of the
semiconductors [38].
Metal sulphide quantum dots have been the subject of extensive research [39].
Their applications in biomolecular imaging, profiling, and drug targeting have been
developed quickly [40]. It has been well established that confinements of electrons
and holes in the quantum dots change their physical and chemical properties in
a profound way. Salient size-dependent properties have been observed, and hence
the size constitutes and new parameter one can use to design, tune, and control
the attributes of the so-called quantum dots using chemical colloidal techniques. In
contrast to the conventional vacuum deposition techniques based on sophisticated
instrumentation [41], the simplicity of the synthetic methodology and the possibil-
ity of large-scale chemical synthesis greatly facilitated the sulphide quantum dot
research.
In spite of synthesis for compound involved oxygen, the CHM method can also
give an easy way to synthesize metal sulphides (MS). The sources for M cation is
from metallic salts, such as nitrates, chlorates, creosote, or acetates, and etc., and
the sources for S cation is from sulfur powder or sulf-composite with valence states
that match to those present in the desired product to be synthesized. Take CdS as an
example of synthesis of sulphides. 0.5 mmol CdCl2 ·2.5H2 O and 10 mmol of sulfur
fine powder were put into 18 g homogeneously mixed hydroxides (7.8 g NaOH and
2 Synthesis of Oxide Nanostructures 31
10.2 g KOH) in a covered Teflon vessel and heating them at 200◦ C for24 h in a
furnace. When the vessel was cooled down to room temperature, the solid product
was washed and filtered by deionized water. The cleaned product is ready for char-
acterizing. Figure 2.19 shows the SEM image of the as-produced CdS. (Liu et al. to
be published)
2.4 Discussion
It is obvious that the composite-hydroxide-mediated approach has many advantages
including simplicity, ease of scale-up, and low costs, as it avoids high temperature
and high pressure. The as-obtained nanomaterials possesse regular shape and clean
surface attribute to no surfactant involved in the process, which benefit to further
modification in chemical application or bio-uses. The CHM method is superior in
synthesis of composite-oxides in comparison with other methods.
In order to compare the morphology control of the composite-hydroxide-
mediated approach, we have carried series experiments to investigate influence
by adding some amount of water in the CHM approach (Hu et al., to be published).
Figure 2.21 shows SEM images of La(OH)3 synthesized in 25 ml Teflon vessel
at 200◦ C with 0.05 g La(CH3 COO)3 under different conditions, (a–b) 4.5 g mixed
alkali, 18 ml water for 48 hours in an autoclave , (c–d) 9 g mixed alkali, 5 ml
water for 48 hours in an autoclave, (e–f)18 g mixed alkali, 1 ml water for 4 hours,
(g–h) and (the inset) 18 g alkali 1 ml water for 12 hours. We can clearly see the
growth time can adjust the size of nanobelts (Fig. 2.21e–h) and amount of water
can adjust the shape and size of the nanobelts (Fig. 2.21a–f). We intend to believe
that a small amount of water added in mixed alkali acts as an adjuvant solvent,
not a media, such as 1 ml water, and there is no high pressure in Teflon vessel
during the crystal growth. However, when 5 ml and 18 ml water is added in Teflon
2 Synthesis of Oxide Nanostructures 33
Fig. 2.21 SEM images of La(OH)3 synthesized in 25 ml Teflon vessel at 200◦ C with 0.05 g
La(CH3 COO)3 under different conditions. (a–b) 4.5 g mixed alkali, 18 ml water for 48 hours, (c–d)
9 g mixed alkali, 5 ml water for 48 hours, (e–f)18 g mixed alkali, 1 ml water for 4 hours, (g–h and
inset) 18 g alkali 1 ml water for 12 hours. (Hu et al., to be published)
vessel and then put the Teflon vessel into autoclave, the crystals may grow under
high pressure owing to the evaporation of the water in the sealed Teflon vessel.
The condition of 4.5 g mixed alkali with 18 ml water can be regarded as a typical
hydrothermal method. The resultant products are not well-shaped floc with smaller
size, indicating the hydrothermal method cannot produce nanobelts of La(OH)3
here. If the condition change into 9 g mixed alkali with 5 ml water, which is
between hydrothermal method and the CHM approach, still there may has higher
pressure in sealed Teflon vessel. But as the amount of water is small, the pressure
is not as high as that with 18 ml water. The resultant products are short nanobelts
(Fig. 2.21c–d). It demonstrates once again that the CHM rout is more suitable to
grow one dimensional nanostructure than hydrothermal synthesis.
34 C. Hu et al.
5000
100
110
4000
300
200
320
210
3000
400
Intensity
310
(d)
2000 (c )
30 0
211
110
100
101
111
200
201
220
311
112
302
(b )
400
310
1000
(a)
0
10 20 30 40 50 60 70
2θ
Fig. 2.22 XRD of La(OH)3 synthesized in 25 ml Teflon vessel at 200◦ C with 0.05 g La(CH3 COO)3
under different conditions. (a) 4.5 g mixed alkali, 18 ml water for 48 hours, (b) 9 g mixed alkali,
5 ml water for 48 hours, (c)18 g mixed alkali, 1 ml water for 4 hours, (d) 18 g alkali 1 ml water for
12 hours. (Hu et al., to be published)
2.5 Summary
The main synthesis strategies for functional nanowires and nanoparticles introduced
here are VL and VLS growth, hydrothermal synthesis and composite-hydroxide
mediated technique. VL and VLS method need high vacuum and high temperature
with/without catalysts in the process, which can provide cleanly one dimensional
nanomaterials. But the cost of the materials synthesized by these methods is high
and the species is limited. The hydrothermal method is extensively used in synthesis
of nanomaterials. Hardly can it be scale-up without considering the high cost of
sealed vessel attributed to the high pressure in process. The CHM technique is new
and promising in comparison with the traditional synthesis methods of VL/VLS
2 Synthesis of Oxide Nanostructures 35
References
1. Wang ZL, Functional and Smart Materials – Structural Evolution and Structure Analysis,
Plenum Press (1998).
2. Pan ZW, Dai ZR, Wang ZL, Nanobelts of semiconducting oxides. Science, 2001: 291:
1947–1949.
3. Huang MH, Wu YY, Feick H, Tran N, Weber E, Yang PD, Catalytic growth of zinc oxide
nanowires by vapor transport. Adv. Mater., 2001: 13: 113–116.
4. Wagner RS, Ellis WC, Vapor-liquid-solid mechanism of single crystal growth. Appl. Phys.
Lett., 1964: 4: 89–90.
5. Gao PX, Wang ZL, Substrate atomic-termination induced anisotropic growth of ZnO
nanowires/nanorods by VLS process. J. Phys. Chem. B, 2004: 108: 7534–7537.
6. Pan ZW, Dai ZR, Ma C, Wang ZL, Molten Gallium as A Catalyst for the Large-Scale Growth
of Highly Aligned Silica Nanowires. J. Am. Chem. Soc., 2002: 124: 1817–1822.
7. Liang CH, Zhang LD, Meng GW, Wang YW, Chu ZQ, Preparation and characterization of
amorphous SiOx nanowires. J. Non-Crystalline Solids, 2000: 277: 63–67.
8. Zhu YQ, Hsu WK, Terrones M, Grobert N, Terrones H, Hare JP, Kroto HW, Walton DRM,
3D silicon oxide nanostructures: from nanoflowers to radiolarian. J. Mater. Chem., 1998: 8:
1859–1864.
9. Han WQ, Kohler-Redlich P, Ernst F, Ruhle M, Growth and microstructure of Ga2 O3 nanorods.
Solid State Communications, 2000: 115: 527–529.
10. Spezia G, Pressure is chemically inactive regarding the solubility and regrowth of quartz, Atti.
Accad. Sci. Torino, 1909: 44: 95–107.
11. Walton RI, Subcritical solvothermal synthesis of condensed inorganic materials. Chem. Soc.
Rev., 2002: 31: 230–238.
12. Rabenau A, Rau H, Crystal growth and chemical synthesis under hydrothermal. conditions.
Philips Tech. ReV., 1969: 30: 89–96.
13. Toedheide K, In Water: a Comprehensive Treatise. Vol. 1, Franks F (ed.), Plenum: New York,
pp. 463–514 (1972).
14. Seward TM, Metal complex formation in aqueous solutions at elevated temperatures and pres-
sures. Phys. Chem. Earth, 1981: 13–14: 113–132.
15. Zhang KF, Bao SJ, Liu X, Shi J, Hydrothermal synthesis of single-crystal VO2 (B) nanobelts.
Materials Research Bulletin, 2006: 41: 1985–1989.
16. Wang X, Li YD, Selected-Control Hydrothermal Synthesis of a- and b-MnO2 Single Crystal
Nanowires. J. Am. Chem. Soc., 2002: 12: 2880–2881.
17. Ma R, Bando Y, Zhang L, Sasaki T, Layered MnO2 Nanobelts: Hydrothermal Synthesis and
the Electrochemical Measurements. Adv. Mater., 2004: 16: 918–922.
18. Fang YP, Xu AW, You LP, Song RQ, Yu JC, Zhang HX, Li Q, Liu HQ, Hydrothermal
synthesis of. rare earth (Tb, Y) hydroxide and oxide nanotubes. Adv. Funct. Mater., 2003:
13: 955–960.
19. Wu MM, Long JB, Huang AH, Luo YJ, Feng SH, Xu RR, Microemulsion-mediated hydrother-
mal synthesis and characterization of nanosize. rutile and anatase particles. Langmuir, 1999:
15: 8822–8825.
20. Titirici MM, Antonietti M, Thomas A, A Generalized Synthesis of Metal Oxide Hollow
Spheres Using a Hydrothermal Approach. Chem. Mater., 2006: 18: 3808–3812.
36 C. Hu et al.
21. Shen SC, Hidajiat K, Yu LE, Kawi S, Simple Hydrothermal Synthesis of Nanostructured and
Nanorod Zn–Al Complex Oxides as Novel Nanocatalysts. Adv. Mater., 2004: 16: 541–545.
22. Hirano M, Morikawa H, Hydrothermal Synthesis and Phase Stability of New Zircon- and
Scheelite-Type ZrGeO4 . Chem. Mater., 2003: 15: 2561–2566.
23. Liu H, Hu CG, Wang ZL, Composite-Hydroxide-Mediated Approach for the Synthesis of
Nanostructures of Complex Functional-Oxides. Nano Letters, 2006: 6: 1535–1540.
24. Hu CG, Liu H, Lao CS, Zhang LY, Davidovic D, Wang ZL, Size-Manipulable Synthesis
of Single-Crystalline BaMnO3 and BaTi1/2 Mn1/2 O3 Nanorods/Nanowires. J. Phys. Chem. B,
2006: 110: 14050–14054.
25. Hu CG, Zhang ZW, Liu H, Gao PX, Wang ZL, Direct synthesis and structure characterization
of ultrafine CeO2 nanoparticles. Nanotechnology, 2006: 17: 5983–5987.
26. Cohen RE, Origin of ferroelectricity in perovskite oxides. Nature, 1992: 358: 136–138.
27. Anderson PW, Abrahams E, Superconductivity theories narrow down. Nature, 1987: 327:
363–363.
28. Yin Y et al., Formation of Hollow Nanocrystals through the nanoscale kirkendall effect. Sci-
ence, 2004: 304: 711–1714.
29. Yang L-X, Zhu Y-J, Wang W-W, Tong H, Ruan M-L, Synthesis and Formation Mechanism of
Nanoneedles and Nanorods of Manganese Oxide Octahedral Molecular Sieve Using an Ionic
Liquid. J. Phys. Chem. B, 2006: 110: 6609–6614.
30. Wang X, Zhuang J, Peng Q, Li YD A general strategy for nanocrystal synthesis. Nature, 2005:
437: 121–124.
31. Hyeon T, et al. Synthesis of Highly Crystalline and Monodisperse Cobalt Ferrite Nanocrystals.
J. Phys. Chem. B, 2002: 106: 6831–6833.
32. Wang ZL, Kang ZC Functional and Smart Materials. Chapter 3, Plenum Press (1998).
33. Wang X, Li YD Synthesis and characterization of Lanthanide hydroxide single crystal
nanowires. Angew. Chem. Int. Ed., 2002: 41: 4790–4793.
34. Hu CG, Liu H, Dong WT, Zhang YY, Bao G, Lao CS, Wang ZL (2007) La(OH)3 and
La2 O3 nanobelts: synthesis and physical properties. Adv. Mater. 2007: 19: 470–474.
35. Mukesh J (ed.) II–VI semiconductor Compounds. World Scientific, Singapore (1993).
36. Larach S (ed.) Photoelectronic Materials and Devices. Van Nostrand, Princetion, NJ; (1995)
Handbook of Optics. Vol. 1, McGrqw-Hill (1965).
37. Ueta M, Kanzaki H, Kobayashi K, Toyozawa Y, Hanamura E, Excitonic Processes in Solids.
vol. 60, Springer Series in Solid State Sciences, Springer, Berlin (1986).
38. Madelung O (ed.) Numerical Data and Funtional Relationships in Science and Technology-
Physics of Non-tetrahedrally Bonded Elements and Binary Compounds. III, vol. 17e, Springer,
Berlin. (1983).
39. Kamat PV, Meisel D (ed.) Semiconductor Nanoclusters-Physical, Chemical, and Catalytic
Aspects. Elsevier Science B. V., Amsterdam (1997).
40. Chan WCW, Nie SM, Quantum dot bioconjugates for ultrasensitive nonisotopic detection.
Science 1998: 298: 2016–2018.
41. Petroff PM, Lorke A, Imamoglu A, Epitaxially self-assembled quantum dots. Phys. Today
2001: 54: 46–51.
Chapter 3
Nanolithography
Raghunath Murali
Abstract Direct-write electron beam lithography (EBL) has emerged as a key litho-
graphic technique to fabricate nanometer structures. EBL has a resolution down
to a few nanometer and does need a mask. A wide variety of EBL machines are
available depending on the application: mask making machines, direct-write tools,
SEMs fitted with a pattern generator, and R&D machines. This chapter presents top-
ics of interest to a reader involved in fabricating Bio-Nano-Fluidic MEMS devices
and systems. CAD file preparation and machine design basics are briefly reviewed.
Resist technology and proximity effect is discussed in detail since they have a major
impact on the e-beam lithography process. Other lithographic methods including
ion-beam, X-ray, electron projection and AFM-based methods are also discussed.
Abbreviations
EBL-electron beam lithography, RET-reticle enhancement technique, CD-critical
dimension, PMMA-poly (methyl methacrylate), MIBK-methyl iso butyl ketone,
IPA-iso propanol, CAR-chemically amplified resist, DUV-deep ultra violet, HSQ-
hydrogen silsesquioxane
3.1 Introduction
R. Murali
School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA
30332, USA
more limited capabilities but use cheaper masks and allow feature definition down
to a half micron or so. To expose features below a half micron without the use
of expensive optical lithography, a variety of options exist: electron-beam lithogra-
phy, imprint lithography, X-ray lithography, ion-beam lithography, and AFM-based
lithography. Among these alternative lithography techniques, electron beam lithog-
raphy will be the focus of this chapter.
Optical lithography has seen continuously shrinking source wavelengths –
465 nm, 365 nm, 248 nm and now 193 nm. This is because of the Raleigh scattering
limit that imposes a limit on the patterned line-width. Concurrently, enormous
improvements have been made in the construction of lenses resulting in better
numerical aperture. Reticle enhancement techniques (RET) have further improved
the imaging resolution of current generation optical steppers. Some of the RETs
include optical proximity correction, phase shift masking, immersion lithography,
and double exposure. The semiconductor industry has circumvented the use of
157-nm lithography to avoid the steep expense of process development using a
completely new exposure process. So 193-nm lithography using RETs have been
pushed as far as possible. Sub-30 nm features has been demonstrated by IBM in
2006 using 193-nm lithography; but 193 nm lithography may not be sufficient for
the 32 nm generation. The enabling lithography technique for this generation is
expected to be EUV lithography, which has a 13.5 nm source. At this wavelength,
most materials absorb light and so reflective optics is needed. Also, the entire system
needs to be housed in vacuum since dirt particles can absorb EUV radiation. Masks
used in EUV lithography will be reflective, and defect density and mask uniformity
requirements will be much tighter than before. Thus the cost of EUV lithography is
expected to be steep.
While optical lithography for sub-100 nm features is a recent development,
electron-beam lithography (EBL) has existed since the 1970s and sub-20 nm fea-
tures were demonstrated as far back as 1981 using this technique [1]. Most existing
EBL machines scan a beam of electrons to get the desired patterns although pro-
jection EBL too exists. Early EBL machines were just an SEM fitted with a pattern
generator. More recent machines, on the other hand, are much more sophisticated
and offer a range of features that makes precision lithography of large samples and
patterns possible. This will be discussed in a later section. Nano-imprinting is a
technique that is gathering momentum as an attractive complement to the serial
writing process of electron-beam lithography and is discussed in chapter 12. In the
following sections, electron-beam will be discussed in more detail. Ion beam, X-
ray and laser scanning lithography will be briefly touched upon; due to the limited
use/capabilities of these lithographic techniques, the reader is referred to existing
literature for more information on these topics. Figure 3.1 shows a comparison of
the resolution limits for each lithography type.
Electron beam lithography uses a finely focused beam of electrons that is
deflected over a substrate coated with an e-beam sensitive resist. Computer-
controlled deflection and blanking of the beam is done in accordance with the
pattern being written. The e-beam resist is exposed in the area where the beam
of electrons hits the resist; this exposure may result in either molecular scission
3 Nanolithography 39
EUV Laser
scanning
E-beam
10 100 1000
Minimum Line-width (nm)
(positive resist) or linking (negative resist). In positive resists, the area exposed dis-
solves faster in the developer than the unexposed area whereas in negative resists the
reverse is true. The desired pattern is obtained in the resist after the develop process.
After the develop step, the resist pattern can be transferred to the substrate in
a variety of ways. Three such methods are shown in Fig. 3.2 – lift-off, etch and
ion-implantation. Directional metal deposition (e.g. in a filament evaporator, or an
e-beam evaporator) results in metal being deposited into the trenches as well as on
top of the resist (but very little on the sidewalls). A solvent wash will dissolve the
resist and thus lift-off any metal on the resist. For this process to work success-
fully, the ratio of metal thickness to resist thickness needs to be at least 1:2. An
undercut profile is helpful for the liftoff process and can be obtained by appropri-
ate dose selection or by use of multi-layer resists. Figure 3.3 shows the effect of
increasing dose on the sidewall profile. It can be seen that prudent dose selection
is required to achieve the desired sidewall profile. A plasma etch can be used to
transfer the pattern into the substrate. The different plasma etch systems that can
be used include reactive ion etch (RIE), inductively coupled plasma (ICP) etch, and
electron cyclotron resonance (ECR) plasma etch. Ion-implantation can also be a
follow-on step to lithography, especially in processes that require transistor devices.
E-beam lithography offers many advantages like (1) needing no mask, and the
pattern is input as a CAD file; this leads to a fast turn-around time, (2) precise
overlay, resulting in relaxed design rules and higher yield; sub-pixel overlay with
e-beam lithography has been demonstrated, (3) high resolution, (4) accommodates
a variety of sample sizes, and this is beneficial to a multi-user facility where the users
might have widely different requirements, and (5) geometric pattern correction,
leading to better patterning accuracy. But disadvantages include (1) writing time –
since direct-write e-beam lithography is a serial process, the writing time increases
with an increase in the pattern density, (2) charging effect – if writing on insulators,
this results in distorted patterns unless taken care of, and (3) the proximity effect
which makes necessary dose/shape correction.
In e-beam lithography, the pattern is input as a data file to the control computer. This
file is usually in the GDSII format which is the format of choice for a large number
of chip foundries as well as mask manufacturers. Some systems allow the pattern
to be input as an ASCII file though such a format is more suitable for patterns that
have a high degree of symmetry. Many software packages are available for prepar-
ing computer-sided design (CAD) files: Cadence and Mentor tools on the high-end,
Design Workshop, Tanner Research L-Edit and AutoCAD on the intermediate-level,
and free tools like the Magic layout editor. Some of these programs (e.g. AutoCAD)
cannot output in GDSII format and a format-converter (such as LinkCAD) will have
to be used to convert the pattern file to GDSII format. Some of these programs (e.g.
Cadence tools) can output directly in the machine specific (e.g. JEOL, Leica) format
which is advantageous since the fractured pattern can be viewed at the same time as
pattern creation. Some higher end tools require add-on programs to perform the frac-
turing. When there is field-stitching involved (i.e. when the patterned area exceeds
one field), care needs to be taken to place all sensitive areas of the pattern (e.g. a
sub-100 nm cantilever beam, or a sub-50 nm gate) away from the field-boundaries.
One advantage that electron beam lithography offers is the precise dose control
possible within a given pattern. To take advantage of this feature, different portions
of the pattern are assigned to different layers/datatypes while creating a CAD file;
note that this is different from just assigning different device layers – for e.g. p-
doping, metallization, gate, etc., in a CMOS process. During pattern exposure in the
e-beam lithography machine, these different datatypes can be given different doses.
This intra-layer dose differentiation is useful for proximity effect correction as well
as grayscale lithography. The JBX-9300FS system from JEOL offers up to 1024
levels of dose modulation.
1. Electron Optics: this part of the system is responsible for beam formation and
consists of many components including the electron source and deflector.
2. Stage: a high precision stage is necessary for high-resolution patterning. High-
accuracy stages usually contain a laser interferometer that enables relative accu-
racy down to sub-nm levels. The stage sub-system also consists of a leveling
system that levels the substrate to be written. Advanced systems may contain a
height-detection system to correct for uneven substrate height.
3. Load/Unload: this sub-system is responsible for substrate load/unload. The load
(and unload) process is usually a 2-step process: the cassette is first transported
to a load-lock chamber, and after this chamber reaches a preset vacuum level, the
cassette is loaded into the stage chamber. To handle multiple cassettes, robotic
handlers are available and can automate exposure jobs for multiple wafers.
Support
Gun Gun
systems
Control
Electron Opics
Blanking
Pattern Proc. Control
and control
Deflection
Control
reference
Electron Optics marks
Control
Computer stage
motor
stage
x-interferometer
Stage
y-interferometer
Control
stage
motor
The electron optics system consists of an electron source, lenses for beam forma-
tion, deflectors, limiting apertures, and blanker. The electron source (also known as
an electron gun) can be of the following types: thermionic emission, thermal field
emission, or cold field emission. The thermal field emission type is widely used due
to its good emission characteristics such as brightness, small energy broadening of
electrons, and small beam diameter. An example electron optical column is shown in
Fig. 3.5. This configuration is similar to the setup in scanning electron microscopes.
A spray aperture collects stray electrons from the source. Three lenses are used
before the beam is focused onto the substrate. A limiting aperture is used to cut off
fringe electrons. The spot produced on the substrate will have a Gaussian intensity
distribution and thus this type of system is known as a Gaussian beam system. To
turn the beam off in areas that are not patterned, a beam blanker is used. This is
usually electrostatic in nature and can be placed before a limiting/spray aperture.
To correct for an elliptical beam (an effect called astigmatism), a stigmator is used
(which is a n-pole element of opposite electric/magnetic fields).
3 Nanolithography 43
Electron Gun
Anode
Acceleration electrodes
Alignment coils
Blanking electrodes
Blanking aperture
condenser
lens
Objective aperture
Astigmatism correction
electrodes
Deflector
final lens
Backscattered electron
Workpiece detector
Fig. 3.5 An example electron optical column in an e-beam lithography system (courtesy of JEOL
Ltd.)
To deflect the beam thus produced by the electron optical system, electromag-
netic deflection is preferred over electrostatic deflection; electromagnetic coils pro-
vide a lower deflection distortion as well as better stability. Most systems utilize a
multistage deflection system since such a setup offers both low distortion as well
as large field size. In a multistage deflection system, a field is divided into sub-
fields. A main deflector deflects the beam to the middle of a sub-field, whereas a
sub-deflector scans the beam within the sub-field. For example, in the JEOL JBX-
9300FS direct write system operating at 100 kV, the field size is 500 um, whereas
the sub-field size is 4 um; the corresponding deflection frequencies are 2 MHz and
50 MHz, respectively [2]. Before the start of pattern writing, the deflectors are taken
through a series of calibrations that ensure patterning accuracy. Adjustments to the
focusing lens and stigmator are also needed to ensure a small beam diameter and a
round beam.
44 R. Murali
The electron beam can be scanned in two different ways – raster scan or vector scan.
In the raster scan method, the beam is scanned continuously across the substrate
and is blanked in areas where there is no pattern. This method has a write time
independent of pattern density; also the dose can be varied in a limited manner in
different regions. This type of scanning is usually combined with a continuously
moving stage. Stitching between scan lines needs to be accurately controlled and
thus the system design becomes complicated; however the electron optics is simpler
since the deflection field size can be smaller. In the vector scanning method, the
beam is scanned only in the area that needs to be patterned. The write time is
directly proportional to pattern density and the dose can be varied over a wider
range. However, field size needs to be large and thus the electron optics design
becomes more complex.
sensitivity (on the order of a few tens of uC/cm2 at 100 kV), (2) long shelf life (a
few months), (3) long post-exposure stability (a few weeks), (4) low pattern distor-
tion in vacuum, post-exposure (less than a few nm), (5) negligible resist swelling
and distortion during develop, (6) high contrast, (7) high etch selectivity to the
underlying substrate, and (8) high resolution. Critical dimension (CD) control is
of importance to many applications including mask making, and optical elements.
Sensitivity to variation of linewidth, dose, beam size, and develop time is important
for any process where tight CD control is needed. Dose latitude depends on resist
contrast and absorbed energy density; the absorbed energy density in turn depends
on the accelerating voltage. The performance of a develop process is characterized
by sensitivity, contrast, dose latitude, surface roughness, and resolution.
Resists can be classified broadly into positive and negative resists. In positive
resists, e-beam irradiation causes chain scission; this results in lowering of the
molecular weight of the exposed part. The lowered molecular weight leads to a
differential solubility in a developer (usually an organic solvent). One of the ear-
liest positive resists was poly(methyl methacrylate) or PMMA, Fig. 3.6. PMMA
resist has one of the best resolutions and line-widths smaller than 10 nm have been
reported [4]. PMMA has been extensively studied in the literature and the effect of
PMMA molecular weight, developer, developer concentration, and develop temper-
ature have been well documented.
A typical PMMA resist process is as follows. 950 K PMMA [from MicroChem
Corp., Newton MA] is spin-coated on a substrate to obtain a resist thickness of a few
hundred nm; the thickness is usually decided by the minimum linewidth required –
aspect ratios of 1:3 (line-width to resist thickness) are common but high aspect ratio
structures (up to 1:10) have been achieved. The spin-coat is followed by a hot-plate
or oven bake to evaporate the solvent. This is followed by e-beam lithography, with
doses from 50 to 300 uC/cm2 for acceleration voltages from 50 to 100 kV. Resist
develop is done by a puddle or spray develop in a 1:1 MIBK/IPA developer. For a
high resolution process, a low sensitivity is needed because of the shot noise limit
whereas for large patterns, a high sensitivity process is needed. A big shortcoming
of PMMA is its poor etch resistance to various gases in a plasma etch system.
Different developers have been reported to give good results (for a PMMA resist
process) including MIBK/IPA, IPA/Water, Acetone, and MIBK. Different develop-
ers and develop times result in different contrasts, and sensitivities. Mixtures of 1:3
MIBK/IPA and 1:1 MIBK/IPA have been widely used as developers for PMMA.
After exposure, the chain-scission caused by electrons leaves behind a distribution
of molecular weights in the resist. The selected developer solution will need to
dissolve a range of low molecular weights leaving behind the higher molecular
weight (i.e. unexposed) component. IPA is a weak solvent for low molecular weight
PMMA, and it has been used as a developer for very thin (< 50 nm) PMMA layers;
thicker PMMA layers need addition of MIBK to open up the range of molecular
weights that are dissolved. Mixtures of IPA and water have been found to have a
higher contrast, resolution, and process latitude than MIBK/IPA [5,6]. Development
at low temperatures has been proposed as a means to achieve higher resolution.
In [7], a develop at 6–10◦C is found to give sub-10 nm resolution at 30 kV. In [8],
cold develop is seen to result in sub-10 nm resolution.
Many improvements on PMMA have been attempted to increase it’s etch resis-
tance and improve its sensitivity. Such developments led to PBS, PMPS and SNS.
But none of these achieved both the goals for sub-micron patterns. In the mid-
1990s, a positive chain-scission resist based on poly(methyl-a-chloroacrylate-co-
a-methylstyrene) was developed by Zeon Chemicals. This family of resists (ZEP
520 and ZEP 7000) has shown good sensitivity (200 uC/cm2 for 100 kV) as well
as good resolution (sub-20 nm at 100 kV); its etch selectivity is better than PMMA
and it has found wide use in the mask-making industry. Amyl-acetate and xylene
can be used as developers for ZEP. Hexyl acetate has been reported to be a better
developer to obtain improved resolution in ZEP 520 [9]. A typical ZEP 520 process
is as follows: spin-coat 300 nm of resist, followed by a post-apply bake for 2 min. at
180◦ C; expose with a base dose of 200 umC/cm2 at 100 kV, develop in amyl-acetate
for 2 min,. followed by a rinse in IPA for 2 min.
Bi-layer resist systems have are useful for applications such as T-gate fabrica-
tion and liftoff. A bi-layer resist process usually uses a stack of a low-sensitivity
positive resist on top of a high-sensitivity positive resist. E-beam exposure of a
pattern results in stronger development of the underlying layer thereby causing an
undercut. This undercut aids in easy lift-off. A common bilayer stack is that using
the copolymer methyl methacrylate (MMA) methyacrylic acid (MAA) and PMMA
where the copolymer is the high-sensitivity layer. A good developer for this stack is
1:1 MIBK/IPA. Another possible stack is high molecular weight PMMA on top of
a lower molecular weight PMMA; the low molecular weight PMMA has a higher
sensitivity than the top layer and thus an undercut is formed after development. A
bilayer stack can also be formed by ZEP/PMMA [10]. A trilayer stack can be formed
by low sensitivity resist/metal/high sensitivity resist. After developing the top layer,
the metal can be etched in a plasma, followed by development of the bottom layer.
Alternatively, a trilayer stack can also be composed of three different resists [11].
Apart from the positive resists discussed above, there exist a variety of other
positive resists that are suitable for e-beam lithography. These include EBR-9, PBS,
and UV-5. EBR-9 is a high-sensitivity resist that has good process latitude but poor
resolution. Poly butane 1-sulfine (PBS) has high sensitivity but needs tight pro-
cess control during development. Resists made for the DUV spectrum are generally
also sensitive to e-beam. Examples of e-beam sensitive resists that are also DUV
sensitive include PMMA, ZEP 520 and UV5. Another class of resists that is of
importance, especially in the mask making industry, is chemical amplified resists
3 Nanolithography 47
(CAR). These include the NEB 31 and NEB 22 from Sumitomo, FEN 270 from Fuji,
and EN-024M from TOK. CARs have improved sensitivity thanks to a radiation
sensitive photoacid generator (PAG); this PAG catalyzes molecular chain scission.
But handling CARs is more complicated than for normal resists; CARs require strict
processing control and also base-contamination filtration.
Most of the resists described above are positive resists. Negative resists are
also an important part of e-beam lithography; some examples include Hydrogen
silsesquioxane (XR-1541, from Dow Corning Co.) and ma-N 2400 (from Micro
Resist Technologies). HSQ, originally meant for use as a flowable oxide for inter-
layer dielectric applications, is e-beam sensitive and displays excellent resist char-
acteristics such as high etch selectivity, good resolution (sub-7 nm), and small line-
edge roughness. On the other hand, it has a short shelf life (a few months), is
amenable to residue formation during the develop process, and might be sensitive
to any delay between exposure and development. Various concentrations of TMAH
have been found to be good developers; for e.g.2.5% TMAH for 60 s or 10% TMAH
for 30 s or 25% TMAH for 5 s [12]. Hot develop and ultrasonic agitation has been
found to result in reduced residue and increased resolution [13]. In [14] it has been
found that a higher developer concentration and a lower bake temperature results in
a better contrast. Table 3.2 shows a comparison of various e-beam sensitive resists.
incident
electron beam
energy deposition by
backscattered electrons
energy deposition by
primary electrons
resist
resist
80
-40 -20 0 20 40 -40 -20 0 20 40
(um) (um)
50 keV 100 keV
Figure 3.8 shows a Monte Carlo simulation of 50,000 electron trajectories at dif-
ferent acceleration energies; it can be seen that as the acceleration energy increases,
the beam broadening in the resist decreases but the backscattering effect increases.
The extent of beam broadening in the resist is dependent on the acceleration voltage
of electrons, the resist thickness, as well as the resist material itself. The average
number of elastic events which an energetic electron suffers passing though a resist
film is given as Pe = 400.z0(um)/E0 (keV) where z0 is the resist thickness and E0 is
the accelerating voltage. The number of forward scattering events decreases with
a decrease in resist thickness and an increase in acceleration voltage. Thus, the
thinnest resist and the highest accelerating voltage usually result in the best resolu-
tion For 100 kV acceleration voltage and 50 nm resist thickness, the average number
of scattering events per electrons comes out to be just 0.2. This means that 4 out of 5
electrons go through the resist without suffering any scattering. Thus, as acceleration
energy is increased, the dose needed to expose the resist increases. Similarly, as the
resist thickness is reduced, Pe decreases; thus thinner resists may need as much or a
higher dose as thick resists for proper exposure. Another important factor in forward
scattering is the incident beam diameter; this is dependent on the electron optics and
beam current, and is also a limiting factor for the minimum line-width. Due to the
many small angle scattering events, forward scattering increases the effective beam
diameter. Empirically, it is given by the following formula:
10
1.0
0.1
10 20 30 40 50 60
Energy (keV)
50 R. Murali
The two terms in the sum represent the forward and backscattered electrons, respec-
tively. Here, is the ratio of the backscattered energy to the forward-scattered
energy, ␣ is the forward scattering range parameter and  is the backscattering range
parameter. The above equation is normalized so that
∞
f (r )2πr dr = 1 (3.3)
0
Figure 3.10 shows the proximity function plotted as a function of the radial dis-
tance from the point of incident exposure; it can be seen that the deposited energy
is mostly by forward scattered electrons and there is a small contribution from
backscattered electrons. For some cases, a double Gaussian function may be insuffi-
cient for expressing the energy density profile. More complex functions are needed
for certain types of substrates, and for multi-layer substrates. The models above
are two dimensional versions of a three dimensional phenomenon. In general, the
energy profile depends upon depth as well as radius. By averaging out the depth
dependence, a two dimensional profile can be obtained out of a three dimensional
profile. This results in a greatly reduced computation time for the exposure esti-
mation and correction; true 3-D proximity effect modeling and correction has been
proposed in [17].
A variety of proximity correction algorithms exist in the literature [18–21, 24].
They are based on various principles such as self-consistent calculations, fast-
Fourier transform, background dose equalization, and hierarchical optimization.
Any algorithm has to meet the stringent demands of being fast while at the same time
resulting in accurate proximity correction; even with powerful computers, a complex
pattern can take many hours to run through some of the above algorithms. Some e-
beam machines can also perform proximity correction at the time of pattern writing
by utilizing special hardware that can perform fast mathematical computations. The
proximity correction software needs accurate information on the proximity param-
eters (α, β, η) to be able to correctly predict and correct for the proximity effect.
Extraction of these parameters for a particular process usually involves exposing
some test structures and evaluating the result [22,23]; α is the toughest parameter to
radial distance
3 Nanolithography 51
extract since its value is low in current generation machines. Direct beam-diameter
measurements is one way to extract α. Monte-Carlo simulations can also be done
to extract proximity parameters; usually information on the resist material compo-
nents, substrate, and beam conditions are required. An example of a commercially
available Monte Carlo simulation software is ProLith from KLA-Tencor. Table 3.3
shows the proximity effect parameters for a 0.5 um thick resist on a Silicon substrate.
Commercial software packages that perform proximity correction include PROX-
ECCO from Synopsys, and CAPROX from Sigma-C; a software package borne out
of university research is PYRAMID [21]. Since the cost of many of these packages
might be prohibitive for small-scale research labs, proximity correction is some-
times carried out manually. Manual correction involves splitting the pattern into
different dose levels depending on the line-width and assigning line-width bias (i.e.
shape modification) to critical areas of the pattern. With this approach, usually mul-
tiple lithography iterations are required before the desired CD control is achieved.
Table 3.3 Proximity parameters as a function of the beam energy for a 0.5 um resist on a silicon
substrate. Values shown in brackets are extrapolations. The data is from [24]
Beam energy (keV) ␣ (um)  (um)
5 1.33 [0.18] [0.74]
10 0.39 [0.60] [0.74]
20 0.12 2.0 0.74
50 0.024 9.5 0.74
100 0.007 31.2 0.74
52 R. Murali
(c) distance
deposited
energy
Edge definition
distance
diameter should be on the order of the shot pitch; if the beam is much smaller than
shot-pitch, there would be non-uniformity in the deposited energy thus leading to
poor line definition (Fig. 3.11).
Due to their nature, proximity effects will impact the CD of dense features than
sparse features. For e.g., in the pattern shown in Fig. 3.12 if there is a uniform
line/space (L/S) pattern close to a big pad, the L/S pattern closer to the pad will
receive a larger dose than the one farther away from the pad. This results in an
uneven CD in the L/S pattern. The way to resolve this would be to give a lower
dose to lines closer to the pad than ones farther away from the pad. Alternatively,
the lines closer to the big pad could get a width-bias (a reduction in width) to com-
pensate for the backscattered dose contribution from the pad. A pattern with many
shapes quickly becomes too complicated to analyze by simple calculations and thus
proximity correction software becomes necessary. Once the pattern passes through
proximity correction, the resulting pattern will usually be composed of multiple
dose-levels.
(a) (b)
Fig. 3.12 Proximity effect: range of proximity effect
(a) line/space pattern close to from exposed pad
a big pad; the lines closer to
the pad experience a greater
dose; (b) dose assignment
after proximity correction –
the lines closer to the pad are
assigned a lower dose
3 Nanolithography 53
detector
e-beam
resist Au
substrate
Chip mark
Chip
(a)
pad pad
nanowire
(b)
than the resist thickness; the metal/resist thickness is usually in the range of 1:2
to 1:3. For a 50 nm wide line, the shot pitch needs to be 10 nm or less for good
line definition, and the beam diameter should be considerably lower than the line
width for good line-width control. Insulating substrates cannot conduct electrons
and anti-charging layers are needed to manage charging effects. A thin layer of Au
or Au/Pd (2 to 5 nm) on the e-beam resist is a good charge conducting layer that is
also transparent to high energy electrons; after exposure, and before resist develop,
the Au layer is dissolved by a KI/I etchant. Water soluble anti-charge layers are also
available and these include Aquasave and Espacer; these are spun on the resist as a
thin layer and washed away before resist develop.
The electron beam has a depth of focus of less than a few hundred microns; this
value decreases for increasing beam current. Substrate height variations can severely
affect the CD control and resolution of e-beam lithography. Many systems have an
automatic height measurement/correction mechanism; the height is measured using
an optical beam, and the electron beam focus is changed based on this height mea-
surement. Chip-to-chip height correction is more effective than an average height
measurement of the substrate since the substrate may have a bow/tilt. In the absence
of an automatic height measurement system, a manual focus on the substrate is
needed to obtain high resolution patterning.
Fine dose control in e-beam lithography makes possible the fabrication of 3D
structures. The fabrication of 3D microstructures is important to many applications
including diffractive optics, photonic elements, and MEMS. Figure 3.16 shows
blazed gratings fabricated in a 100 kV system using about 300 dose levels [25].
The grating pitch is 11 um and the depth is 120 nm; the features are fabricated on
a 0.8 um thick, 950 K PMMA; for optical applications, the surface roughness needs
to be low (< 5 nm) and thus tight process control is needed to achieve the desired
characteristics.
Fig. 3.16 Grayscale lithography – blazed gratings fabricated in a 100 kV system using about 300
dose levels
reasonably certain that the resist is exposed, this number should be at least 10, which
imposes a minimum limit on the number of electrons needed for exposure, √ N=100.
The minimum line-width can then be related to sensitivity as: Lmin =40/ D, where
Lmin is in nm and D is in uC/cm2 . Thus, there is an inherent tradeoff between resist
sensitivity and resolution.
The electron beam diameter is limited by spherical and chromatic aberrations
of the lenses, the transverse velocity of the electrons as well as electron diffraction
by a limiting aperture. Spherical aberrations result because the focusing fields of
lenses are stronger closer to the lenses. Chromatic aberration results from the fact
the electron lens affects electrons of different energies differently. Electron energies
have a spread that is dependent on the type of source and thus chromatic aberrations
depend on both the electron lenses as well as the incident beam of electrons. Due
to electron emission properties, there is a transverse random component of veloc-
ity in the electron beam. While passing through a limiting aperture, the electron
beam is diffracted but this is usually not a limiting factor for beam diameter. These
four effects can be considered statistically independent and the total beam diameter
is then the root mean square sum of the four components. Figure 3.17 shows the
beam diameter and the various factors limiting it, as a function of the convergence
angle, ␣.
3 Nanolithography 57
101
1uA
ion
abe rical
rra t
e
sph
beam diameter (um)
100
ra atic
n
10 nA
ab rom
tio
ch
er
10-1
thermal
di velocity
ffr
ac
tio
n
10-2 -4
10 10-3 10-2 10-1
angle-α (rad)
Fig. 3.17 Beam diameter and the various factors limiting it, as a function of the convergence
angle, ␣
The electron-beam scanning system imposes its own limits. A limit on the pat-
terned area arises because of the beam distortion as the beam is deflected over the
area. Deflection of the beam over an area causes distortion of the spot shape as well
as the beam diameter. Also, deflections that are supposed to be rectangular may
result in non-rectangular deflections. As an example, consider the JEOL 100 kV
system; this has a field size of 500 um × 500 um and a pixel-limit of 1 nm. As
resolution requirements increase, the allowable field-size decreases since the toler-
able error too decreases. The same JEOL system at 50 kV has a field-size of 1 mm
× 1 mm since the resolution specification is lower at this accelerating voltage. For
this system, there are 5 × 105 pixels in X and the same number in Y in each scan
field. For a vector scan system that scans point-by-point, the DAC (that drives the
deflection amplifier) resolution then needs to be at least 19 bits. Indeed, the system
has a 20-bit DAC that can scan up to 2 MHz. DAC resolution and linearity might
pose limits to achieving large field-size. There is also a tradeoff between the DAC
resolution and deflection amplifier speed. Some systems have two DACs in series,
one operating at a lower speed and that can address the whole field, the other operat-
ing at a much higher speed but able to address only a part of the field (sub-field). In
the JEOL system described above, there is a second DAC, called the sub-deflector,
that can scan at 50 MHz, and has a resolution of 16 bits thereby limiting its scan size
to 4 um x 4 um. The two deflectors in combination offer a scan speed of 50 MHz
and a scan field of 500 um x 500 um. The mechanical stage also imposes a limit
since field-stitching accuracy needs to be on the order of pattern resolution. Laser
interferometry can result in sub-nm stage accuracy. In addition, registration require-
ments also pose a limitation on the patterning capability of e-beam lithography. In
58 R. Murali
X-ray tube and laser-heated plasma source; but these sources are not good enough
for large scale wafer production and the emitted radiation is not collimated thereby
resulting in a lateral magnification error. XRL overcomes many problems of opti-
cal lithography; XRL has no field size limitation, can provide high aspect ratios in
resist, overcomes the depth of focus problems, and are immune to defects caused
by particles present on the mask. An X-ray mask blank consists of a thin membrane
of an X-ray transparent material (1–2 um thick) supported on a frame of the same
material. An X-ray absorber layer (about 0.5 um thick) is deposited onto the mem-
brane. This is followed by resist coat, e-beam lithography of a 1x mask pattern, dry
etch of the absorber layer, and resist strip. Since the membrane is thin, proximity
effects are minimal. But patterning and mask inspection is more challenging since
proximity XRL uses a 1X mask.
the tip melts the ink and allows its deposition onto a surface with great accuracy;
turning off the heater in the tip stops the ink deposition. For the case of polymers,
the method can control both the physical dimensions and orientation of the material.
References
1. S. P. Beaumont, P. G. Bower, T. Tamamura, and C. D. W. Wilkinson, "Sub-20-nm-wide metal
lines by electron-beam exposure of thin poly(methyl methacrylate) films and liftoff," Applied
Physics Letters, 1981: 38, 436–9.
2. D. M. Tennant, R. Fullowan, H. Takemura, M. Isobe, and Y. Nakagawa, "Evaluation of a
100 kV thermal field emission electron-beam nanolithography system," Journal of Vacuum
Science and Technology B: Microelectronics and Nanometer Structures, 2000: 18, 3089–3094.
3 Nanolithography 61
Peter J. Hesketh
4.1 Introduction
There are a number of well established fabrication processes that have been devel-
oped for integrated circuit manufacturing. The question is: which among them are
the most suitable for nanodevice fabrication?
P. J. Hesketh
The George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology,
Atlanta, GA 30332, USA, (404)385-1358
e-mail: peter.hesketh@me.gatech.edu
Low pressure vapor deposition has a long history and is a primary method for the
formation of both crystalline, polycrystalline, and amorphous thin films. The con-
densation of material from a vapor provides the opportunity to grow a pure material
at a reduced pressure. Conditions under vacuum can reduce the presence of contam-
inants in the growing film, with provisions. It is a prerequisite that the arrival rate
of the condensing vapor is more rapid than that of collisions with the surface from
residual gas molecules in the chamber. (The boiling point is reduced at a low pres-
sure.) Further details on evaporation processes, and on vacuum system design and
operation, are presented in the excellent books by Mahan [5], Wolf and Tauber [6],
and Campbell [4].
Figure 4.1 shows the essential components of a typical system for thermal evap-
oration. The substrate is held either at room temperature or at a fixed, elevated
temperature by electrical heating. The higher temperature has a pronounced effect
on the nucleation and growth of the film. Substrate heating can be used initially
to elevate the substrate to a temperature high enough to desorb contaminants and
4 Nano/Microfabrication Methods 65
Substrate cooling
Substrate(s)
Movable shutter θ
water vapor while under vacuum. This important step increases the adhesion of the
deposited film on the substrate.
By contrast, at room temperature, in ambient air, a layer of water is present on
the surface of the substrate. This layer should be removed prior to the evaporation
process. If not removed, it could react with the condensing vapor to form a thin
film likely to negatively impact adhesion to the substrate. Take the case in which a
noble metal is deposited on the substrate: one method of increasing adhesion is the
evaporation of two layers of metal. The adhesion layer comprises a reactive metal,
such as chromium, tantalum, titanium, or tungsten.
Where P o is the standard pressure 105 Pa, ⌬ vap S oA is the standard entropy of vapor-
ization, ⌬ vap H Ao is the standard enthalpy of vaporization, R is the molar gas con-
stant, and T is the absolute temperature [5]. Vapor pressure is estimated with the
thermodynamic data presented in Table 4.1 [7]. For Aluminum at a temperature of
1000 K:
Table 4.1 Standard enthalpies and entropies of vaporization for pure elements
Standard Standard
Melting enthalpy entropy
point ⌬vap H Ao ⌬vap S oA
Element (K) (kJ) (J/K) Reference
Aluminum 933 314 117.8 [7]
Chromium 2130 349 118.8 [7]
Gold 1338 324.4 – Environmentalchemistry.com
Platinum 2045 510.5 – Environmentalchemistry.com
Titanium 1939 438 124.6 [7]
Peq − P
J= √ (4.3)
2πmKT
evaporation flux from the effusion cell, and hence the arrival at the surface of the
substrate, given the solid angle ⍀, based upon geometry (see Fig. 4.1). The exiting
flux J⍀ , from an ideal effusion cell of area δ A, is calculated by:
J δ A cos θ
J⍀ = (4.4)
π
The arrival rate at the surface at a distance R from the source is expressed by:
J⍀ cos φ
js = (4.5)
R2
where j S is the flux arriving at the substrate at an angle φ to the surface normal. For
example, for aluminum the thermodynamic data indicate that the vapor pressure at
1500 K is 1.69 Pa. Hence the arrival rate at the source can be calculated as follows:
JδA
jS = = 1.75 × 1019 /m2 /s (4.6)
π R2
for δ A = 1 cm2 , R = 0.2 m, and normal incidence. This arrival rate can be com-
pared to that for residual gas (nitrogen) in the chamber at 0.13 mPa (1×10–6 Torr)
by incorporating the Kinetic Theory of gases [8].
To produce a pure film, it is important that this rate of evaporation be much greater
than the arrival rate of impurities and water vapor molecules in the vacuum system.
The film thickness can be monitored by a quartz crystal microbalance whose
frequency is decreased as the mass of evaporated material deposits on the surface.
This system has to be corrected for the mechanical properties of the metal film. It is
also quite usual to heat the chamber to reduce the amount of impurities present prior
to evaporation. Initial evaporation against a shutter also removes impurities present
on the source crucible.
The direction of the evaporation is basically a line-of-sight, as the mean free path
between gas particle collisions is much greater than the distance between the source
and substrate. The evaporation layer thickness can be determined using geometry.
This is beneficial when defining structures with the lift-off process, or when defining
comb electrodes. There is however a disadvantage. This disadvantage presents itself
when uniform step coverage is required. This disadvantage is overcome using a
planetary drive and rotation of the substrate with tilting. The constantly changing
angle and position of the substrate with respect to the source provides a more uni-
form coating.
In many cases, sputtering is the preferred technique for improved step coverage.
For refractory metals, an electron beam source is used to generate a higher local
temperature than does a filament heating method. The former method is used for
platinum deposition in the example presented below. The high voltage used in
68 P. J. Hesketh
the e-beam source may generate X-ray exposure of the substrate, an additional
consideration when high power is needed. Alloys can also be deposited if multiple
electron beam sources are used and the deposition rate can be independently
controlled for each source. Multiple layers can be evaporated without breaking the
vacuum when additional sources are present in the system, such as an adhesion
layer or diffusion barrier.
total energy
400
200
0
0 5 10 15 20 25
-200
-400
-600
Energy
-800
-1000
-1200
-1400
-1600
-1800
Island Diameter
Fig. 4.3 Surface free energy as a function of island diameter, idealized plot neglecting any surface
re-construction or interfacial strain
Fig. 4.4 Stages of nucleation and growth or evaporated gold film: (A) 2.5 nm, (B) 3.5 nm, (C)
7.5 nm, and (D) 10 nm [136]
70 P. J. Hesketh
influenced by other factors. One such factor is impurities. These can either enhance
or depress adatom mobility, and thus slow or accelerate island growth. An adsor-
bate on the surface can influence the binding energy between the island and the
substrate. This circumstance can modify the surface diffusion via a lowering of
the energy barrier. The size of and space between the islands is not random: they
depend upon the substrate surface and the adatom interactions. The binding energy
associated with physisorption is typically much less than chemisorption, so that the
adatoms can continue to move along the surface to find a suitable reaction site.
Studies of nucleation and growth of platinum on single crystal surfaces indicate that
each island has a capture radius dependant upon the kinetics of the process [12].
Figure 4.4 shows the process of nucleation and growth of gold on silicon dioxide.
Coating the silica surface with mercapto trimethyl-ethyl-silazane (MTS) provides
an additional surface reaction between the gold and sulfur terminated silane film.
This coating process reduces the average island diameter.
Thermodynamically, the surface energy of the islands will determine their stabil-
ity, as indicated in Fig. 4.3. If an island is smaller than the minimum critical radius,
there is a possibility that the island could decrease in size. However, the kinetics
(a)
(b)
(a)
(b)
Fig. 4.6 Impedance based immunobiosensor. (A) AFM image of the 2.5 nm platinum island film
measured with tapping mode Digital Instruments Nanoscope III, and (B) Schematic diagram of
immunobiosensor. Electrical contact is made to the porous Pt island film by two gold electrodes
approximately 8 mm apart [14]
of the process have a strong effect on growth and dissolution. Therefore a theory
that includes the flux of arriving adatoms, presence of adsorbates, and substrate
temperature (diffusion) provides more insight than does thermodynamic nucleation
theory alone. The growth process might be quite different and can result in islands
smaller or larger than the critical radius.
72 P. J. Hesketh
Fig. 4.7 The impedance of the ultrathin Pt-film is measured at a small 10 mV applied ac voltage.
Measurements of the magnitude of impedance for the immobilization of primary antibody anti-
alkaline phosphatase to the sensor surface through covalent immobilization [14]
4 Nano/Microfabrication Methods 73
4.3.1 Introduction
Atomic Layer Deposition (ALD), or Atomic Layer Epitaxy (ALE), is a growth pro-
cess that allows films to be grown layer-by-layer. There has been a rapid increase
in the number of published studies on this topic. Selected references on the subject
are provided in this section. They provide a sampling of the range of materials that
have been investigated to date.
ALD has several advantages over chemical vapor deposition and physical vapor
deposition for thin film growth. These advantages include improved surface mor-
phology, low pin-hole density, and control of stoichiometry and microstructure. A
key benefit of ALD is the improved step coverage, particularly relevant for coating
high aspect ratio structures. The process is based upon cycling the growth ambient
between two or more precursors, such that the formation of the new phase is carried
out layer-by-layer (see Fig. 4.8).
The first compound introduced absorbs on the surface, and then is removed from
the ambient. Next comes the introduction of the second compound, facilitating a
reaction on the solid phase. This step produces a film thickness measuring approxi-
mately a monolayer in thickness, achieved because it is produced by a self-limiting
process.
The process is then repeated, so that, after many cycles, a controlled film thick-
ness results with improved conformality and surface roughness, enabling control of
nanometer-scale film thickness. The keys to these sequential processes are that:
• Exposure to reactant A,
• Purge,
• Exposure to reactant B, and
• Purge.
The film growth per reaction cycle is known as the “growth per cycle,” rather than
the growth rate, the latter of which is time-derivative of the film thickness during
growth.
A typical reactor configuration is shown in Fig. 4.9. The precursors in this case
consist of a first step, which is TiCL4 or TMA, and that is followed by water, enabling
films of alumina or titania to be produced. A wide range of materials, both amor-
phous and polycrystalline, can be grown by ALD. These materials include semicon-
ductors, metal oxides, and metals.
A range of materials are reviewed by Ritala [15], Leskelä [16], and Ritala
and Leskelä [17]. A review of early work is provided by Suntola [18].
74 P. J. Hesketh
Fig. 4.8 Schematic diagram indicating the sequence of stages during atomic layer deposition [19]
(a)
Needle
Valve
Pressure
TiCl4 Gauge
TMA
Solenoid
Valve Tube Furnace
MFC Sample
N2 Entry
MFC
Sample Exhaust
Mass Flow
Controllers H2O
(b)
Fig. 4.9 (A) Schematic diagram and (B) photograph of ALD reactor for the deposition of alumina
and titania. (courtesy of Prof. C. Summers, School of Materials Science and Engineering, Georgia
Institute of Technology)
Early work on ALD was carried out by Soviet researchers, in which they refer to
the process as “molecular layering” [22, 23]. The ALD (or ALE) process was orig-
inally developed for thin films of ZnS in electroluminescent displays, and has been
extensively applied to semiconductors, metal oxides, and a range of other materials.
76 P. J. Hesketh
There are excellent reviews of early work authored by Niinisto et al. [24] and by
Ritala and Leskelä [25].
Much has yet to be understood about the kinetics of the ALD process for different
precursors and substrates. Among the important aspects are:
• How the processing conditions influence the self-limiting film growth process,
and
• Whether or not a monolayer is defined.
The growth on different substrates, film morphologies, and surface chemistry will
influence how a monolayer is defined in each growth cycle. A detailed review and
study of aluFmina deposition from TMA and water reactants is discussed by Puu-
runen [19].
In general, a smaller molecular weight precursor is preferred, one that is volatile
and thermally stable at the deposition temperature, but is also able to react with
surface groups. For example, the metal halides are thermally stable and provide
a reactive self limiting growth process with surface groups. Consider the growth
process presented in Fig. 4.10. At the hydrated surface, ZnCl2 reacts with the sub-
strate, forming a self-limiting sub-monolayer film. The original glass substrate is
terminated with hydroxides, and so the following mechanism is proposed:
This approach provides a reproducible layer thickness each cycle when the growth
process is self-limiting.
Other precursors include metal organics such as alkyls, specifically trimethyl alu-
minium (TMA) for alumina growth. Other metal organics with a higher molecular
weight include akloxides, -diketonates, cycopentadienyls, and others.
When the precursor is a larger molecule, the steric hindrance may change the
adsorption to sub-monolayer coverage. Additional precursors are required, beyond
those currently available, to optimize the ALD processes. The reason is that the sur-
face reaction must be self limiting so that the monolayer formation is independent
of exposure time. This is the correct processing window when the temperature is
sufficient to promote the surface reaction, but not sufficient for gas phase decompo-
sition to occur. These considerations are illustrated schematically in Fig. 4.11.
In general terms, the different regimes potentially available include:
When saturation occurs, sufficient time should be allowed to provide the opportunity
for all of the surface area to be coated, independent of surface geometry. Then the
self-limiting growth is independent of pressure and the ambient concentration of
precursors.
Fig. 4.11 Diagram indicating range of growth conditions available for ALD as a function of tem-
perature. Region L1 condensation of reactants, L2 activation energy insufficient is limits complete
monolayer formation, H1 decomposition of reactants which results in non-evaporating surface
layer, H2 re-evaporation of monolayer occurs [18]
78 P. J. Hesketh
4.3.2 Semiconductors
The previous section discussed the pioneering work that focused on ALD deposi-
tion of ZnS formed from ZnCl2 and H2 S precursors. Because progress in the field
of ZnS thin films for electroluminescent displays has led to a very successful and
commercially available display technology, semiconductors have been extensively
investigated. Work in this area includes GaAs [26, 27], SiGe, silicon [28], and HgTe
by electrochemical ALD by Venkatasamy et al. [29].
The detailed mechanisms for growth of GaAs is still controversial. Notably, there
is a lack of complete agreement on the deposition mechanism [30]. The literature
includes several reports of preliminary findings on other semiconductors, including:
• Silicon has been deposited from a silane precursor onto diamond substrates [28].
• Thin film solar cell performance is improved with ALD coatings [31].
• ZnOS films have been grown from dimethylzinc and hydrogen sulfide by Sanders
[32] and indium sulfide for solar cells by Youshi et al. [33].
concentration was larger [19]. Figure 4.16 illustrates that the impact is significant:
there is an increase in reaction rate when a higher surface concentration is present.
There could then be some initial island growth, followed by layer growth for silicon;
whereas, for the silica surface, layer by layer growth predominates throughout.
Fig. 4.13 Growth of alumina from TMA, measurement of mass increase as a function of time
based upon quartz crystal microbalance. Each stage of the cycle is indicated over a period of 12
seconds [138]
80 P. J. Hesketh
Fig. 4.14 FTIR difference spectra measured during growth of alumina on BN particles at 450 K
indicate the various ligands present on the surface. (a) after the 9th cycle of exposure to TMA,
and (b) after the 9the exposure to water. The reference spectra were recorded prior to any TMA or
water exposure. [43]
(a) (b)
(c)
Fig. 4.17 TEM cross-section of alumina dielectric film grown with (a) TMA and isopropy alcohol
at 250 ◦ C on silicon (b) after annealing in argon at 800 ◦ C for 5 minutes and (c) after anneal-
ing in oxygen at 800 ◦ C for 5 minutes. The presence of silicon dioxide clearly visible in sub-
layers [36]
For example, there have been investigations into oxide growth employing other
sources of oxygen, including alkoxides [40], with an aim toward avoiding substrate
oxidation:
Fig. 4.18 Growth of silica on BN from silicon tetrachloride and water at 700 K. FTIR difference
spectra indicate the O–H and Si–Cl stretching regions after (a) the 5th cycle of SiCl4 exposure
and (b) 5th cycle of water exposure indicating the presence of adsorbed Si–Cl3 and Si–O–H and
B–O–H groups [43]
Titanium oxide has been studied extensively as an alternative dielectric for micro-
electronic devices. The surface roughness of TiO2 was a function of the number of
cycles, so that TiCl4 and water reactions are incomplete, resulting in an increase in
roughness with film thickness. Ritala et al. [49] investigated different sources, such
as titanium ethoxide. Additional applications for uniform, high quality, thin dielec-
tric films include optical coatings for electroluminescent displays, solar cells, and
coating catalysis and powders with Ta2 O5 [50]. Thin films for high dielectric con-
stant dielectric films and optical coatings include Ta2 O3 , ZrO2 and HfO2 [51]. The
characteristics of hafnium oxide that make it attractive for microelectronic appli-
cations are the high dielectric constant and large breakdown voltage. Stacked gate
structures can be fabricated by sequential processes. For example, work by Kukli et
al. [52] demonstrated that pulsed tantalum ethoxide and tantalum chloride precur-
sors allow the dielectric to be grown without the presence of water. The temperature
range of 275–400 ◦ C was investigated.
(a)
(b)
Fig. 4.21 (a) Schematic diagram of a plasma assisted atomic layer deposition for growth of TiN
films, with in-situ thickness measurements using a spectroscopic ellipsometer. (b) Growth of TiN
film from titanium tetrachloride and nitrogen on silicon, thickness as a function of number of
cycles. Temperature is shown as a parameter between 100 and 400 ◦ C. [54]
4 Nano/Microfabrication Methods 87
4.3.5 Metals
Utiainen et al. [63] reports on the feasibility of depositing platinum, copper, and
nickel by ALD. The precursors allow deposition at temperatures under 250 ◦ C at a
pressure of 100 Pa. The metallic nickel was also formed by deposition of NiO and
conversion to Ni in a hydrogen ambient at 260 ◦ C.
A great deal of research effort has focused on developing suitable precursors for
copper deposition. This discussion provides a couple of examples of investigations
into the process. However, these are only some examples, and are by no means
intended as a comprehensive survey [64].
The scaling of copper interconnection down to small dimensions leads to work on
copper seed layers, with copper(II)-1,1,1,5,5,5-hexafluoroacetylacetonate hydrate to
promote reduction using methanol, ethanol, and formalin [65]. Layers deposited on
a TiN or TaN seed layer at 300 ◦ C were conformal and uniform, with resistivities of
4.25 ⍀-cm for a 20 nm thickness. Earlier work on Cu deposition, which indicated
multi-layer growth with a CuCl precursor at temperatures in the range of from 400
to 500 ◦ C, is recorded by Juppo et al. [66]. The copper was produced in the growth
chamber by a reaction in which the Zn removed chloride. However, some of the
Zn dissolves into the Cu, producing an alloy, which in turn can have a reaction
88 P. J. Hesketh
directly with the CuCl2 in subsequent process steps. In other words, the Zn, when
incorporated into the growing Cu film, prevents the self-limiting growth mechanism
initially observed.
Tungsten is an attractive metal for electrical via formation in high-aspect ratio
structures for DRAM. It is also attractive because the source WF6 is readily avail-
able. A study of sequential surface chemistry carried out Klaus et al. [67], over
the temperature range of from 425 to 600 K, indicates the reaction with Si2 H6 can
be separated into two half reactions. And this sequence is self-limiting. The Si2 H6
serves only to strip the fluorine from the layer without incorporating silicon into the
film. In situ ellipsometry provides process film thickness and an average deposition
rate of 0.25 nm per cycle (see Fig. 4.22). The structure of the tungsten film was
amorphous, and the surface topography was smooth, with an RMS roughness of +/–
0.48 nm for a film thickness of 32 nm.
Nucleation and growth was studied by Elam et al. [68] with WF6 and Si2 H6 ,
at 573 K, on silicon dioxide-coated silicon substrates. Following an initial nucle-
ation process, the films grew by 0.25 nm per cycle. The effect of the substrate on
nucleation was also studied by Grubbs et al. [69]. As shown in Fig. 4.23, the nucle-
ation and growth rate for tungsten on alumina was very different from that obtained
for alumina deposition on tungsten. Studies with WF6 and B2 H6 precursors have
resulted in uniform nucleation and growth on a TiN seed layer [70] at 300◦ C. Pre-
treatment of the surface played an important role, as it provides improved step cover-
age. The 20 nm films are used as seed layers for tungsten CVD in the 70 nm DRAM
process. Finally, rhodium [71] has been deposited by ALD from acetylacetonato-
rhodium onto an ALD-deposited alumina layer at 250◦ C and 0.075 nm per cycle.
The film thickness was proportional to the number of cycles between 15 and 145 nm.
Resistivity measured 12 ⍀-cm for a film thickness of 20 nm.
(b)
Cantilever beams, MEMS actuators, capacitors, and resonators have been suc-
cessfully coated by ALD. Coated cantilever beam structures showed no change in
their radius of curvature, indicating that the coating was uniform on both the top and
bottom side of the beams. A small shift in resonant frequency was observed, which
corresponds to the added mass of the ALD alumina coating (see Fig. 4.24). Another
example is an ALD [72] of 10 nm-thick alumina, deposited at 168◦ C with a TMA
precursor, at a pressure of 1 Torr (625 Pa), and coated on MEMS polysilicon micro-
motors for wear-resistance. Figure 4.25 shows the thickness of the layer deposited
on the top surface (1). The hub (2) was 10 nm, compared with that produced under
the gear (3), which was 10.5 nm. The surface roughness was 0.2 nm, indicating
that adequate coating uniformity can be achieved with this process. An ALD of
(a)
(b)
Fig. 4.24 Growth of silicon carbide film from disilabutane at 800◦ Con polysilicon, (a) SEM image
of polysilicon microresonator coated with 210 nm of SiC. The cantilever tip has been removed
to allow measurement of coating thickness. (b) Measured frequency shift for coated resonator
compared to uncoated resonator as function of film thickness. The solid line is the calculated
frequency ratio used to fit the elastic modulus of 360 GPa [74]
4 Nano/Microfabrication Methods 91
(a)
(b)
(c)
Fig. 4.25 Alumina coating, approximate thickness of 10 nm, on nominally 3 m thick polysilicon
MEMS microgears. The sacrificial silicon dioxide has been removed to release the mechanism
from the substrate. (b) Cross-section of the hub regions showing the contact surfaces, and (c) TEM
micrograph of regions labeled (1), (2) and (3) and shown in (b). Thickness ranges from 10 nm to
10.5 nm [72]
alumina has also been applied to optical MEMS [73]. MEMS device applications
are reviewed by Stoldt and Bright [74]
Wear reduction via ALD tribological coatings in MEMS actuators is a highly
desirable process. The process’s suitability is due to its usability in the preparation of
smooth and conformal coatings [75], which reduces friction levels when compared
to those of silica surfaces. Static friction and wear were reduced in MEMS systems
when they were coated with TiO2 and ZrO2 films. The ZrO2 films were formed
with precursors of zirconium tetra-tert-butoxide (Zr(OC(CH3 )3 )4 ), and the TiO2
92 P. J. Hesketh
Fig. 4.26 Vapor phase conformal coating of ALD deposited alumina coated silicon surface pro-
duced a hydrophobic surfaces. Photograph of contract angle 108 ◦ +/–2 ◦ observed with coating of
thin hydrophobic layer. The contact angle prior to coating, not shown in figure was 55 ◦ +/– 5 ◦ . (b)
Structural diagram of FOMB(DMA)S precursor [76]
4 Nano/Microfabrication Methods 93
Torr (312 Pa) pressure resulted in an average deposition of 0.25 nm per cycle. The
small diameter pores in the membrane, 20 nm over a 20 m length, were reduced
to a size that was within the range of 5 nm to 1 nm. Figure 4.27 shows the size
reduction as a function of the number of AB cycles. These permeable membranes
can be used for conductance measurements for various gases. The ALD of tungsten
on nanoporous aerogels was carried out by Elam et al. [138] with WF6 and Si2 H6,
at 200◦ C, and separated by 5 min purges. Prior to growth, a 0.2 nm-thick film of
alumina was deposited. The average deposition of tungsten was 0.72 nm per cycle,
eventually forming a total thickness of 7 nm.
A template method based upon nano- or microspheres can use the spheres as
a mask to expose regions of the substrate to direct film growth [78]. Nanobowl
films of TiO2 , formed by ALD over a self-assembled monolayer of 505 nm-diameter
polystyrene spheres, were removed in toluene following an ion beam etching of
the spheres’ top layer [79]. Figure 4.28 summarizes the fabrication process. High
temperature processing was subsequently used to transform the amorphous material
into polycrystalline titania.
Size-tunable metal nanostructures were also defined utilizing these periodic
arrays. Here the process is modified to include a PMMA sacrificial film [80], as
depicted in Fig. 4.29. The junction between the PMMA film and the polystyrene
sphere provides a good contact. After ALD coating, the nanospheres and PMMA
were removed to produce a free-standing sheet of nanobowls, each with a small hole
at the center. This process provides a large area shadow mask, up to cm’s in size, for
the evaporation of gold. A gap between the mask and substrate of approximately
500 nm was produced by placing the nanobowl array so that the bowls were in
contact with the wafer. Thus, by changing the angle of evaporation, a number of
gold dots were defined close together, illustrated by Fig. 4.30.
Fig. 4.28 Schematic diagrams indicated the experimental process for fabrication of TiO2 nanobowl
arrays. (a) arrangement of polystyrene spheres, nominally 505 nm in diameter sapphire substrate,
(b) growth of 20 nm TiO2 with 200 cycles, (c) ion beam milling to remove upper half of hemi-
sphere, (d) dissolve polystyrene in toluene [79]
Fig. 4.29 Optical image of copper TEM grid covered by large-area nanobowl sheet. Inset:
schematic of the modified configuration for fabrication of stable large-area nanobowl sheets. (b)
A TEM image of the nanobowl sheet, (c) electron diffraction pattern of the amorphous TiO2
nanobowl sheet, and (d) a higher magnification image of the nanobowls [79]
4 Nano/Microfabrication Methods 95
4.4.1 Introduction
Ion beam usage has been ubiquitous in microfabrication for many years. Ion beams
provide a source for lithography, a method for thin film deposition, and a process for
etching. One of the advantages of a focused ion beam, as opposed to “blanket” area
processing systems, is that the lithographic exposure, along with directed etching or
localized deposition, can be carried out without the need for a mask. Commercial
systems based upon liquid metal ion sources of high brightness have achieved a
range of processing capabilities. In addition to lithography, etching, and deposition,
surface modification can be carried out with ion beam irradiation and, at higher
energies, ion implantation is possible.
This section includes a brief review of the physics of ion surface interactions.
That background should make it easier to understand the processes under discussion.
For more information on ion beam-based lithography, etching, and processing, refer
to the detailed discussion of these subjects in texts by Brodie and Muray [2] and
Giannuzzi and Stevie [81]. An introduction to nanolithography is also provided by
Cui [1].
Commercial, focused ion beam systems include those made by FEI Company
(Eindhoven, The Netherlands). Figure 4.31 is a cross-sectional diagram through a
system. The illustration depicts the components of the column, ion-beam optics, and
scanning system.
At the top of the column is the liquid metal ion source. A liquid metal (or alloy)
field ionization source (in this case gallium) emits ions upon application of volt-
age between the needle-shaped tungsten outlet of the liquid metal reservoir and the
extraction aperture. The ions are accelerated by the applied electric field into the
96 P. J. Hesketh
ion column, which focuses the ions onto the sample surface by a set of electrostatic
lenses. A mass separator (mass filter) that uses magnetic field deflectors is used to
select one charge and isotope of gallium. The beam deflector—in this case an elec-
trostatic deflector based on an octopole—deflects the beam sideways. The device
can be turned on and off rapidly (beam blanking), which facilitates exposure control
during the beam writing process. The ion beam can be focused to a diameter of <
50 nm at the substrate surface. The sample translation stage is usually based on a
laser interferometer, which allows for precision positioning and for the rotation and
tilting of the sample in the focal plane of the FIB system.
Ga ion source
(liquid metal ion source, LMIS)
50 - 52 kV Suppressor
0 - 50 kV
acceleration
voltage 40 - 50 kV Extractor
Electrostatic
-20 - +35 kV lens 1
Stigmator
Aperture
(a)
Focused Ga Octopole
ion beam
Manipulator Deflector
Deflector aperture
Electrostatic
lens 2
Process gas
Fig. 4.31 (a) Schematic view of a focused ion beam system. (b) Schematic diagram of a liquid
metal ion source
4 Nano/Microfabrication Methods 97
(b) 1 mm
Liquid Metal
0.25 mm
2 mm
Ion optics:
7 nm at 30 kV,
Beam current 20 nA (range 2 nA–2 uA in commercial systems)
Energy 5 kV to 30 kV
The rate of energy loss is a function of the mass of the ion and the properties of
the substrate. The stopping is defined as the rate of energy loss per unit depth of
penetration into the substrate. In the case of lighter ions, the energy loss is due
primarily to nuclear interactions, and can be estimated using a model based upon
collisions with substrate atoms. However, for heavier ions there is also significant
energy loss through the electron cloud, which gives rise to a more gradual loss of
energy. Definition of Range is the mean depth of the ion when it comes to rest. The
Straggle is the standard deviation when a Gaussian distribution is fit to the Range
data. The Lindhard-Scharff-Schiott (LSS) theory allows the Range and Straggle to
be calculated [83]. The energy loss due to the scattering of atoms and electrons
within multiple films on the substrate can be modeled with the commercially avail-
able program “TRIM” [84].
The ion penetration is a function of the ion energy. Figure 4.32 shows a typical
Ga ion depth profile in silicon. In the case of a resist film coating on the substrate,
Fig. 4.32 Range and straggle for Ga ions in silicon as a function of the incident ion energy for
normal incidence [139]
4 Nano/Microfabrication Methods 99
which is present with lithographic processing, the range affects the exposure dose of
the resist. For single crystal substrates, including silicon, there is an additional bias
based upon the alignment of the crystal axis with the trajectory of the incident ions.
This channeling is manifest through a deeper than expected penetration, because
the substrate atoms are in crystallographic alignment. In such an alignment, the
open spaces between the atoms reduce the stopping, and hence contribute to the
increased penetration depth.
(a)
Fig. 4.33 Examples of
structures etched by FIB
milling. (a) SEM image of
substrate prepared for TEM
analysis. Two pits are milled
at either side of the area of
interest and then the
remaining wall is trimmed to
a thin membrane using a
smaller beam current in the
FIB (b) FIB image of FIB
etched nominally 50 nm wide
trenches in Si with doses
from 0.5 to 3 nC/m2 in steps
of 0.5 nC/m2 , (c) same as
(b) for nominally 300 nm
wide trenches in silicon [85]
100 P. J. Hesketh
(b)
(c)
a function of the surface geometry and dose. Local sputtering and amorphorization
of the silicon in the low dose regions results in a swelling at the edges, which dimin-
ishes at larger doses. Figure 4.33(b) and (c) illustrates the influence of scanning
width on trench depth, and renders the profile for silicon as a function of dose
between 0.5 and 3 nC/mm2 [85].
The differential sputter yield when milling silicon to various dimensions at a
fixed ion flux is shown in Fig. 4.34(b) and (c). The yield per incident ion is also
a function of depth. For narrow trenches some yield increase was observed, due to
ion focusing into the center of the trench, which becomes more significant for high
aspect ratio structures, as graphically portrayed in Fig. 4.34(b). The sputtering yield
(c)
is also a function of incident angle, as ions incident on the surface at a more oblique
angle generally have a higher sputter yield. At higher energies the sputter yield drops
as the incident Ga+ ions penetrate to a greater depth; hence more of them remain
implanted in the substrate.
Re-deposition of sputtered material has to be considered when carrying out an
FIB machining process. The concern is that the current density and writing speed
should be adjusted to minimize re-deposition in the region where greater precision
is needed, or where the feature sizes are critical. Figure 4.35 shows an example
of a nanoscale, comb-shaped, electrode structure fabricated for an electrochemical
sensor. An overview of the fabrication process is depicted in Fig. 4.35(a). After for-
mation of a trench via a deep reactive ion etching (DRIE) process, a thermal silicon
dioxide film of 50 nm was grown. A sputtered layer of 10 nm Ti and 50 nm platinum
was deposited to cover the structure. Focused ion beam milling with Ga+ at 30 keV
and 100 pA was used to define the remaining platinum layer. The result, illustrated
in Fig. 4.35(b), is what remains after removal of platinum from the base of the trench
and the top of each channel mesa. Due to the directional etching characteristic of
the FIB process, platinum-on the sidewalls of each trench remains, and this lining
forms the comb electrodes [86].
The formation of high resolution stencils for vapor deposition of materials has
also been developed in low stress silicon nitride. This formulation process achieves a
resolution of 100 nm [87]. A shadow mask is formed, such that the vapor deposition
102 P. J. Hesketh
(b)
of aluminum is defined through the mask. The advantage in this instance is that no
photoresist processing is required for the procedure. The presence of a reactive halo-
gen gas can greatly enhance the etch rate and selectivity, as indicated in Table 4.2,
where the etch rates for several materials are listed. The halogen the containing gas
adsorbs onto the surface, so that with ion impact a volatile product is produced.
Chloride significantly increases the etch rate of silicon and aluminum, where as
XeF2 does not etch SiO2 . Advantages to this process are that a lower ion dose is
required to achieve etching, and higher aspect ratio structures can be produced.
4 Nano/Microfabrication Methods 103
(a)
Fig. 4.36 (A) SEM micrograph of a microaccelerometer built with SOI silicon with proof mass
thickness 7.5 m, and suspension 3 above the substrate. The readout gap has been cut at 45o
angle to surface by FIB milling. (B) SEM cross-section of the capacitive sensing gap after con-
ductive platinum metallization has been applied by FIB-CVD from organometallic precursor [88]
104 P. J. Hesketh
(b)
(a) (d)
(b) (e)
(c) (f)
800 nm SixNylayer
(g)
Fig. 4.37 A SECM probe for integrated electrochemical measurements, (edge length, 2.2 m).
Schematic diagram summarizing the fabrication process sequence for a single beam FIB system:
(a) AFM cantilever after coating with the gold layer and the silicon nitride insulation; (b) diamet-
rically opposed FIB cuttings along the dotted lines; and (c) side view after step, (d) repetition of
the diametrically opposed FIB cuttings of step (b) along the dotted lines after turning the cantilever
◦
by 90 , creating a free-standing square pillar; (e) remodeling of the nonconductive AFM tip by
FIB cuttings along the dotted lines on all four sides of the square pillar; and (f) after “single
pass milling” along the dotted lines for removal of redeposited material from the electroactive
surface (g) FIB image of the final integrated frame microelectrode and AFM tip. [90] reprinted
with permission of ACS
106 P. J. Hesketh
gold electrodes was 100 ohms at room temperature. Figure 4.40 shows the typical
structures grown at a beam current of 0.4 pA, with a 7 nm spot size in a 30 keV Ga+
beam and a background pressure of 1×10–4 Pa. A three dimensional rotor produced
by FIB CVD in diamond-like carbon by Igaki et al. [97] utilized a Ga+ beam in
phenanthrene vapor and a current ranging from 5 to 200 pA at 30 keV. Figure 4.40
shows a nanosheet of thickness 100 nm and a flat rotor of 5.5 um diameter. The
fabrication took 50 minutes for each one.
(a) (b)
Fig. 4.39 (a) Schematic diagram of the vibration monitoring system set up in the SEM micro-
scope, and (b) SEM image of the vibration induced by piezodevice at the resonance frequency of
1.21 MHz [94]
4 Nano/Microfabrication Methods 107
(a)
(c)
(b)
Fig. 4.40 Diamond like carbon structures produced by FIBCUD: (a) radial free-space wiring
grown in 16 directions from the center [96], (b) Nano-sheet, 12 m × 12 m with 100 nm thickness
[97], and (c) Flat rotor with 5.5 m diameter, 1.2 m wing-width and 0.57 m wing-thickness, [97]
(a)
Fig. 4.41 Deposition of silica in an overhanging structure (A) SEM image of completed structure,
and (B) schematic diagram indicating different stages in the fabrication process. [98]
and phenanthrene, unique characteristics are produced [100]. Figure 4.42 shows
nanosprings formed with a beam current of 1 pA and a spot size of 7 nm, with
30 keV Ga+ at a pressure of 1×10–3 Pa. The basis for the spring size diameter
control was the scan rate of the ion beam. Mechanical tensile testing of nanosprings
demonstrated an extension of up to 4.9 m. Nonosprings that had a 3% higher
tungsten content resulted in a Young’s modulus of over 200 GPa.
Local CVD can also be carried out with electron beams (EB). Igaki et al. [101]
compared the CVD method to that of FIB for amorphous carbon. The FIB process is
carried out at 5 to 30 keV at 1 pA, and the electron beam at 5 keV at 160 pA to 2 nA,
with both systems demonstrating a resolution of 5 nm. Figure 4.43 shows a pillar
of carbon produced by each method for comparison. The FIB method produced
a pillar with a diameter of 290 nm and length 7.96 m. The EB-fabricated pillar is
160 nm in diameter with length 4.15 m. Branch-like growth is also seen in tungsten
(a) (b)
Fig. 4.43 SEM micrographs of (a) FIB CVD pillar and (b) electron beam CVD deposited pillar
[101]
110 P. J. Hesketh
There has been a great deal of interest in electroplating nanostructures and elec-
troplating into nanometer-dimension templates for the purpose of forming nanos-
tructures. Much of this interest was piqued following the pioneering work of
Martin et al. [105]. The reader is referred to the excellent introductory texts on
electrochemical methods by Bard and Faulkner [106], to texts on electroplating by
Paunovic and Schlesinger [107], and to the comprehensive Handbook of Electro-
plating [108]. This section presents a brief review of the electroplating process.
I = n A FηN
Where n is the number of electrons involved in the reduction ration, η is the electro-
plating efficiency, F is Faraday constant, and N’ is the rate of molar deposition per
- ANODE - CATHODE
e- e-
+ CATHODE + ANODE
Fig. 4.44 Electrical circuit representation of (a) Galvanic cell and (b) Electrolytic cell
112 P. J. Hesketh
unit area. The film thickness can be calculated based upon the atomic mass, m, and
film density, ρ, and as follows:
r = m N /ρ
4.5.2 Templates
Several types of membranes are available for plating through templates (per Hulteen
and Martin [110]). Polycarbonate membranes are produced by nuclear tracks and
are commercially available (Whatman, Nuclepore Membranes). Alumina templates
with defined dimensions are commercially available from Whatman Inc. (Florham
Park, New Jersey). These templates have pore sizes of 20–200 nm and a pore density
of up to 1020 /cm2 (depicted schematically in Fig. 4.46). The templates are formed
by the anodization of aluminum with controllable channel lengths of up to 10’s of
micrometers [111].
The pioneering work on the formation of membranes for selective molecular
transport, purification and filtering by Martin et al. [113]. This excellent review also
(c)
includes work on membrane formation and filling. The membranes show ion selec-
tive transport properties. Notably, data demonstrates cation permselective behavior
over a range of concentrations. The internal surface of the nanotube membrane
is coated with gold. The gold has thiols absorbed with a defined surface charge.
The charge is a function of the terminal ligand. Electrolyte composition and pH
influence the ion transport through the membrane. The selective transport of other
anions and cations were also analyzed, with separation based upon size exclusion.
Future studies could apply such membranes to mimic selective transport through
natural ion channels.
Template-based formation, using track-etched membranes for the formation of
superconducting nanowires, has been demonstrated in work by Dubois [112]. This
work included the formation of electroplated nanowires, nanorods, and nanotubes.
Formation of small diameter indium, tin, and zinc nanowires was pioneered by
Possin [114]. Work with a alumina template and for iron and cadmium is presented
by Al-Mawlawi et al. [115]. That work involved a sulfate bath with boric acid, with
an AC voltage at a frequency of 200 Hz, 16AC(RMS), and used a graphite electrode.
The nanowires were released from the alumina template by dissolving it in a mix-
ture of phosphoric acid and chromic acidic solution. The initial pore geometry can
be widened by etching of the alumina in oxalic acid, so that pore diameters in the
range of between 10 and 200 nm were accessible for wire formation.
Fabrication of individual copper nanowires was undertaken with a scanning
probe by Suryavanshi and Yu [116]. The electrolyte was carried in a pipette probe,
eliminating the need for a bath, and creating a local solution for the formation of the
vertical solid polycrystalline nanowire (see Fig. 4.47).
114 P. J. Hesketh
Fig. 4.47 (a) Scanning
electron microscope image of
electroplated copper
nanowire array with grid
spacing of 7 m formed on a
Au/Si substrate. (b) view of
4×4 array of wires, (c) single
Cu nanowire, and (d) higher
magnification images [116]
current versus time during the plating, and Fig. 4.48(b) shows an array of nickel
nanowires. Cobalt and copper multilayer nanowires with diameters of 8–10 nm were
fabricated in polycarbonate membranes by Blondel et al. [119], by Liu et al. [120],
and by Voegeli et al. [121]. DC current and a sulfate with boric acid and DC plating
conditions was used. Wires of diameter 8–10 nm and length 10–100 nm were formed
in a polycarbonate membrane. The magnetoresitive properties of these structures
was measured at low temperatures Alternating layers of 5 nm cobalt and 2 nm cop-
per formed structures with magnetoresistance properties at 5 K. When contamina-
tion of the cobalt layer with copper occurred, then the magnetoresistance effect was
no longer present.
Composite wires were observed to have interesting optical properties by
Mock et al. [122]. Figure 4.49 depicts gold, silver and nickel composite wires
of 30 nm diameter made with polycarbonate templates. A combination of elec-
troless plating and electroplating was used to form the wires. The selection of
size was based on that size falling within the active range for surface Plasmon
resonance.
Kroll et al. [123] deposited 12 nm (mean) diameter Fe, Ni, and Co nanowires in
porous alumina substrates using an AC plating procedure. Wires of up to 100 m
in length can be fabricated with these processes. The magnetic properties were
measured as a function of temperature for each material. Strong anisotropy with
squareness values of 0.95 were observed, even at room temperature. The wire
diameter is less than a typical magnetic domain size of 50 nm, indicating that the
wires should exhibit one-dimensional magnetic properties. Measurements on the Co
nanowires indicate a competition between the shape-induced magnetic anisotropy
and the magnetic cryatalline properties at 314 and 5 K (as depicted in Fig. 4.50). The
microstructure of the cobalt nanowires indicates a combination of HCP and FCC
structure.
Cobalt nanowires of up to 22 m in length have also been formed by electroplat-
ing into polycarbonate membranes [124]. The electrolyte solution used was cobalt
116 P. J. Hesketh
(a)
(b)
(c)
Fig. 4.51 Schematic diagram indicating the procedure of electroplating metal nanotubes and core-
shell tubular metallic wires. (a) contact metal sputtering onto porous alumina template, (b) initial
high-current density electroplating, (c) second plating was at a lower current density to ensure
filling of pores, and removal of template [130]
out typically over the range 1–4 V which had a pronounced effect on the various
nanostructure morphologies that were created, as shown in Fig. 4.53. The silver
wires appear also to have a high density of silver particles of approximately 19 nm
in diameter comprising their morphology. In addition, varying the amplitude of the
pulsed plating of 50 ms, with a duty cycle of 100 ms, resulted in the nucleation of
silver particles on the nanowires, and achieved a wire growth length of up to 400 nm
with a 40 nm diameter. Here, the faster growth rate corresponds to a more rapid
reduction of silver taking place at the tips of the structures, and thus an increase in
length.
1V
3V
4V
Fig. 4.53 Field emission SEM micrographs of silver nanowires before [(a), (b), (c)] and after [(d),
(e), (f)] pulse electroplating with 1V, 3V and 4V electroplated nanowire [131]
dissolved in 3M NaOH over 2 hrs. Figure 4.54 shows the δ-Bi2 O3 nanowires formed,
although normally␣-Bi2O3 is the stable phase below 723◦ C.
Metal and metal oxide nanowries fabricated by Tresback et al. [133] evidence
interesting properties. Au–SnO2 –Au and Au–NiO–Au were electroplated with alu-
mina membranes, with 220 nm pores at a constant current of 0.5 mA. After the alu-
mina was removed in 3 M NaOH, the wires were annealed in a two-step process
to form the contact and metal oxide. For Au–Sn–Au, 197◦ C for 0.5 h, followed by
650◦ C for 0.5 h, was sufficient. For Au–Ni–Au wire, there was a one-step process:
heating to 600◦ C for 2 hrs. The resultant wires are shown in Fig. 4.55. The elec-
tron diffraction pattern confirms that the dark part is gold and the lighter region is
polycrystalline tetragonal SnO2 . Although a jagged interface is present, the junc-
tion in the wire forms a Schottky contact, the electrical properties of which can be
measured.
4 Nano/Microfabrication Methods 121
B = [110]
Sn O 2 112
211
101
Au
110
200
50 n m
310
the available materials can be utilized in the production of novel devices is inextri-
cably dependant upon the development of low-cost, mass production methods.
Currently, one area in which nanoscale material applications are coming into
prominence is medical diagnostics, specifically cell tagging. The use of quantum
dots directed to detect cancer cell surface antigens is only one example. Nanoscale
devices can be implemented in other biomedical devices, in which the device size is
on the same scale as that of the cell’s internal structure.
The success of this and similar applications promises to lead to intriguing
advances. One such promise is the development of nanodevices that can interface
with the internal mechanisms of a living cell. Such an application can potentially
give rise to new types of biomedical testing tools and even to methods for the growth
of artificial organs.
This brief summation of some of the important research to date should give rise
to optimism for the future of this exciting field. As nanofabrication techniques are
enhanced and refined, commercially viable nanomanufacturing of novel devices—
with important applications to any number of fields—seems the inevitable outcome.
References
1. Cui Z. Micro-Nanofabrication: Technologies and Applications. Springer, 2005.
2. Brodie I., J.J. Muray. The Physics of Micro/Nano-Fabrication. Plenum Press: New York,
1992.
3. Madou M.J. Fundamentals of Microfabrication: The Science of Miniaturization, CRC Press,
2002.
4. Campbell S.A. The Science and Engineering of Microelectronic Fabrication. Oxford Univer-
sity Press: Oxford, 2001.
5. Mahan J.E. Physical Vapor Deposition of Thin Films. John Wiley: New York, 2000.
6. Wolf S., R.N. Tauber. Silicon Processing for the VLSI Era. Lattice Press, 2000.
7. Chase M.W., C.A. Davies, J.R. Downey, D.J. Frurip, R.A. McDonald, A.N. Syverud. JANAF
Thermochemical Tables. American Institute of Physics: New York, 1986.
8. Kittel C, H. Kroemer. Thermal Physics. W.H. Freeman and Co.: New York, 1980.
9. Freund L.B., S. Suresh. Thin Film Materials: Stress, Defect Formation and Surface Evolu-
tion. Cambridge University Press: Cambridge, 2003.
10. Adamson A.W. Physical Chemistry of Surfaces. John Wiley & Sons, Inc.: New York, 1990.
11. Hirth J.P., G.M. Pound. Condensation and Evaporation. Macmillan Co.: New York, 1963.
12. Michely T, J. Krug. Islands, Mounds and Atoms. Springer-Verlag: New York, 2004.
13. Pak S.C. Thin Film Impedance Based Immunosensor. Bioengineering. University of Illinois
at Chicago: Chicago, 1999.
14. Pak S.C., P.J. Hesketh, W.R. Penrose. An ultrathin platinum film sensor to measure biomolec-
ular binding. Biosensors and Bioelectronics 2001;16:371–379.
15. Ritala M. Advanced ALE processes of amorphous and polycrystalline films. Applied Surface
Science 1997;112:223–230.
16. Leskelä M, M. Ritala. Atomic layer deposition (ALD): from precursors to thin film structures.
Thin Solid Films 2002;409:138–146.
17. Ritala M, M. Leskelä. In: Nalwa HS, editor. Handbook of Thin Film Materials. Academic
Press: San Diego, 2002: 103–159.
18. Suntola T. Atomic layer epitaxy. Materials Science Reports 1989;4:261–312.
19. Puurunen R.L. Surface chemistry of atomic layer deposition: A case study for the trimethy-
laluminum/water process. Journal of Applied Physics 2005;97:121301–121352.
4 Nano/Microfabrication Methods 123
20. Kim H. Atomic layer deposition of metal and nitride thin films: Current research efforts and
applications for semiconductor device processing. Journal of Vacuum Science and Technol-
ogy, B 2003;21:2231–2261.
21. Choy K.L. Chemical vapor deposition of coatings. Progress in Materials Science
2003;48:57–170.
22. Aleskovskii V.B. Zh Prikl Khim 1974;47:2145.
23. Malygin A.A. Zh Obshch Khim 2002;72:617.
24. Niinisto L., M. Ritala, M. Leskelä. Synthesis of oxide thin films and overlayers by atomic
layer epitaxy for advanced applications. Materials Science and Engineering B 1996;41:
23–29.
25. Ritala M, M. Leskelä. Atomic layer epitaxy – a valuable tool for nanotechnology. Nanotech-
nology 1999;10:19–24.
26. Heitzinger J.M., J.M. White, J.G. Ekerdt. Mechanisms of GaAs atomic layer epitaxy: A
review of progress. Surface Science 1994;299–300:892–908.
27. Isshiki H, Y. Aoyagi, T. Sugano. (GaAs)m(GaP)n low dimensional short-period superlattice
fabricated by atomic layer epitaxy. Microelectronic Engineering 1998;43–44:301–307.
28. Wang Y.H.Z., J.B. Lu, J. Qi, X.H. Characterization of silicon films grown by atomic
layer deposition on nanocrystalline diamond. Diamond and Related Materials 2006;15:
1434–1437.
29. Venkatasamya V., N. Jayarajua, S.M. Coxb, C. Thambiduraia, M. Mathea, J.L. Stickney.
Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE). Journal of Electro-
analytical Chemistry 2006;589:195–202.
30. George S.M., A.W. Ott, J.W. Klaus. Surface chemistry for atomic layer growth. Journal of
Physical Chemistry 1996;100:13,121–113,131.
31. Platzer-Bjorkman C, T. Torndahl, D. Abou-Ras, J. Malmstrom, J. Kessler, L. Stolt. Zn(O,S)
buffer layers by atomic layer deposition in Cu(In,Ga)Se2 based thin film solar cells: band
alignment and sulfur gradient. Journal of Applied Physics 2006;100:445060–445069.
32. Sanders BW. Zinc oxysulfide thin films grown by atomic layer deposition. Chemical Materi-
als 1992;4:1005–1011.
33. Yousfi EB, T. Asikainen, V. Pietu, P. Cowashi, M. Powalla, D. Lincot. Cadmuim-free buffer
layers deposited by atomic layer epitaxy for copper indium dieselenide solar cells. Thin Solid
Films 2000;361–362:183–186.
34. Hoivik N.D., J.W. Elam, R.J. Linderman, V.M. Bright, S.M. George, Y.C. Lee. Atomic layer
deposition of protective coatings for released micro-electromechanical systems. Sensors and
Actuators A 2003;103:100–108.
35. Kim J, K. Chakrabarti, J. Lee, K.-Y. Oh, C. Lee. Effects of ozone as an oxygen source on the
propertie of the Al2 O3 thin films prepared by atomic layer deposition. Materials Chemistry
and Physics 2003;78:733–738.
36. Jeon W.-S., S. Yang, C.-S. Lee, S.-W. Kang. Atomic layer deposition of Al2 O3 thin
films using trimethyaluminum and isopropyl alchol. Journal of Electrochemical Society
2002;149:C306–C310.
37. Ye P.D., G.D. Wilk, J. Kwo, B.H.-J. Yang, L. Goossmann, M. Frei, S.N.G. Chu, J.P. Man-
naerts, M. Sergent, M. Hong, K.K. Ng, J. Bude. GaAs MOSFET with oxide gate dielectric
grown by atomic layer deposition. IEEE Electron Device Letters 2003;24:209–211.
38. Agostinelli G., A. Delabie, P. Vitanov, Z. Alexieva, H.F.W. Dekkers, S. De Wolf, G.
Beaucarne. Very low surface recombination velocities on p-type silicon wafers passi-
vated with a dielectric with fixed negative charge. Solar Energy Materials and Solar Cells
2006;90:3438–3443.
39. Carcia P.F., R.S. McLean., M.H. Reilly, M.D. Groner, S.M. George. Ca test of Al2 O3 gas
diffusion barriers grown by atomic layer deposition on polymers. Applied Physics Letters
2006;89:31915–31913.
40. Ritala M., K. Kukli, A. Rahtu, P.I. Raisanen, M. Leskelä, T. Sajavaara, J. Keinonen. Atomic
layer deposition of oxide thin films with metal alkoxides as oxygen sources. Science
2000;288:319–321.
124 P. J. Hesketh
41. Klaus J.W.O.S., S.M. George. Growth of SiO2 at room temperature with the use of catalyzed
sequential half-reactions. Science 1997;278:1934–1936.
42. Klaus J.W., A.W. Ott, J.M. Johnson, S.M. George. Atomic layer controlled growth of SiO2
films using binary reactioin sequence chemistry. Applied Physics Letter 1997;70:1092–1094.
43. Ferguson J.D., A.W. Weimer, S.M. George. Atomic layer deposition of Al2 O3 and SiO2
on BN particles using sequential surface reactions. Applied Surface Science 2000;162–
163:280–292.
44. Kamiyama S., T. Miura, Y. Nara. Comparison between Hf-silicate films deposited by ALD
with BDMAS [SiH2 (N(CH3 )2 )2 ] and TDMAS [SiH(N(CH3 )2 )3 ] precursors. Electrochemi-
cal and Solid-State Letters 2006;8:F37–F39.
45. Kamiyama S., T. Miura, Y. Nara. Comparison between SiO2 films deposited by atomic
layer deposition with SiH2 [N(CH3 )2 ]2 and SiH[N(CH3 )2 ]3 precursors. Thin Solid Films
2006;515:1517–1521.
46. Du Y., X. Du, S.M. George. SiO2 film growth at low temperatures by catalyzed atomic layer
deposition in a viscous flow reactor. Thin Solid Films 2005;491:43–45.
47. Kukli K., J. Ihanus, M. RItala, M. Leskelä. Properties of Ta2 O5 -baded dielectric
nanolaminates deposited by atomic layer epitaxy. Journal of Electrochemical Society
1997;144:300–306.
48. Kukli K., J. Ihanus, M. Ritala, M. Leskelä. Tailoring the dielectric properties of HfO2 -Ta2 O5
nanolaminates. Applied Physics Letter 1996;68:3737–3739.
49. Ritala M., M. Leskelä, E. Rauhala. Atomic layer epitaxy growth of titanium dioxide thin
films from titanium ethoxide. Chemical Materials 1994;6:556–561.
50. Pessa M., R. Makela, T. Suntola. Characterization of surface exchange reactions used to grow
compound films. Applied Physics Letters 1981;38:131–132.
51. Becker J., E. Kim, R.G. Gordon. Atomic layer deposition of insulating hafnium and tirco-
nium nitrides. Chemical Materials 2004;16:3497–3501.
52. Kukli K., M. Ritala, M. Leskelä. Atomic layer deposition and chemical vapor deposition of
tantalum oxide by successive and simultaneous pulsing of tantalum ethoxide and tantalum
chloride. Chemical Materials 2000;12:1914–1920.
53. Martensson P., M. Juppo, M. Titala, M. Leskelä, J.-O. Carlsson. Use of atomic layer epi-
taxy for fabrication of Si/TiN/Cu structures. Journal of Vacuum Science and Technology, B
1999;17:2122–2128.
54. Langereis E., S.B.S. Heil, M.C.M. Van De Sanden, W.M.M Kessels. In situ spectroscopic
ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer
deposition. Journal of Applied Physics 2006;100:235341–235349.
55. Heil S.B.S., E. Langereis, A. Kemmeren, F. Roozeboom, M.C.M., van de Sanden, W.W.M.
Kessels. Plasma-assisted atomic layer deposition of TiN moniored by in situ spectroscopic
ellipsometry. Journal of Vacuum Science and Technology, A 2005;23:L5–L8.
56. Rossnagel S.M., A. Sherman, F. Turner. Plasma-enhanced atomic layer deposition of Ta
and Ti for interconnect diffusion barriers. Journal of Vacuum Science and Technology, B
2000;18:2016–2020.
57. Lu J.J.S., M. Ottosson, A. Tarre, A. Rosental, J. Aarik, A. Harsta. Microstructure
characterization of ALD-grown epitaxial SnO2 thin films. Journal of Crystal Growth
2004;260:191–200.
58. Sundqvist J., J. Lu, M. Ottosson, A. Harsta. Growth of SnO2 thin films by atomic
layer deposition and chemical vapour deposition: A comparative study. Thin Solid Films
2006;514:63–68.
59. Sundqvist J., A. Tarre, A. Rosental, A. Harsta. Atomic layer deposition of epitaxial and poly-
crystalline SnO2 films from the SnI4 /O2 precursor combination. Chemical Vapor Deposition
2003;9:21–25.
60. Badot J.C., A. Mantoux, N. Baffier, O. Dubrunfaut, D. Lincot. Submicro- and nanostructural
effects on electrical properties of Li0.2 V2 O5 thin films obtained by atomic layer deposition
(ALD). Journal of Physical and Chemistry of Solids 2006;67:1270–1274.
4 Nano/Microfabrication Methods 125
61. Rahtu A., T. Alaranta, M. Ritala. Insitu quartz crystal microvalance and qudrupole mass
spectrometry studies of atomic layer deposition of aluminum oxide from trimethylaluminum
and water. Langmuir 2001;17:6506–6509.
62. Schuisky M.J.W.E., S.M. George. In situ resistivity measurements during the atomic layer
deposition of ZnO and W thin films. Applied Physics Letters 2002;81:180–182.
63. Utriainen M., M. Kroger-Laukkanen, L.-S. Johansson, L. Niinisto. Studies of metallic thin
film growth in an atomic layer epitaxy reactor M(acac)2 (M = Ni, Cu, Pt) precursors. Applied
Surface Science 2000;157:151–158.
64. Norman J.A.T. Advanced in copper CVD for the semiconductor industry. Journal of Physics
IV, Part 3 2001;11:497–503.
65. Solanki R., B. Pathangey. Atomic layer deposition of copper seed layers. Electrochemical
and Solid-State Letters 2000;3:479–480.
66. Juppo M., M. Ritala, M. Leskelä. Deposition of copper films by an alternate supply of CuCl
and Zn. Journal of Vacuum Science and Technology A, 1997;15:2330–2333.
67. Klaus J.W., S.J. Ferro, S.M. George. Atomic layer deposition of tungsten using sequen-
tial surface chemistry with a sacrificial stripping reaction. Thin Solid Films 2000;360:
145–153.
68. Elam J.W., C.E. Nelson, R.K. Grubbs, S.M. George. Nucleation and growth during tungsten
atomic layer deposition on SiO2 surfaces. Thin Solid Films 2001;386:41–52.
69. Grubbs R.K., C.E. Nelson, N.J. Steinmetz, S.M. George. Nucleation and growth during
the atomic layer deposition of W on Al2 O3 and Al2 O3 on W. Thin Solid Films 2004;467:
16–27.
70. Kim S.-H., N. Kwak, J. Kim, H. Sohn. A comparative study of the atomic-layer-deposited
tungsten thin films as nucleation layers for W-plug deposition. Journal of the Electrochemical
Society 2006;153:G887–G893.
71. Aaltonen T., M. Ritala, M. Leskelä. ALD of Rhodium thin films from Rh(acac)3 and oxygen.
Electrochemical and Solid-State Letters 2005;8:C99–C101.
72. Mayer T.M., J.W. Elam, S.M. George, P.G. Kotula, R.S. Goeke. Atomic-layer deposition of
wear-resistant coatings for microelectromechanical devices. Source: Applied Physics Letters
2003; 82:2883–2885.
73. Lin Y.-C., J.-C. Chiou, W.-T. Lin, Y.-J. Lin, S.-D. Wu. The design and assembly of surface-
micromachined optical switch for optical add/drop multiplexer application. IEEE Transac-
tions on Advanced Packaging 2003;26:261–267.
74. Stoldt C.R., V.M. Bright. Ultra-thin film encapsulation processes for micro-
electro-mechanical devices and systems. Journal of Physics D: Applied Physics
2006;39:R163–R170.
75. Nistorica C., J.-F. Liu., I. Gory, G.D. Skidmore, F.M. Mantiziba, B.E. Gnade, J. Kim.
Tribological and wear studies of coatings fabricated by atomic layer deposition and
by successive ionic layer adsorption and reaction for microelectromechanical devices.
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 2005;23:
836–840.
76. Herrmann C.F.D., W. Frank, V.M. Bright, S.M. George. Conformal hydrophobic coatings
prepared using atomic layer deposition seed layers and non-chlorinated hydrophobic precur-
sors. Journal of Micromechanics and Microengineering, 2005;15:984–992.
77. Ott A.W., J.W. Klaus, J.M. Johnson, S.M. George, K.C. McCarley, J.D. Way. Modification of
porous alumina membranes using Al2 O3 atomic layer controlled deposition. Chemical Mate-
rials 1997;9:707–714.
78. Haynes C.L., R.P. Van Duyne. Nanosphere lithography: A versatile nanofactricaiton
tool for studies of size-dependent nanoparticle optics. Journal of Physical Chemistry B
2001;105:5599–5611.
79. Wang X.D., E. Graugnard, J.S. King, Z.L. Wang, C.J. Summers. Large-scale fabrication of
ordered nanobowl arrays. NanoLetters 2004;4:2223–2226.
80. Wang X., C. Lao, E. Graugnard, C.J. Summers, Z.L. Wang. Large-size liftable inverted-
nanobowl sheets as reusable masks for nanolithiography. Namo Letters 2005;5:1784–1788.
126 P. J. Hesketh
81. Giannuzzi L.A., F.A. Stevie. Introduction to Focused Ion Beams. Springer: New York, 2005.
82. Swanson L.W., G.A. Schwind, A.E. Bell, J.E. Brady. Emission characteristics of gallium
and bismuth liquid metal field-ion sources. Journal of Vacuum Science and Technology
1979;16:1864–1867.
83. Lindhard L., M. Scharff, H. Schiott. Atomic collisions II: Range concepts and heavy ion
ranges. K Dan Vidensk, Selsk, Mat Fys Medd 1963;33:1.
84. Biersack J.P., L.A. Haggmark. Monte Carlo computer program for the transport of energetic
ions in amorphous targets. Nuclear Instrumentation and Methods 1980;174:257–269.
85. Lugstein A., B. Basnar, J. Smoliner, E. Bertagnolli. FIB processing of silicon in the nanoscale
regime. Applied Physics A 2003;76:545–548.
86. Peng Z., P. Hesketh. Nanoparticle/Microfluid Based Electrochemical Biosensor System.
209th ECS Meeting. The Electrochemical Society: Denver, Colorado, 2006: #1275.
87. Kim G.M., M.A.F. van den Boogaart, J. Brugger. Fabrication and application of a full wafer
size micro/nanostencil for multiple length-scale surface patterning. Microelectronic Engi-
neering 2003;67–68:609–614.
88. Daniel J.H., D.F. Moore. A microaccelerometer structure fabricated in silicon-on-insulator
using a focused ion beam process. Sensors and Actuators 1999;73:201–209.
89. DeMarco A.J., J. Melngailis. Maskless fabrication of JFETs via focused ion beams. Solid-
State Electronics 2004;48:1833–1836.
90. Kranz C., G. Friedbacher, B. Mizaikoff, A. Lugstein, J. Smoliner, E. Bertagnolli. Integrating
an ultramicroelectrode in an AFM cantilever. Combined technology for enhanced informa-
tion. Analytical Chemistry, 2001;73:2491–2500.
91. Kueng A., C. Kranz, B. Mizaikoff, A. Lugstein, E. Bertagnolli. Combined scanning elec-
trochemical atomic force microscopy for tapping mode imaging. Applied Physics Letter
2003;82:1592–1594.
92. Kranz C., A. Kueng, A. Lugstein, E. Bertagnolli, B. Mizaikoff. Mapping of enzyme activ-
ity by detection of enzymatic products during AFM imaging with integrated SECM-AFM
probes. Ultramicroscopy 2004;100:127–134.
93. Menozzi C., G.C. Gazzadi, A. Alessandrini, P. Facci. Focused ion beam-nanomachined
probes for improved electric force microscopy. Ultramicroscopy 2005;104:220–225.
94. Fujita J., M. Ishida, T. Sakamoto, Y. Ochiai, T. Kaito, S. Matsui. Observation and charac-
teristics of mechanical vibration in threedimensional nanostructures and pillars grown by
focused ion beam chemical vapor deposition. Journal of Vacuum Science and Technology B
2001;19:2834–2837.
95. Ishida M., J. Fujita, Y. Ochiai. Density estimation for amorphous carbon nanopillars grown
by focused ion beam assisted chemical vapor deposition. Journal of Vacuum Science and
Technology B 2002;20:2784–2787.
96. Morita T., R. Kometani, K. Watanabe, K. Kanda, T. Hoshino, K. Kondo, T. Kaito, T. Ichi-
hashi, J. Fujita, M. Ishida, Y. Ochiai, T. Tajima, S. Matsui. Free-space-wiring fabrication in
nano-space by focused-ion-beam chemical vapor deposition. Journal of Vacuum Science and
Technology B 2003;21:2737–2741.
97. Igaki J., R. Kometani, K. Nakamatsu, K. Kanda, Y. Haruyama, Y. Ochiai, U. Fujita, T.
Kaisto, S. Matsui. Three-dimensional rotor fabrication by focused-ion-beam chemical-vapor-
deposition. Microelectronic Engineering 2006;83:1221–1224.
98. Puers R., S. Reyntjens. Fabrication and testing of custom vacuum encapsulations deposited
by focused ion beam direct-write CVD. Sensors and Actuators A 2001;92:249–256.
99. Ishida M., J. Fujita, T. Ichihashi, Y. Ochiai. Focused ion beam-induced fabrication of tungsten
structures. Journal of Vacuum Science and Technology B 2003;21:2728–2731.
100. Nakamatsu K., J. Igaki, M. Nagase, T. Ichihashi, S. Matsui. Mechanical characteristics of
tungsten-containing carbon nanosprings grown by FIB-CVD. Microelectronic Engineering
2006;83:808–810.
101. Igaki J., K. Kanda, Y. Haruyama, M. Ishida, Y. Ochiai, U. Fujita, T. Kiato, S. Matsui.
Comparison of FIB-CVD and EB-CVD growth characteristics. Microelectronic Engineering
2006;83:1225–1228.
4 Nano/Microfabrication Methods 127
102. Telari K.A., B.R. Rogers, H. Fang, L. Shen, R.A. Weller, D.N. Braski. Char-
acterization of platinium films deposited by forcused ion beam-assisted chemi-
cal vapor deposition. Journal of Vacuum Science and Technology B 2002;20:
590–595.
103. Teng J., P.D. Prewett. Focused ion beam fabrication of thermally actuated bimorph can-
tilevers. Sensors and Actuators A 2005;123–124:608–613.
104. Lapicki A., K. Kang, T. Suzuki. Fabrication of magnetic dot arrays by ion beam induced
chemical vapor deposition (IBICVD). IEEE Transactions on Magnetics 2002;38: 2589–2591.
105. Martin C.R. Membrane-based synthesis of nanomaterials. Chemistry of Materials
1996;9:1739–1746.
106. Bard A.J., L.R. Faulkner. Electrochemical Methods – Fundamentals and Applications. Wiley
Inc.: New York, 1980.
107. Paunovic M., M. Schlesinger. Fundamentals of Electrochemical Deposition. Wiley Inc.: New
York, 1998.
108. Schlesinger M., M. Paunovic. Modern Electroplating. Wiley Inc.: New York, 2000.
109. West A.C., C.-C. Cheng, B.C. Baker. Pulse reverse copper electrodeposition in high aspect
ratio trenches and vias. Journal of Electrochemical Society 1998;145:3070–3074.
110. Hulteen J.C., C.R. Martin. A general template-based method for the preparation of nanoma-
terials. Journal of Materials Chemistry 1997;7:1075–1087.
111. Hornyak G.L., K.L.N. Phani, D.L. Kunkel, V.P. Menon, C.R. Martin. Fabrication, character-
ization and optical theory of aluminum nanometal/nanoporous membrane thin film compos-
ites. NanoStructured Materials 1995;6:839–842.
112. Dubois S.A.M., J.P. Eymery, J.L. Duvail, L. Piraux. Fabrication and properties of arrays of
superconducting nanowires. Journal of Materials Research 1999;14:665–671.
113. Martin C.R., M. Nishizawa, K. Jirage, M. Kang, S.B. Lee. Controlling ion-transport selec-
tivity in gold nanotube membranes. Advanced Materials 2001;13:1351–1362.
114. Possin G. A method for forming very small diameter wires. Review of Scientific Instruments
1970;41:772–774.
115. Al-Mawlawi D., C.Z. Liu, M. Moskovits. Nanowires formed in anodic oxide nanotemplates.
Journal of Materials Research 1994;9:1014–1018.
116. Suryavanshi APaMFY. Probe-based electrochemical fabrication of freestanding Cu nanowire
array. Applied Physics Letter 2006;88:831031–831033.
117. Wang L., K.Y. Zhang, A. Metrot, P. Bonhomme, M. Troyon. TEM study of electrodeposited
Ni/Cu multilayers in the form of nanowires. Thin Solid Films 1996;288:86–89.
118. Schonenberger C., B.M.I. van der Zande, L.G.J. Fokkink, M. Henny, C. Schmid, M. Kruger,
A. Bachtold, R. Huber, H. Birk, U. Staufer. Template synthesis of nanowires in porous
polycarbonate membranes: electrochemistry and morphology. Journal of Physical Chemistry
B 1997;101:5497–5505.
119. Blondel A., B. Doudin, J. Ph. Ansermet. Comparative study of the magnetoresistance of
electrodeposited Co/Cu multilayered nanowires made by single and dual bath techniques.
Journal of Magnetism and Magnetic Materials 1997;165:34–37.
120. Liu K., K. Nagodawithana, P.C. Searson, C.L. Chien. Perpendicular giant magnetoresistance
of multilayered Co/Cu nanowires. Physical Review B 1995;51:7381–7385.
121. Voegeli B., A. Blondel, B. Doudin, J. Ph. Ansermet. Electron transport in multilayered Co/Cu
nanowires. Journal of Magnetism and Magnetic Materials 1997;151:388–395.
122. Mock J.J., S.J. Oldenburg, D.R. Smith, D.A. Schultz, S. Schultz. Composite plasmon reso-
nant nanowires. NanoLetters 2002;2:465–469.
123. Kroll M.W.J.B., D. Grandjean, R.E. Benfield, F. Luis, P.M. Paulus, L.J. de Jongh. Magnetic
properties of ferromagnetic nanowires embedded in nanoporous alumina membranes. Journal
of Magnetism and Magnetic Materials 2002;249:241–245.
124. Encinas A., M. Demand, J.-M. George, L. Piraux. Effect of pH on the microstructure and
magnetic properties of electrodeposited cobalt nanowires. IEEE Transactions on Magnetics
2002;38:2574–2576.
128 P. J. Hesketh
EB Electron Beam(s)
FCC Face Center Cubic
FIB Focused Ion Beam
FTIR Fourier Transform Infrared
HCC Hexagonal Close Packed
ICP Inductively Coupled Plasma
JFET Junction Field Effect Transistor
LCR Left – Center – Right (mnemonic for Inductance,
Capacitance & Resistance), thus an LCR meter is an
Inductance, Capacitance, Resistance meter
MEMS Micro Electro-Mechanical Systems
MFM Magnetic Force Microscopy
MTS mercapto trimethyl-ethyl-silazane
NEMS Nano Electro-Mechanical Systems
PP Pulsed Plating
PVD Physical Vapor Deposition
QCM Quartz Crystal Microbalance
RE Reference Electrode
SCE Standard Calomel Electrode
SEM Scanning Electron Microscope
SECM Scanning Electrochemical Microscopy
SOI Silicon on Insulator
TEM Transmission Electron Microscope
TMA trimethly aluminum
TMCTS tetramethylcyclotetrasilozane
VFM Vibrating Flux Magnetometer
WE Working Electrode
XRD X-ray Diffraction
Chapter 5
Micro- and Nanomanufacturing via Molding
5.1 Introduction
Molding is a simple manufacturing process whereby fluid fills a master tool and then
solidifies in the shape of the tool cavity. Molding has long allowed fabrication of
plastic components with feature sizes typically ranging from 1 mm to 1 m. Molding
can be performed quickly and can be parallelized, which enables manufacturing at
high volume and low cost. Fabrication of microelectromechanical systems (MEMS)
and integrated circuit (IC) components having feature sizes ranging from 100 nm
W. P. King
Department of Mechanical Science and Engineering, University of Illinois Urbana-Champaign,
Urbana, IL 61801, USA, +1 (217) 244–3864, +1 (217) 244–6534
e-mail: wpk@uiuc.edu
Fig. 5.1 (a) Illustration of micro-/nanomolding process in nanoimprint lithography. (b,c) Scanning
electron micrographs of microfabricated silicon mold and corresponding polymer replica formed
by molding. Reprinted from [58] with permission from IOP Publishing
allow for optimized, rapid fabrication of high resolution nanostructures but requires
more advanced tooling and is more limited in materials selection than compression
molding.
Material selection for master tools of micro- and nanomolding processes depends
on the type of molding process, desired process conditions, and the material target.
UV molding requires use of optically transparent molds composed of quartz or
cured polymer such as PDMS. Molding of high MW materials at high pressures
requires structurally robust molds composed of silicon, quartz, or metal. All master
tools regardless of material are initially fabricated in silicon or quartz by electron
beam lithography or photolithography followed by chemical etching processes. The
patterned silicon or quartz can then be used directly as master tools or as templates
for cured polymer master tools fabricated by casting or imprinting [5–8] or metal
molds fabricated by electroplating [9, 10]. Successive imprinting and casting or
electroplating steps can create multiple polymer or metal master tool replicas from
a single patterned silicon master template. Fabricated molds are then coated with
an anti-adhesion release layer, designed for specific mold-polymer surface chem-
istry, to reduce stresses during demolding [11–14]. Demolding stresses can also be
reduced by optimizing demolding temperatures [15, 16]. Molds fabricated in this
manner have manufactured microstructures and nanostructures with a variety of
applications.
Micro- and nanomolding processes offer a low cost, scalable alternative to silicon
based microfabrication that capitalize on the formability of polymers to fabricate
features for direct function or lithographic patterning. Direct forming of polymers
through molding can reduce manufacturing steps and eliminate the need for
134 H. D. Rowland, W. P. King
Fig. 5.2 Example applications formed by micro- and nanomolding processes where the molded
material directly provides function. (a) Micromolded fluidic components for lab on a chip applica-
tions [10, 18, 19]. Reprinted from [18] with permission from SPIE. (b) Nanomolded cell engineer-
ing scaffolds for study of nerve cell growth. Reprinted from [20] with permission from Elsevier
c
2006. (c) Micromolded ring resonator for optical waveguides. Reused with permission from [21]
c 2002, AVS The Science & Technology Society. (d) Nanomolded indentations from parallel
arrays of atomic force microscope probes for high density data storage. Reprinted with permission
from [22] c 2002 IEEE
5 Micro- and Nanomanufacturing via Molding 135
An even greater number of applications use micro- and nanomolding processes for
lithographic patterning. After plasma removal of the residual layer shown in Fig. 5.1,
the molded polymer is used as an etch mask to selectively pattern the features of the
master tool into a substrate, typically silicon. Silicon field effect transistors (FETs)
[31], flexible silicon nanowire FETs on plastic substrates [32], organic thin film
transistors [33], surface acoustic wave filters [34], interdigitated cantilever arrays
[35], Fresnel zone plates [36], and a variety of optical applications [37] have used
micro- and nanomolding for lithography.
Perhaps the most promising applications of micro- and nanomolding are in high
resolution NIL or UV-NIL for patterned media data storage and next generation
lithography for IC fabrication with feature sizes < 20 nm. Current extensions of
optical projection lithography at 193 nm wavelength include immersion lithogra-
phy where high index of refraction lenses and fluids increase pattern resolution
or extreme ultraviolet lithography where use of 13.5 nm wavelength increases pat-
tern resolution. Both techniques introduce significant new technical challenges of
contamination and material development for resists, masks, and lenses, while still
requiring tooling and process costs to be less expensive than alternative technolo-
gies. With proper mold fabrication, alignment and overlay capabilities, and defect
control during molding and demolding, NIL or UV-NIL could pattern high resolu-
tion features at high throughput without expensive optical resolution enhancement
tooling. NIL or UV-NIL offers scalable manufacture of microstructures and nanos-
tructures with resolution better than 10 nm [38] over areas > 100 mm2 [39]. Recent
studies have shown use of Moire fringes to nanoposition molds during processing
to achieve alignment < 20 nm between two-layer molding processes [40].
Figure 5.3 shows the promise of nanomolding for high resolution patterning
for data storage and IC fabrication. Figure 5.3a shows UV-NIL patterned cross-bar
platinum nanowire arrays for electronically addressable memory that could enable
data density > 500 Gbit/in2 [41]. Nanomolding has also patterned magnetic media
that could increase magnetic recording data density toward and above 100 Gbit/in2
[42, 43]. Figure 5.3b shows nanomolded lines of width 7 nm and spacing 7 nm for
patterning metal gates in IC fabrication [44]. Nanomolding allows true molecular
scale replication, as Fig. 5.3c,d show successful replication of single walled carbon
nanotubes by UV-NIL [45,46] and replication of a single atom crack tip by UV cast-
ing processes similar to UV-NIL [47]. The high resolution and processing scalability
136 H. D. Rowland, W. P. King
Fig. 5.3 Nanomolding processes for high resolution lithographic patterning. (a) UV-NIL produced
electronically addressable memory at 17 nm half pitch. Reprinted with permission from [41]. (b)
UV-NIL patterned lines of 7 nm width spaced 7 nm. Reused with permission from [44] c 2004,
American Institute of Physics. (c) Molded replica of single walled carbon nanotube via UV-NIL.
Reprinted with permission from [46] c 2006 IEEE. (d) UV-cast replica of single atom at the tip
of a crack. Reprinted with permission from [47]
Fig. 5.4 For high yield of many applications, micro- and nanomolding must successfully replicate
features over a range of length scales in local cavity flow, nonuniform repeat unit flow, and full
mold replication
Fig. 5.5 Single and dual peak polymer deformation from 100 nm to 500 m. (a,b) Cavity width >
100 m [58, 80]. (a) is reprinted from [58] with permission from IOP Publishing. (b) is reprinted
from [80] with permission from Elsevier c 2002. (c,d) Cavity width 10 m. Reprinted with per-
mission from [55] c 2003 IEEE. (e,f) Cavity width < 1 m. Reused with permission from [54]
c 2004, AVS The Science & Technology Society
flow during local cavity filling, independent of length scale [63]. During micro-
molding operations, the master tool applies a stress field to the polymer fluid where
the shear stress gradients and resulting direction of flow depend on the specific
geometry of the mold and film thickness. Figure 5.6 shows the labeled geometry
of local cavity filling and shear stress contours resulting from three distinct flow
modes defined by geometry and viscous flow theory. Simulations showed the differ-
ence between single and dual peak deformation is governed by the range of shearing
and can be predicted by a dimensionless directional flow ratio w/h o , where w is the
local cavity half width and h o is the initial film thickness [63]. Shear stress localizes
near the indenting master tool, distributing radially into the cavity over a distance
equivalent to the film thickness. Thus for mold cavities with w/h o < 1, single peak
deformation occurs while for mold cavities with w/h o > 1, dual peak deformation
occurs.
5 Micro- and Nanomanufacturing via Molding 139
Fig. 5.6 Local cavity filling depends on geometry. (a) Cartoon labeling geometry of single cavity
filling. (b) Distribution of shear stress, dependent on geometry, distinguishes different types of
flow. Pipe flow occurs when w/h o < 1. Stokes flow occurs when w/h o > 1 and s/h r < 1. Squeeze
flow occurs when w/h o > 1 and s/h r > 1. Reprinted from [63] with permission from IOP Publishing
The directional flow ratio defines the location of the geometric constriction gov-
erning flow: the cavity width or space between indenters in single peak deformation,
or the polymer film between the indenter and substrate in dual peak deformation.
Another dimensionless geometric ratio, the polymer supply ratio s/h r , can predict
the distribution of shear stress between the indenter and substrate during dual peak
deformation, where s is half the indenter width and h r is the residual film thickness
after full replication. The residual film thickness can be defined analytically based
on mold geometry and conservation of volume [64]. As a mold is pressed further
into a film to achieve full replication, the amount of polymer beneath the inden-
ter decreases while the distance the polymer must flow laterally to fill the cavity
increases. When s/h r < 1, polymer deformation occurs over short distances and
shear flow dominates deformation. When s/h r >> 1, polymer deformation occurs
over long distances and squeeze flow dominates deformation. The polymer supply
ratio indicates the relative importance of shear or squeeze flow, defining a metric
for the amount of polymer that must be displaced from beneath the indenter during
molding.
The flow modes of local cavity filling defined by mold geometry allow for predic-
tions of characteristic velocities and fill times to optimize molding processes based
on viscous flow theory [63]. Single peak flow, occurring when w/h o < 1 regardless
140 H. D. Rowland, W. P. King
the value of s/h r , resembles the classic fluid mechanics flow situation of steady
laminar flow over a given distance between infinite plates or within a pipe [65].
In micromolding applications with single peak flow, the distance of flow is sim-
ply the cavity height, h c . Single peak flow can occur for various micromolding
geometries such as closely spaced lines, cylindrical cavities, square cavities, and
rectangular cavities. For a given effective fluid pressure Peff , a characteristic veloc-
ity V for generic single peak filling assuming no slip boundary conditions can be
defined as
Dh2
V = Peff × (5.1)
Cηh c
12ηh 2c s
t= . (5.3)
P(2w)2 (s + w)
Dual peak flow fill times in micromolding applications can be predicted by either
Stokes or squeeze flow [63]. Most micromolding applications in dual peak flow are
governed by squeeze flow due to the desire to reduce the residual layer thickness
prior to demolding. Stokes flow is not commonly encountered in micromolding
processes. Dual peak squeeze flow, occurring when w/ h o > 1 and s/ h r > 1,
resembles a lubrication problem where a thin fluid is squeezed between two parallel
plates [66, 67] from an initial thickness h o to a final residual thickness h r . The situ-
ation of cavity filling during molding differs from true squeeze flow as the contact
area between mold and polymer increases laterally during processing [61, 63, 68].
A characteristic velocity for generic dual peak squeeze flow filling assuming no slip
boundary conditions can be defined as
C Pe f f h 2o h r2
V = (5.4)
ηse2f f h o + h r
where seff is an arbitrary feature width and Peff the pressure, with both Peff and
seff dependent on effective mold-polymer contact area. To account for the slowing
5 Micro- and Nanomanufacturing via Molding 141
2P ho 2hr 2 s+w
V = × (5.5)
η(s + w)2 h o + h r s
η(s + w)2 1 1 s
t= − 2 × . (5.6)
2P hr 2 ho s+w
The ability to estimate flow timescales based on mold geometry allows predictions
prior to processing of the governing physical forces that will drive polymer flow.
The Capillary number, Ca = ηV / where is the surface tension of the polymer
fluid, provides a relative measure of viscous forces to capillary forces. When Ca
>> 1, molding processes are driven by viscous forces while when Ca << 1,
molding processes are driven by capillary forces. Figure 5.7 shows a flow driv-
ing mechanism regime map [63] and examples of dual peak flow profiles driven
by viscous/viscoelastic [55] and capillary forces [60]. The flow driving mecha-
nism regime map defines Ca by estimating the geometric-dependent characteris-
tic velocity V and correctly predicts the flow profile and governing physics of all
reported experiments and simulations of micromolding components of size 100 nm–
10 mm [63].
Predicting polymer flow in the capillary regime is more complex than predicting
flow in the viscous regime, as capillary forces can speed up or slow down the fill time
during capillary flows due to surface tension and the chemical wetting interaction
between mold and polymer [69, 70]. Surface chemistry is of fundamental impor-
tance in capillary flows. For a wetting fluid having a small contact angle with the
mold, the polymer will wet the master surface and climb the indenter sidewalls in a
dual peak mode, independent of w/ h o . This wetting behavior has been observed in
many squeeze flow geometries with film thickness near 100 nm [60, 61, 71] and will
generally result in faster fill times than analytical predictions based on viscous flow
theory. However, some interfacial configurations will be inhibited due to surface
tension forces, requiring higher pressures or longer fill times for full replication than
analytical predictions based on viscous flow theory [70]. The deformation behavior
for a non-wetting fluid is more complex than for a wetting fluid, and can depend on
surface tension, contact angle, viscosity, pressure, film thickness, and cavity spac-
ing. For a non-wetting fluid with large contact angle, polymer flow will be governed
by the ratio of pressure and surface tension and will generally require longer fill
times than analytical predictions based on viscous flow theory.
142 H. D. Rowland, W. P. King
Fig. 5.7 (a) Predictions of viscous and capillary flow based on geometric-dependent Ca. Reprinted
from [63] with permission from IOP Publishing. (b) Dual peak deformation with smooth profile
from viscous flow. Reprinted with permission from [55] c 2003 IEEE. (c) Dual peak deformation
with vertical sidewalls from capillary flow. Reprinted from [60] with permission from Elsevier c
2000
Fig. 5.8 Densely packed regions in nonuniform mold filling approximated as solid regions of a
mold, indicated by the solid line above the mold features. (a) After completion of pipe flow, the
filled region is modeled as a solid area [53,61]. Reprinted from [61] with permission from Elsevier
c 2001, with adaptation from [53]. (b) Simulations show the slow filling pipe flow regions can be
modeled as a solid area prior to completion of local pipe flow. Reprinted from [77] with permission
from Elsevier c 2005
With detailed knowledge of local cavity flow and long range polymer transport in
nonuniform filling, it is possible to predict polymer flow for full mold replication
prior to mold fabrication or experimental validation. This predictive power enables
better design of molds and more appropriate selection of materials and molding
process parameters with less investment of time and capital than required of recipe-
based approaches to process optimization. Optimized mold layouts can improve
uniformity of components by spatially balancing stress distribution in mold and
polymer during molding. Optimized process parameters can improve throughput
time and yield of processes. This section introduces practical design rules for molds
and molding processes to guide high yield manufacturing of micro- and nanomolded
components.
The design space of molding processes encompasses four main areas: mold
geometry, material selection, film thickness, and process parameters. An optimiza-
tion routine for a specific molding process analyzes local cavity flow and fill times
and the direction of nonuniform long range polymer transport for a given mold
geometry, material, film thickness, and embossing pressure and temperature. As
processing permits, the mold can then be redesigned, a new material or film thick-
ness can be selected, and/or the molding pressure and temperature can be modified
to optimize the physical driving mechanism of flow, mold fill time, and distribution
of stress in polymer and mold. In general, mold fill times are optimized by mold-
ing with low MW polymers, large film thickness, and high molding pressure and
temperature. However, depending on the molding application, one or more areas of
the molding design space may be fixed. For example, lithographic molding applica-
tions may minimize the residual film thickness, the molded application may require
use of a specific high MW polymer, cured polymer molds or tooling may limit the
maximum allowable molding pressure, or sensitive components beneath the molded
layer may limit the molding temperature.
A case study best illustrates the process of designing molding processes.
Figure 5.9 shows a 2 cm × 2 cm repeat unit of a wafer-scale mold for studying
cell growth and alignment on microfeatures. The mold is composed of eight
500 m × 500 m regions each filled with 4 m tall lines of width and spacing
indicated in the figure. The mold creates 4 m deep trenches in 15 m thick low
MW polymer after molding with 20 MPa load at temperature Tg + 10◦ C.
The first step in optimizing molding processes is to identify and analyze areas
of different local cavity filling. The mold shown in Fig. 5.9 has eight distinct areas
of local cavity flow. For each area of local cavity flow, the geometry of a unit cell
is identified and a local residual layer is calculated following conservation of vol-
ume. Next, the local cavity flow mode is identified for each area according to the
directional flow ratio w/h o and the polymer supply ratio s/h r [63]. Independent
fill times and characteristic velocities according to pipe, Stokes, or squeeze flow
are then predicted for each area according to local geometry and appropriate poly-
mer viscosity. The viscosity of low MW polymers is easily determined by modeling
the polymer as a Newtonian fluid with Williams-Landel-Ferry (WLF) temperature
dependence of viscosity [78]. The effective viscosity of high MW polymers during
molding operations is often less than predictions of the zero shear Newtonian fluid
5 Micro- and Nanomanufacturing via Molding 145
Fig. 5.9 Case study optimizing mold layout of square repeat unit 2 cm × 2 cm for molding of
15 m film with Newtonian viscosity 109 Pa-sec under 20 MPa applied load. (a) Original mold
design for studying cell alignment and the effects of feature density. Each 500 m × 500 m
field is composed of periodic lines with height 4 m and feature width and spacing indicated. The
upper left field corresponds to indenter width 2s = 4 m and cavity width 2w = 30 m. (b) Local
cavity analysis for the original mold layout following [63] with local fill time in seconds (top)
and local residual film thickness in m (bottom) indicated. (c) An improved mold layout to direct
spatially balanced long range polymer transport. (d) Optimal mold design integrated with solid
support structures at the corners of the repeat unit. The support structures prevent mold bending
between repeat units of a wafer-scale mold. The 400 m diameter support structures are designed
for squeeze flow filling of the repeat unit to match the pipe flow fill time of the central region of
the mold
viscosity due to shear thinning [68, 79]. A simple way to account for shear thinning
is to use an effective Newtonian viscosity at an appropriate shear rate to estimate
the shear-rate dependent viscosity of shear thinning power law fluids. Molding mea-
surements from Tg + 10 ◦ C to Tg + 70 ◦ C suggest effective shear rates ranging from
100 s–1 at low temperatures to 102 s–1 at high temperatures [68]. Figure 5.9b lists
the predicted fill time and local residual layer for each area of the mold using a
Newtonian viscosity for the low MW polymer of 109 Pa-sec.
146 H. D. Rowland, W. P. King
5.6 Summary
This chapter introduces micro- and nanomanufacturing of polymers via molding,
where the manner of material flow, heat transfer, and subsequent solidification
distinguishes various types of molding processes. Most micro- and nanomolded
applications are manufactured by compression molding with thermal cycling (NIL)
or ultraviolet post-processing (UV-NIL). Both NIL and UV-NIL processes have
fabricated a variety of applications with feature sizes from 1 nm to 1 mm. Micro-
and nanomolding have fabricated functional applications in microfluidics, optics,
and other areas. Micro- and nanomolding have also been used for high resolu-
tion lithographic patterning for applications in high density data storage and next
generation ICs.
High yield replication during micro- and nanomolding requires simultaneous
polymer flow over a range of disparate length scales, from single features of size
1 nm–1 mm in local cavity flow to full replication of 100–300 mm diameter wafer-
scale molds. By analyzing polymer flow during local cavity filling and nonuniform
long range polymer flow, it is possible to predict the physical driving mechanism
governing flow and develop guidelines for optimized processing via micro- and
nanomolding.
Micro- and nanomolding processes offer a low cost, scalable alternative to silicon
based microfabrication that capitalize on the high resolution, ease of processing, and
wide range of mechanical, optical, or chemical properties of polymers. With efforts
to reduce defects and improve throughput via roll-to-roll manufacturing, micro- and
nanomolding can potentially imitate the success of traditional plastic molding that
enabled widespread fabrication of plastic components for common, everyday appli-
cations. Successful high resolution, high yield micro- and nanomolding processes
can enable widespread fabrication of nanotechnology-related products.
References
1. Heckele, M. and W.K. Schomburg, Review on micro molding of thermoplastic polymers. Jour-
nal of Micromechanics and Microengineering, 2004. 14: pp. R1–R14.
2. Chou, S.Y., P.R. Krauss, and P.J. Renstrom, Imprint of sub-25 nm vias and trenches in poly-
mers. Applied Physics Letters, 1995. 67(21): pp. 3114–3116.
3. Chou, S.Y., P.R. Krauss, and P.J. Renstrom, Imprint lithography with 25-nanometer resolution.
Science, 1996. 272(5258): pp. 85–87.
4. Colburn, M., et al., Step and flash imprint lithography: a new approach to high-resolution
patterning. in SPIE Emerging Lithographic Technologies III. 1999: SPIE.
5. Haverkorn von Rijsewijk, H., P. Legierse, and G. Thomas, Manufacture of laser vision
video disks by a photopolymerization process. Philips Technical Review, 1982. 40:
pp. 287–297.
6. Xia, Y. and G.M. Whitesides, Soft lithography. Annual Review of Materials Science, 1998.
28: pp. 153–184.
7. Xing, R., Z. Wang, and Y. Han, Embossing of polymers using a thermosetting polymer
mold made by soft lithography. Journal of Vacuum Science and Technology B, 2003. 21(4):
pp. 1318–1322.
148 H. D. Rowland, W. P. King
8. Schulz, H., et al., Master replication into thermosetting polymers for nanoimprinting. Journal
of Vacuum Science and Technology B, 2000. 18(6): pp. 3582–3585.
9. Hirai, Y., et al., Imprint lithography for curved cross-sectional structure using replicated Ni
mold. Journal of Vacuum Science and Technology B, 2002. 20(6): pp. 2867–2871.
10. Mela, P., et al., The zeta potential of cyclo-olefin polymer microchannels and its effects on
insulative (electrodeless) dielectrophoresis particle trapping devices. Electrophoresis, 2005.
26: pp. 1792–1799.
11. Jaszewski, R.W., et al., The deposition of anti-adhesive ultra-thin teflon-like films and their
interaction with polymers during hot embossing. 1999. 143(1–4): pp. 301–308.
12. Schift, H., et al., Controlled co-evaporation of silanes for nanoimprint stamps. 2005. 16(5):
pp. S171–S175.
13. Jung, G.Y., et al., Vapor-phase self-assembled monolayer for improved mold release in
nanoimprint lithography. 2005. 21(4): pp. 1158–1161.
14. Houle, F.A., et al., Template-resist surface adhesion studies in uv-nanoimprint lithography. In
Nanoimprint and Nanoprint Technology. 2006. San Francisco, CA.
15. Hirai, Y., S. Yoshida, and N. Takagi, Defect analysis in thermal nanoimprint lithography.
Journal of Vacuum Science and Technology B, 2003. 21(6): pp. 2765–2770.
16. Mendels, D.A. The build-up and relaxation of internal stresses during cool-down in a single
nano-imprint lithography cell. In Nanoimprint and Nanoprint Technology. 2006. San Fran-
cisco, CA.
17. Guo, L.J., Recent progress in nanoimprint technology and its applications. Journal of Physics
D: Applied Physics, 2004. 37: pp. R123–R141.
18. McGraw, G.J., et al., Polymeric microfluidic devices for the monitoring and separation of
water-borne pathogens utilizing insulative dielectrophoresis. Proceedings of SPIE, 2005.
5715: pp. 59–68.
19. Simmons, B.A., et al., The development of polymeric devices as dielectrophoretic separators
and concentrators. MRS Bulletin, 2006. 31: pp. 120–124.
20. Johansson, F., et al., Axonal outgrowth on nano-imprinted patterns. Biomaterials, 2006. 27:
pp. 1251–1258.
21. Guo, L. and C. Chao, Polymer microring resonators fabricated by nanoimprint technique.
Journal of Vacuum Science and Technology B, 2002. 20: pp. 2862–2866.
22. Vettiger, P., et al., The “Millipede”-nanotechnology entering data storage. IEEE Transactions
on Nanotechnology, 2002. 1(1): pp. 39–55.
23. Huang, L.R., et al., Continuous particle separation through deterministic lateral displacement.
Science, 2004. 304: pp. 987–990.
24. Lee, G., et al., Microfabricated plastic chips by hot embossing methods and their applications
for DNA separation and detection. Sensors and Actuators B, 2001. 75: pp. 142–148.
25. Charest, J., et al., Hot embossing for micro patterned cell substrates. Biomaterials, 2004. 25:
pp. 4767–4775.
26. Charest, J.L., et al., Polymer cell culture substrates with combined nanotopographical patterns
and micropatterned chemical domains. Journal of Vacuum Science and Technology B, 2005.
23(6): pp. 3011–3014.
27. Gadegaard, N., et al., Applications of nano-patterning to tissue engineering. Microelectronic
Engineering, 2006. 83: pp. 1577–1581.
28. Sun, Y. and S.R. Forrest, Organic light emitting devices with enhanced outcoupling via
microlenses fabricated by imprint lithography. Journal of Applied Physics, 2006. 100:
pp. 073106.
29. Nilsson, D., S. Balslev, and A. Kristensen, A microfluidic dye laser fabricated by nanoimprint
lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC).
Journal of Micromechanics and Microengineering, 2005. 15: pp. 296–300.
30. Liang, R.C., et al., Microcup displays: Electronic paper by roll-to-roll manufacturing pro-
cesses. Journal of the Society for Information Display, 2003. 11(4): pp. 621–628.
31. Guo, L., P. Krauss, and S. Chou, Nanoscale silicon field effect transistors fabricated using
imprint lithography. Applied Physics Letters, 1997. 71: pp. 1881–1883.
5 Micro- and Nanomanufacturing via Molding 149
32. McAlpine, M.C., R.S. Friedman, and C.M. Lieber, Nanoimprint lithography for hybrid plastic
electronics. Nano Letters, 2003. 3(4): pp. 443–445.
33. Austin, M.D. and S.Y. Chou, Fabrication of 70 nm channel length polymer organic thin-
film transistors using nanoimprint lithography. Applied Physics Letters, 2002. 81(23):
pp. 4431–4433.
34. Cardinale, G.F., et al., Fabrication of a surface acoustic wave-based correlator using step-
and-flash imprint lithography. Journal of Vacuum Science and Technology B, 2004. 22(6):
pp. 3265–3270.
35. Luo, G., et al., Nanoimprint lithography for the fabrication of interdigitated cantilever arrays.
Nanotechnology, 2006. 17: pp. 1906–1910.
36. Li, M., et al., Fabrication of circular optical structures with a 20 nm minimum feature size
using nanoimprint lithography. Applied Physics Letters, 2000. 76(6): pp. 673–675.
37. Wang, J.J., et al., Free-space nano-optical devices and integration: Design, fabrication, and
manufacturing. Bell Labs Technical Journal, 2005. 10(3): pp. 107–127.
38. Chou, S. and P. Krauss, Imprint lithography with sub-10 nm feature size and high throughput.
Microelectronic Engineering, 1997. 35: pp. 237–240.
39. Khang, D. and H. Lee, Wafer-scale sub-micron lithography. Applied Physics Letters, 1999.
75: pp. 2599–2601.
40. Li, N., W. Wu, and S.Y. Chou, Sub-20-nm alignment in nanoimprint lithography using moire
fringe. Nano Letters, 2006. 6(11): pp. 2626–2629.
41. Jung, G.-Y., et al., Circuit fabrication at 17 nm half-pitch by nanoimprint lithography. Nano
Letters, 2006. 6(3): pp. 351–354.
42. McClelland, G.M., et al., Nanoscale patterning of magnetic islands by imprint lithography
using a flexible mold. Applied Physics Letters, 2002. 81(8): pp. 1483–1485.
43. McClelland, G.M., et al., Contact mechanics of a flexible imprinter for photocured nanoim-
print lithography. Tribology Letters, 2005. 19(1): pp. 59–63.
44. Austin, M.D., et al., Fabrication of 5 nm linewidth and 14 nm pitch features by nanoimprint
lithography. Applied Physics Letters, 2004. 84(26): pp. 5299–5301.
45. Hua, F., et al., Polymer imprint lithography with molecular-scale resolution. Nano Letters,
2004. 4(12): pp. 2467–2471.
46. Hua, F., et al., Processing dependent behavior of soft imprint lithography on the 1-10-nm scale.
IEEE Transactions on Nanotechnology, 2006. 5(3): pp. 301–308.
47. Xu, Q., et al., Approaching zero: Using fractured crystals in metrology for replica molding.
Journal of American Chemical Society, 2005. 127: pp. 854–855.
48. Keddie, J.L., R.A.L. Jones, and R.A. Cory, Size-dependent depression of the glass-transition
temperature in polymer-films. Europhysics letters, 1994. 27(1): pp. 59–64.
49. Dalnoki-Veress, K., et al., Molecular weight dependence of reductions in the glass tran-
sition temperature of thin, freely standing polymer films. Physical Review E, 2001. 63:
pp. 031801.
50. De Gennes, P.G., Glass transitions in thin polymer films. The European Physical Journal E,
2000. 2: pp. 201–205.
51. Ngai, K.L., Mobility in thin polymer films ranging from local segmental motion, Rouse modes
to whole chain motion: A coupling consideration. The European Physical Journal E, 2002. 8:
pp. 225–235.
52. Alcoutlabi, M. and G.B. McKenna, Effects of confinement on material behaviour at the
nanometre size scale. Journal of Physics: Condensed Matter, 2005. 17: pp. R461–R524.
53. Cross, G.L.W., The production of nanostructures by mechanical forming. Journal of Physics
D: Applied Physics, 2006. 39: pp. R363–R386.
54. Hirai, Y., et al., Simulation and experimental study of polymer deformation in nanoimprint
lithography. Journal of Vacuum Science and Technology B, 2004. 22(6): pp. 3288–3293.
55. Cross, G.L.W., et al., Mechanical aspects of nanoimprint patterning. IEEE-Nano (San
Francisco), 2003. 2: pp. 494–497.
56. Cross, G.L.W., et al., The mechanics of nanoimprint forming. Materials Research Society
Symposium Proceedings, 2004. 841: pp. R1.6.1 to R1.6.12.
150 H. D. Rowland, W. P. King
57. Cross, G.L.W., B.S. O’Connell, and J.B. Pethica, Influence of elastic strains on the
mask ratio in glassy polymer nanoimprint. Applied Physics Letters, 2005. 86(8):
pp. 081902-1 to 081902-3.
58. Rowland, H.D. and W.P. King, Polymer deformation and filling modes during microembossing.
Journal of Micromechanics and Microengineering, 2004. 14: pp. 1625–1632.
59. Juang, Y.-J., L.J. Lee, and K.W. Koelling, Hot embossing in microfabrication. Part I: Experi-
mental. Polymer Engineering and Science, 2002. 42(3): pp. 539–550.
60. Heyderman, L.J., et al., Flow behaviour of thin polymer films used for hot embossing lithog-
raphy. Microelectronic Engineering, 2000. 54: pp. 229–245.
61. Scheer, H.C. and H. Schulz, A contribution to the flow behaviour of thin polymer films during
hot embossing lithography. Microelectronic Engineering, 2001. 56: pp. 311–332.
62. Hirai, Y., et al., Study of the resist deformation in nanoimprint lithography. Journal of Vacuum
Science and Technology B, 2001. 19(6): pp. 2811–2815.
63. Rowland, H.D., et al., Impact of polymer film thickness and cavity size on polymer flow during
embossing: toward process design rules for nanoimprint lithography. Journal of Microme-
chanics and Microengineering, 2005. 15: pp. 2414–2425.
64. Landis, S., et al., Stamp design effect on 100 nm feature size for 8 inch nanoimprint lithogra-
phy. Nanotechnology, 2006. 17: pp. 2701–2709.
65. Fox, R.W. and A.T. McDonald, Introduction to fluid mechanics. 5th ed. 1998, New York: John
Wiley & Sons, Inc. 762.
66. Macosko, C.M., Rheology: Principles, measurements, and applications. 1994, New York:
Wiley-VCH. 550.
67. Engmann, J., C. Servais, and A.S. Burbidge, Squeeze flow theory and applications to rheom-
etry: A review. Journal of Non-Newtonian Fluid Mechanics, 2005. 132: pp. 1–27.
68. Schulz, H., et al., Impact of molecular weight of polymers and shear rate effects for nanoim-
print lithography. Microelectronic Engineering, 2006. 83: pp. 259–280.
69. Colburn, M., et al., Ramifications of lubrication theory on imprint lithography. Microelec-
tronic Engineering, 2004. 75: pp. 321–329.
70. Reddy, S., P.R. Schunk, and R.T. Bonnecaze, Dynamics of low capillary number interfaces
moving through sharp features. Physics of Fluids, 2005. 17: pp. 122104.
71. Jeong, J.-H., et al., Flow behavior at the embossing stage of nanoimprint lithography. Fibers
and Polymers, 2002. 3(3): pp. 113–119.
72. Rowland, H.D., et al., Simulations of nonuniform embossing: The effect of asymmetric neigh-
bor cavities on polymer flow during nanoimprint lithography. Journal of Vacuum Science and
Technology B, 2005. 23(6): pp. 2958–2962.
73. Gourgon, C., et al., Influence of pattern density in nanoimprint lithography. Journal of Vacuum
Science and Technology B, 2003. 21(1): pp. 98–105.
74. Schulz, H., M. Wissen, and H.C. Scheer, Local mass transport and its effect on global
pattern replication during hot embossing. Microelectronic Engineering, 2003. 67–68:
pp. 657–663.
75. Cheng, X. and L.J. Guo, One-step lithography for various size patterns with a hybrid mask-
mold. Microelectronic Engineering, 2004. 71: pp. 288–293.
76. Xia, Q., et al., Ultrafast patterning of nanostructures in polymers using laser assisted nanoim-
print lithography. Applied Physics Letters, 2003. 83(21): pp. 4417–4419.
77. Young, W.-B., Analysis of the nanoimprint lithography with a viscous model. Microelectronic
Engineering, 2005. 77: pp. 405–411.
78. Williams, M.L., R.F. Landel, and J.D. Ferry, Temperature Dependence of Relaxation Mecha-
nisms. Journal of American Chemical Society, 1955. 77: pp. 3701–3707.
79. Schulz, H., et al., Choice of the molecular weight of an imprint polymer for hot embossing
lithography. Microelectronic Engineering, 2005. 78–79: pp. 625–632.
80. Shen, X.J., L.-W. Pan, and L. Lin, Microplastic embossing process: experimental and theoret-
ical characterizations. Sensors and Actuators A, 2002. 97–98: pp. 428–433.
5 Micro- and Nanomanufacturing via Molding 151
Abbreviations
MEMS microelectromechanical systems
IC integrated circuit
Tg glass transition temperature
MW molecular weight
NIL nanoimprint lithography
UV-NIL ultraviolet nanoimprint lithography
SFIL step and flash nanoimprint lithography
PDMS polydimethylsiloxane
NEMS nanoelectromechanical systems
FETs field effect transistors
w cavity half width
Ho initial film thickness
s indenter half width
hr residual film thickness
hc cavity height
Peff effective fluid pressure
V characteristic velocity
Dh hydraulic diameter
C arbitrary constant
η polymer viscosity
t fill time
seff effective feature half width
Ca Capillary number
σ surface tension
WLF Williams-Landel-Ferry
Chapter 6
Temperature Measurement of Microdevices
using Thermoreflectance and Raman
Thermometry
Abstract Device temperature is often a primary factor in the proper operation, reli-
ability, and lifetime of both MEMS and microelectronics. Thus, the measurement
and verification of operational temperature is often an integral aspect the design and
improvement of microdevices for commercial applications. Raman thermometry
and thermoreflectance are two techniques commonly employed in the measurement
of temperature at small length scales since they are noncontact in nature and their
spatial and temporal resolution is on par with the needs of current device architec-
tures. This work provides a summary in the physical basis, experimental method-
ology, and application of each of these techniques with respect to the analysis of
microdevices.
6.1 Introduction
The continued development of micro- and nanoscale systems (MEMS/NEMS) over
the last several decades has put new demands on critical metrology techniques nec-
essary to measure temperature in these devices[1–3]. Accurate temperature mea-
surement with spatial and temporal resolution capable of resolving critical phenom-
ena in device architectures is necessary to both validate device performance, device
reliability, and to implement changes in device design. While a plethora of methods
exist to measure temperature with a spatial resolution greater than 10 m, it has
become more difficult to perform such tasks with a resolution which is less than 1
m, a regime which is of importance to current day MEMS and NEMS.
S. Graham
The George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, 771
Ferst Drive, Atlanta, GA 30332-0405
e-mail: sgraham@gatech.edu
interacting with these distributions will “feel” the effect of this thermally dependent
distribution thus providing a manner to estimate temperature.
In most thermoreflectance measurements, the monitored device is bombarded
with incident radiation normal to the surface in question. At impingement with the
surface, this radiation will be reflected, transmitted, or absorbed into the material.
The extent to which each of these possibilities occurs is dependent upon the manner
in which the incident photons interact with the distributed electrons and phonons in
the crystal lattice. The interaction between the photons and the interacting species is
dependent upon several parameters as is shown in functional form for the reflectivity
below:
ρ = f (λ, T, θ, ψ) (6.1)
where R(T ) is the reflectance , and E ref and E inc are measurements of the reflected
and incident field with the * corresponding to their complex conjugates [20]. As
seen from Equation (6.2), with a change in temperature both the reflectivity and the
ratio of the intensities (reflectance) will be affected. Consequently, if the temperature
change is moderate, an estimation of the variation in reflectance can be estimated
using a first order Taylor expansion where T0 is a known reference temperature [21]:
⭸R
R(T ) = R(T0 ) + (T − T0 ) (6.3)
⭸T
Thus the temperature may be estimated based on the change in the reflectance as
is shown below:
1 ΔR
ΔT = (6.4)
β R(T0 )
subsequent subsection), Equation (6.4) then allows for the measurement of tem-
perature through an observation in the change in reflectance. The thermoreflectance
coefficient β is typically quite small, on the order of 10–5 –10–3 /◦ C for most com-
mon materials, thus making its calibration and the accurate measurement of the
reflectance vital for true estimations of temperature [9, 22].
Fig. 6.1 Experimental set up for measurement of microdevices using thermoreflectance metrology
Adapted from Cahill et al. [23]
158 T. Beechem, S. Graham
Fig. 6.2 Thermal map of polysilicon resistors obtained using thermoreflectance metrology as
reported by Tessier et al. [21]
This problem can be circumvented if the heated region is in some manner reduced
in size as expansion is directly proportional to volume. While alleviating the prob-
lem of expansion effects, this approach distinctly complicates the calibration pro-
cess as standard heating via macroscopic conduction and temperature sensing using
thermocouples is no longer applicable. Rather heating and temperature sensing of
the standard must now take place in the micro level regime. Electrical solutions
have most often been employed in response to these challenges. For example, in
measuring metal interconnect structures, Ju and Goodson performed their calibra-
tion in a two step process by first correlating temperature and resistance using stan-
dard heating methods. Knowing resistivity as a function of temperature, electrical
pulses were then sent through the device to locally heat the material as simulta-
neous reflectance and resistivity measurements allowed for accurate calibration of
the reflectance coefficient [16, 25]. If the material in question is not an electrically
conductive, a similar procedure can be employed, however, it becomes necessary to
embed an electrical heater which can serve as both as a local heater and temperature
sensor. This integrated sensor approach has been employed recently with success by
Tessier et al. to calibrate the thermoreflectance coefficient for a polysilicon device
using a CCD collector. The approach, however, requires additional micromachining
of the device which may effect operation [21].
of the passivation layers [28–30]. Building from these studies, Ju and Goodson then
examined the transient thermal behavior through investigations of metallic intercon-
nects and silicon based electronics with 10 ns resolution [15, 16, 25].
Recent investigations have extended the capabilities of the technique even further
through the use of ever more capable equipment and novel methods. For example,
Tessier et al. reports through the use of charge coupled devices (CCD) in lieu of
photodetectors, an improved spatial resolution of 360 nm, nearly a 60% that of the
incident radiation which was utilized [21]. In addition, Christofferson and Shakouri
have reported a novel method whereby an infrared laser is used to tunnel through
the substrate of a thermoelectric device as is shown in Fig. 6.3 thus providing a
measurement of underside temperature, an application which could be of great use
in flip-chip applications [31]. Finally, entire transient maps of MOSFETS have been
obtained which may be of great use in identifying and observing the failure mecha-
nisms present in microdevices [32].
Beyond measuring temperature, reflectance measurements have been used to
deduce a host of other parameters as well including thermal: conductivity, diffusiv-
ity, and boundary conductance, a measure of interfacial resistance to heat flow. To
deduce these material dependent properties, a modification of the thermoreflectance
technique is made whereby instead of external electrical energy heating the sample,
it is now the incident radiation’s role to both heat and monitor the sample. More
explicitly, this approach, typically termed “pump and probe,” uses a brief high inten-
sity exposure of radiation to heat the sample and then measures the temperature of
the surface by measuring the reflectance change of the surface relative to a second
much less intense beam. By changing the path length of the second “probe” beam,
through use of a dovetail mirror as shown in Fig. 6.4, the temporal behavior of
Fig. 6.3 Schematic showing “underside” temperature measurement of microdevice for use in flip
chip characterization as reported by Christofferson and Shakouri [31]
6 Temperature Measurement of Microdevices using Thermoreflectance 161
Fig. 6.4 Experimental set up of typical "pump and probe" technique as reported by Hopkins et
al. [34]. The moveable mirror allows for picosecond resolution
Fig. 6.5 Process flow diagram of Raman scattering where upon 3 separate events give rise to
inelastic scattering
Table 6.1 Scattering cascade giving rise to the raman effect. Although events numbered 3 through 6 are possible it is generally assumed that events 1 and 2
significantly dominate [40]
Event 1 Event 1 ⌬ε Event 2 Event 2 ⌬ε Event 3 Event 3 ⌬ε Scattering type
1 Photon (εi ) absorption εL –ε0 = εi Phonon (εD ) εL +εD = εm Electron relaxation εm –ε0 = εf Anti-Stokes
by electron absorption by and photon
electron emission
2 Photon (εi ) absorption εL –ε0 = εi Phonon (εD ) εL –εD = εm Electron relaxation εm –ε0 = εf Stokes
by electron emission by and photon
electron emission
3 Photon (εi ) absorption εL –ε0 = εi Phonon (εD ) εL +εD = εm Phonon relaxation εm –ε0 = εf Anti-Stokes
by phonon absorption by and photon
phonon emission
4 Photon (εi ) absorption εL –ε0 = εi Phonon (εD ) εL –εD = εm Phonon relaxation εm –ε0 = εf Stokes
by phonon emission by and photon
phonon emission
5 Photon (εi ) absorption εL –ε0 = εi Electron (εD ) εL +εD = εm Phonon relaxation εm –ε0 = εf Anti-Stokes
by phonon absorption by and photon
phonon emission
6 Photon (εi ) absorption Electron (εD ) Phonon relaxation Stokes
6 Temperature Measurement of Microdevices using Thermoreflectance
relaxation of the excited entity from energy εm to its original equilibrium energy
ε0, a “new” photon of energy εm –ε0 = εf will be emitted. Due to the intermediate
reaction, the emitted photon will have an energy unequal to that of the incident
radiation (εf = εi ) leading to what is known as inelastic scattering and the so called
Raman effect. By monitoring the difference between εf and εi through the shift in
incident and exiting photon frequencies, an examination of the intermediate reaction
can take place thus providing insight into the temperature dependent characteristics
of the crystal lattice.
The nature of this temperature dependence may be illustrated through incorpo-
ration of classical theory to describe the interaction between the incident radiation
and the crystal lattice. We begin this analysis by defining two properties determined
by the electronic distribution within a crystal lattice in equilibrium, namely, the
dipole moment, P, and electric polarizability, α. These properties will change with
deviation in equilibrium interatomic spacing (i.e. stressing or thermal expansion) of
the lattice or due to the presence time-dependent vibrational motions (i.e. phonons)
around these equilibrium positions. The electric polarizability is a second order ten-
sor response function which represents the volume and shape of the charge distri-
bution in the lattice. When a photon with electric field, E, is incident on the lattice,
the induced dipole moment is given by,
P = ε0 α E (6.5)
dα
α = α0 + q +... (6.6)
dq
dα
P = ε0 α0 E 0 cos(ωt) + ε0 q0 cos(ω0 t)E 0 cos(ωt) (6.7)
dq
where ω0 is the frequency of the phonon vibration and ω is the vibrational frequency
of the incident photon. Applying a trigonometric identity to (6.7) leads to the fol-
lowing relation,
6 Temperature Measurement of Microdevices using Thermoreflectance 165
dα ε0 q0 E 0 dα ε0 q0 E 0
P = ε0 α0 E 0 cos(ωt) + cos((ω0 − ω)t) + cos((ω0 + ω)t)
dq 2 dq 2
(6.8)
The first term on the right hand side of Equation (6.8) accounts for Rayleigh
scattering of photons. The second and third terms result in Stokes and anti-Stokes
Raman scattering, respectively, where the photons are shifted away from their inci-
dent frequency ω by an amount equal to the optical phonon frequency ω0 [41]. From
Equation (6.8), it is readily seen that the resulting Raman shift is directly dependent
upon this phonon vibrating at ω0 . The temperature dependence of the Raman signal
is then an exercise in examining the thermal behavior of this phonon. The following
subsections describe the mechanisms by which this behavior may be captured by
the Raman signal.
At a given frequency shift (|ω–ω0 |), the intensity of a Raman signal will be propor-
tional to the number of phonons at frequency ω0 present to take part the scattering
processes [40]. In an anti-stokes process, the number of phonons which may be
absorbed at a given temperature can be calculated from the Bose-Einstein distribu-
tion function as shown below,
1
N0 = (6.9)
exp(ω0 /kB T ) − 1
where is modified Planck’s constant, and kB the Boltzmann constant [18]. Sim-
ilarly for a Stokes process, the total number of phonons will be this equilibrium
distribution, N0 , plus the emitted phonon for a cumulative total of N0 +1. Thus the
ratio in intensity of these two signals provides a measurement of temperature as
described below,
IAS ∼ N0 ∼ −ω0
= = C exp (6.10)
IS N0 + 1 kB T
where C is a calibration factor, while IAS and IS are the Anti-Stokes and Stokes
intensities, respectively [42–44].
The volumetric contribution to the Stokes peak shift is affected by both thermal
expansion and mechanical stressing. In the presence of thermomechanical loading,
the final shift will be a convolution of each effect causing significant errors in the
measurement of either temperature or stress [14]. In response to this difficulty, the
linewidth (FWHM) of the Stokes peak which is solely temperature dependent is an
integral tool in the investigation of microdevices where thermal stress evolution may
reach high levels [46, 47]
6 Temperature Measurement of Microdevices using Thermoreflectance 167
⌫ ≈ ⌬ε = (6.12)
τ
where Γ is the width of the Raman line, = 5.3 × 10−12 cm−1 sis modified Planck’s
constant, and τ is the scattering time for a phonon [48]. From Equation (6.12), one
can see that the measured linewidth of a Raman peak will then vary with scattering
time of the phonon mode. The scattering time of this phonon mode is dependent
upon a variety of factors including microstructural defects, material boundaries,
and most importantly other phonons. It is this dominant phonon–phonon scatter-
ing which gives rise to the temperature dependence of the linewidth as the number
of phonons available for scattering is once again dependent upon the temperature
deferent Bose-Einstein population distribution. Most simply, as the temperature
increases so too does the number of phonons present thereby increasing the like-
lihood of a scattering event. This increased likelihood reduces the phonon lifetime
thus increasing the linewidth. The temperature can then be measured with appropri-
ate calibration via a relation like that shown below:
where B and C are calibration constants and Γ0 is the linewidth at a reference tem-
perature.
Fig. 6.6 Typical arrangement of micro-Raman backscattering experiment in which light is both
scattered and collected via a microscope and subsequently measured utilizing a dispersive grating
and CCD device
Unlike that which was seen with regards to thermoreflectance, the calibration of
the Raman signal is relatively straightforward most often requiring only a thermal
chuck and thermocouple. The calibration takes place by heating the sample to a
proscribed temperature where upon several Raman spectra are acquired with the
associated peak shifts and linewidths found using data fitting software. Repeating
this analysis across the entire range of interest, one finds that the peak red-shifts
with an increase temperature while the linewidth broadens as shown in Fig. 6.7.
By tracking this broadening and shifting behavior, curves of the form of Equa-
tions (6.12) and (6.13) can be fit to the data as shown in Fig. 6.8 thus providing
Increasing Temperature
Intensity
Wavenumber
Fig. 6.7 Representative Raman response with an increase in temperature. Notice that the peak
shifts to lower wavenumber and the linewidth broadens
6 Temperature Measurement of Microdevices using Thermoreflectance 169
500
400
Temperature C
o
300
200
100
0
508 510 512 514 516 518 520
-1
Peak Position cm
600
500
Temperature C
400
o
300
200
100
0
3 4 5 6 7 8 9
-1
Linewidth cm
Fig. 6.8 Calibration curves of Temperature versus Peak Position (top) and Linewidth (bottom) for
a silicon sample. The curve allows direct estimation of temperature from the Raman Spectra
a direct correlation between the temperature and Raman spectra. A similar pro-
cedure is undertaken for calibrations of the temperature versus the Stokes/Anti-
Stokes intensity ratio. However, as this measurement is intrinsically extensive in
nature, high correlation between the acquired data and the expected response is
most difficult to acquire [42–44]. In addition, the ratio changes extremely slowly
at higher temperatures making accurate measurement even more difficult in this
thermal realm [50]. As a consequence of these difficulties, as well as the longer
experimental times necessary to acquire both the Stokes and Anti-Stokes signal,
most current investigations implement the Stokes shift or linewidth for the acquisi-
tion of temperature.
optics. Hence there is little work prior to 1990 concerning the use of Raman as a
non-contact temperature probe. Much like the development of thermoreflectance,
those studies which were performed focused on the thermal behavior of laser
diodes [51, 52]. In these initial investigations, the ratio of Stokes to Anti-Stokes
intensity was incorporated to measure the temperature distribution extending away
from the active region of the device. Using this approach, degradation was found
to be directly correlated to facet heating thus illustrating the technique’s usefulness
while providing a framework for much of the future work that continues to this day.
Building on this approach of degradation analysis through thermal mapping,
Raman spectroscopy was then extended to the analysis of field effect transistors
(FET). It has been in the analysis of these transistors where the technique has firmly
found its niche even to this day. This trend began in the analysis of silicon based
FET’s with the acquisition of temperature distributions of 1 μm resolution across the
source to drain channel as is shown in Fig. 6.9 [49, 53]. Through these distributions,
temperature was seen to peak near the pinch off region where the electric field is
at its maximum thus indicating direct electro-thermal coupling. In addition, due to
the small temperature increases in the devices measured and thus the corresponding
difficulty in using the Stokes/Anti-Stokes ratio, these studies mark the first use of
Stokes peak shift in the estimation of temperature. This has proven to be a harbinger
as nearly all subsequent studies have used the peak shift method due to its increased
accuracy and reduced acquisition times [50].
In addition to these Si-based FET’s, many studies have incorporated Raman ther-
mography into the investigation of wide bandgap devices and in particular gallium
nitride (GaN) based transistors. Gallium nitride devices have garnered much interest
in the optoelectronic and communication industries due to their ability to operate
at high frequency and power, conditions which lead to large thermal loads which
in turn affect reliability [55–57]. These studies follow much the same structure as
those performed upon Si-based FET’s where upon the peak shift of the Raman signal
is used to deduce a temperature profile (both 1D and 2D) with micron resolution
along the source to drain channel to within ∼10–20◦C [58–61]. Kuball et al. report
maximum temperature rises, confirmed by finite element models, of nearly 200◦C
16 220
THEORY 200 S
14 EXPERIMENT
Temperature rise / °C
Temperature rise / °C
12
180 G D
160 S
10 140
8 120
6 100
Source
Drain
Gate
4 80
GATE 60
2 SOURCE DRAIN
40
0 20
–3 –2 –1 0 1 2 3
Position/µm 0 1 2 3 4 5 6 7
Position/µm
Fig. 6.9 Temperature profiles along source gate drain channel for a Si based FET (left) and GaN
based FET (right). The maximum temperature occurs near the gate on the drain side in the location
of the maximum electric field
Figures are courtesy of Ostermeir et al. and Rajasingam et al. [53, 54]
6 Temperature Measurement of Microdevices using Thermoreflectance 171
in GaN based FET’s while seeing similar profiles (Fig. 6.9) as those reported in
silicon based transistors [62]. These profiles in turn can then be used to estimate
the electric field strength independent of electrical characterization [54]. In addi-
tion, the same group has also correlated the location of hot spots to defects in the
underlying substrate highlighting the importance of total device thermal manage-
ment [63]. As only device lifetime is affected by these hot spots and not neces-
sarily electrical performance, Raman thermography can then be used in the iden-
tification of devices which may fail prematurely [64]. Finally, analysis on these
structures has recently been extended to the transient domain with temporal reso-
lution of 200 ns using a pulsed laser synchronized with electrical loading of the
device [17].
Similar to microelectronics, MEMS devices rely on efficient thermal manage-
ment for proper operation and reliability and as a consequence Raman thermometry
has been incorporated into their characterization. For example Kearney et al.
utilizes the peak shift of the Raman signal to obtain temperature distributions
in a silicon based electro-thermo actuator for design optimization [65]. Heated
atomic force cantilevers have been characterized by Raman spectroscopy as
well in order to accurately determine their temperature versus power character-
istics, a parameter vital for their proper operation in sensing and data storage
applications [5].
In the great majority of applications of Raman thermometry, the peak shift
has been utilized as the predominant estimation of temperature. However, in the
presence of thermal stress which is present in many MEMS and microelectronic
devices, peak shift estimations of the temperature will be in error as the signal
will be a convolution due both to the mechanical and thermal loading as is seen
in Fig. 6.10 [46, 66, 67]. This effect may be circumvented through use of linewidth
of the Stokes peak which is stress insensitive to the first order and has recently
been implemented as an accurate measurement of temperature in devices [47, 68].
Using this additional parameter, simultaneous maps of temperature and stress have
been made on constrained polysilicon electro-thermal beams thus portending the use
of Raman spectroscopy as a tool for the visualization of degradation mechanisms
resulting from thermal loading.
Fig. 6.10 Temperature maps of polysilicon beam heaters using the peak shift (top) and the
linewidth (bottom). The peak shift records an erroneous lower temperature due to the presence
of compressive thermal stress
172 T. Beechem, S. Graham
References
1. Y.-T. Cheng and L. Lin, In Springer Handbook of Nanotechnology, edited by B. Bhushan
(Springer: Berlin, New York, 2004), pp. 1111–1132.
2. S. Singhal, T. Li, A. Chaudhari, A. W. Hanson, R. Therrien, J. W. Johnson, W. Nagy, J. Mar-
quart, P. Rajagopal, J. C. Roberts, E. L. Piner, I. C. Kizilyalli, and K. J. Linthicum, Microelec-
tronics Reliability 46, 1247–1253 (2006).
3. W. Zhu, J. Zhu, S. Nishino, and G. Pezzotti, Applied Surface Science 252, 2346–2354 (2006).
4. D. L. Blackburn, Semiconductor Thermal Measurement and Management Symposium, 2004.
Twentieth Annual IEEE, 70–80 (2004).
5. J. Lee, T. Beechem, T. L. Wright, B. A. Nelson, S. Graham, and W. P. King, Journal of Micro-
electromechanical Systems 15, 1644–1655 (2006).
6. A. Majumdar, Annual Review of Materials Science 29, 505–585 (1999).
7. J. Altet, S. Dilhaire, S. Volz, J. M. Rampnoux, A. Rubio, S. Grauby, L. D. Patino Lopez, W.
Claeys, and J. B. Saulnier, Microelectronics Journal 33, 689–696 (2002).
6 Temperature Measurement of Microdevices using Thermoreflectance 173
8. J. Altet, A. Rubio, E. Schaub, S. Dilhaire, and W. Claeys, Solid-State Circuits, IEEE Journal
36, 81–91 (2001).
9. Z. Zhang, Annual Review of Heat Transfer 11, 351–411 (2000).
10. A. N. Smith and P. M. Norris, In Handbook of Heat Transfer, edited by A. Bejan and A. Krauss
(John Wiley & Sons, Inc., 2003), pp. 1309–1358.
11. P. W. Webb, Circuits, Devices and Systems, IEE Proceedings G 138, 390 (1991).
12. N. Taketoshi, T. Baba, and A. Ono, Bulletin of the National Research Laboratory of Metrol-
ogy(Japan) 49, 111–114 (2000).
13. K. T. Tsen, J. G. Kiang, D. K. Ferry, and H. Morkoc, Applied Physics Letters 89, 112111–
112111 (2006).
14. T. Beechem, S. Graham, S. Kearney, L. Pinney, and J. Serrano, Simultaneous Mapping of
Temperature and Stress in Microdevices Utilizing Micro-Raman Spectroscopy, Review of
Scientific Instruments, 78, 061301-1-061301-9(2007).
15. S. Ju, O. W. Kading, Y. K. Leung, S. S. Wong, and K. E. Goodson, Electron Device Letters,
IEEE 18, 169–171 (1997).
16. Y. S. Ju and K. E. Goodson, Electron Device Letters, IEEE 18, 512–514 (1997).
17. M. Kuball, G. J. Riedel, J. W. Pomeroy, A. Sarua, M. J. Uren, T. Martin, K. P. Hilton,
J. O. Maclean, and D. J. Wallis, Electron Device Letters, IEEE 28, 86–89 (2007).
18. G. Chen, Nanoscale Energy Transport and Conversion (Oxford University Press, New York,
NY, 2005).
19. R. Rosei and D. W. Lynch, Physical Review B 5, 3883–3894 (1972).
20. C. Kittel, Introduction to Solid State Physics, 8th ed. (Wiley, Hoboken, NJ, 2005).
21. G. Tessier, M. L. Polignano, S. Pavageau, C. Filloy, D. Fournier, F. Cerutti, and I. Mica, Journal
of Physics D: Applied Physics 39, 4159–4166 (2006).
22. W. Claeys, S. Dilhaire, S. Jorez, and L. D. Patino-Lopez, Microelectronics Journal 32,
891–898 (2001).
23. D. Cahill, K. Goodson, and A. Majumdar, Journal of Heat Transfer 124, 223–241 (2002).
24. T. Q. Qiu, C. P. Grigoropoulos, and C. L. Tien, Experimental Heat Transfer 6, 231–241 (1993).
25. Y. S. Ju and K. E. Goodson, Journal of Heat Transfer 120, 306–313 (1998).
26. P. W. Epperlein, Japanese Journal of Applied Physics 32, 5514–5522 (1993).
27. A. M. Mansanares, J. P. Roger, D. Fournier, and A. C. Boccara, Applied Physics Letters 64, 4
(1994).
28. W. Claeys, S. Dilhaire, and V. Quintard, Microelectronic Engineering 24, 411 (1994).
29. W. Claeys, S. Dilhaire, V. Quintard, J. P. Dom, and Y. Danto, Quality and Reliability Engi-
neering International 9, 303–308 (1993).
30. V. Quintard, G. Deboy, S. Dilhaire, T. Phan, D. Lewis, and W. Claeys, Microelectronic Engi-
neering 31, 291–298 (1996).
31. J. Christofferson and A. Shakouri, Microelectron. Journal 35, 791–796 (2004).
32. P. L. Komarov, M. G. Burzo, G. Kaytaz, and P. Raad, Proceedings to the Pacific Rim/ASME
International Electronic Packaging Technical Conference and Exhibition on Integration and
Packaging of MEMS, NEMS and Electronic Systems (InterPACK), 17–22.
33. T. Q. Qiu and C. L. Tien, International Journal of Heat Mass Transfer 37, 2789–2797 (1994).
34. P. Hopkins and P. Norris, Applied Physics Letters 89, 131909 (2006).
35. C. Paddock and G. L. Eesley, Journal of Applied Physics 60, 285–290 (1986).
36. J. L. Hostetler, Microscale Thermophysical Engineering 1, 237–244 (1997).
37. A. N. Smith, Microscale Thermophysical Engineering 4, 51–60 (2000).
38. R. J. Stoner and H. J. Maris, Physical Review B 48, 16373–16387 (1993).
39. P. M. Norris, A. P. Caffrey, R. J. Stevens, J. M. Klopf, J. T. McLeskey, and A. N. Smith,
Review of Science Instrumentation 74, 400–406 (2003).
40. R. Loudon, Advances in Physics 13, 423 (1964).
41. D. A. Long, Raman Spectroscopy (McGraw-Hill, 1977).
42. M. Balkanski, R. F. Wallis, and E. Haro, Physical Review B 28, 1928 (1983).
43. T. R. Hart, R. L. Aggarwal, and B. Lax, Physical Review B 1, 638 (1970).
174 T. Beechem, S. Graham
44. R. Tsu and J. G. Hernandez, Applied Physics Letters 41, 1016–1018 (1982).
45. G. Lucazeau, Journal of Raman Spectroscopy 34, 478–496 (2003).
46. M. Abel and S. Graham, ASME InterPack2005 IPACK2005-73088, 1–8 (2005).
47. M. Abel, S. Graham, J. Serrano, S. Kearney, and L. Phinney, Journal of Heat Transfer, In Press
(2006).
48. B. Di Bartolo, Optical Interactions in Solids (John Wiley & Sons, New York, 1968).
49. G. Abstreiter, Applied Surface Science 50, 73–78 (1991).
50. J. B. Cui, J. Ristein, and L. Ley, Physical Review Letters 81, 429–432 (1998).
51. H. Brugger and P. W. Epperlein, Applied Physics Letters 56, 1049 (1990).
52. S. Todoroki, M. Sawai, and K. Aiki, Journal of Applied Physics 58, 1124 (1985).
53. R. Ostermeir, K. Brunner, G. Abstreiter, and W. Weber, Electron Devices, IEEE Transactions
39, 858–863 (1992).
54. S. Rajasingam, J. W. Pomeroy, M. Kuball, M. J. Uren, T. Martin, D. C. Herbert, K. P. Hilton,
and R. S. Balmer, Electron Device Letters, IEEE 25, 456–458 (2004).
55. H. Kim, V. Tilak, B. M. Green, J. A. Smart, W. J. Schaff, J. R. Shealy, and L. F. Eastman,
physica status solidi(a) 188, 203–206 (2001).
56. M. Meneghini, L. Trevisanello, G. Meneghesso, E. Zanoni, F. Rossi, M. Pavesi, U. Zehnder,
and U. Strauss, Superlattices and Microstructures 40, 405–411 (2006).
57. M. Kuball, M. J. Uren, and T. Martin, In Reliability Optimization for Wide Bandgap Devices:
Recent Developments in High-Spatial Resolution Thermal Imaging of GaN Devices (Bethesda,
Maryland, USA, 2005), p. 246.
58. M. Kuball, S. Rajasingam, A. Sarua, M. J. Uren, T. Martin, B. T. Hughes, K. P. Hilton, and
R. S. Balmer, Applied Physics Letters 82, 124 (2002).
59. I. Ahmad, V. Kasisomayajula, D. Y. Song, L. Tian, J. M. Berg, and M. Holtz, Journal of
Applied Physics 100, 113718 (2006).
60. R. Aubry, C. Dua, J. C. Jacquet, F. Lemaire, P. Galtier, B. Dessertenne, Y. Cordier,
M. A. DiForte-Poisson, and S. L. Delage, European Physical Journal of Applied Physics 30,
77–82 (2005).
61. Y. Ohno, M. Akito, S. Kishimotoa, K. Maezawa, and T. Mizutani, Phys. Stat. Sol. C 0, 57–60
(2002).
62. M. Kuball, J. M. Hayes, M. J. Uren, T. Martin, J. C. H. Birbeck, R. S. Balmer, and
B. T. Hughes, IEEE Electron Device Letters 23, 7–9 (2002).
63. J. Pomeroy, M. Kuball, D. Wallis, A. Keir, P. Hilton, R. Balmer, M. Uren, and T. Martin,
Applied Physics Letters 87, 103508 (2005).
64. M. Kuball, J. W. Pomeroy, S. Rajasingam, A. Sarua, M. J. Uren, T. Martin, A. Lell, and
V. Harle, Physica Status Solidi(a) 202, 824–831 (2005).
65. S. P. Kearney, L. M. Phinney, and M. S. Baker, Journal of Microelectromechanical Systems,
15, 314–321 (2006).
66. M. Abel, Thesis, Georgia Institute of Technology, (2005).
67. J. Kim, J. A. Freitas Jr, P. B. Klein, S. Jang, F. Ren, and S. J. Pearton, Electrochemical and
Solid-State Letters 8, G345 (2005).
68. J. R. Serrano, L. M. Phinney, and S. P. Kearney, Journal of Micromechanics and Microengi-
neering 16, 1128–1134 (2006).
Chapter 7
Stereolithography and Rapid Prototyping
David W. Rosen
D. W. Rosen
G. W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA
30332 404-894-9668 404-894-9342
e-mail: david.rosen@me.gatech.edu
machines became available in the late 1980s. Stereolithography (SL) was arguably
the first technology to be commercialized. In this technology, an ultra-violet laser
traces part cross-sections on the top surface of a vat of liquid photopolymer, causing
it to cure in the shape of the cross-section. By repeatedly curing cross-sections, parts
get built layer by layer.
In 2006, it has been reported that more than 25 companies are marketing RP
machines worldwide. The total market for machines and materials is approximately
$530 million. More than 11,000 RP machines have been installed worldwide. As
a result, the industry is significant, but relatively small compared to the machine
tool, molding, and electronics manufacturing industries. Good resources are avail-
able for monitoring this industry, including the Castle Island web site [8] and
the annual Wohlers Report [37]. Also, good references are available on RP tech-
nologies, machines, vendors, and applications, including the books edited by Paul
Jacobs [22, 23].
The first applications of RP technologies were as prototypes of mechanical parts,
essentially “prints” of CAD models that people could look at, hold in their hands,
and convey design ideas to others. Other applications emerged, some quickly and
others over many years. RP parts have been used as marketing samples, parts for
soliciting production manufacturing quotes, patterns for casting processes, and even
as tools and molds. Some companies have used RP parts to test assembly lines,
ensuring that the production parts can be assembled properly. Other companies
have installed RP parts in products, in cases where production parts were not yet
available. Field upgrades were performed to swap the RP parts for production parts.
More recently, several production manufacturing applications have emerged,
igniting interest in the idea of “rapid manufacturing,” actually using RP machines
to perform production manufacturing. Because these technologies can be utilized
for much more than just making prototypes, it is reasonable to choose a name that
better conveys their nature; hence, I use the term “Additive Manufacturing” or AM
to highlight the additive nature of the processes and their potential application in
production manufacturing.
In this chapter, the focus will be on two variants of the stereolithography tech-
nology, the commercial laser-scanning SL and mask-projection SL commonly used
for micro-scale fabrication. Examples will be provided in the area of micro-fluidics
and micro-sensors. Additionally, the usage of SL parts as molds for packages and
devices made from PDMS is presented, along with some example devices.
7.2 Stereolithography
layers), but differ on the method of forming the layer. For our purposes here, the
stereolithography technology will be used to illustrate the part building process.
A schematic of a typical commercial SL machine is shown in Fig. 7.1. Parts
are manufactured by fabricating cross sectional contours, or slices, one on top of
another. In commercial SL machines, these slices are created by tracing 2D contours
of a CAD model in a vat of photopolymer resin with a laser. The optics system
includes a laser, focusing and adjustment optics, and two galvanometers that change
the laser beam’s position in the vat. The part to be built rests on a platform that
is dipped into the vat of resin. After each slice is created, the platform is lowered,
the surface of the vat is recoated, then the laser starts to trace the next slice of the
CAD model, building the prototype from the bottom up. During the part preparation
phase, the SL machine user has the opportunity to specify many process variables,
including layer thickness, resin parameters, and the amount of inter-layer bonding.
A more complete description of the stereolithography process may be found in [22,
23].
In general, the process of depositing material, processing the material with an
energy source, and repeating is typical for many AM technologies. Other technolo-
gies only deposit material (usually molten, forming layers upon solidification) or
deposit and process material simultaneously.
The process developed to fabricate micro-fluidics packages is shown in Fig. 7.2.
The part or package to be fabricated in SL is modeled in CAD, then built on a
SL machine. It is cleaned in an ultrasonic bath, typically with an alcohol or TPM
solvent, until all liquid resin is removed from the part surfaces. The part is assembled
with a die or other device components, often using SL resin as a bonding agent. After
assembly, the package is placed in the Post-Cure Apparatus (PCA) for complete
curing using blanket UV radiation.
7.2.2 Materials
The stereolithography process makes use of liquid, ultraviolet (UV) curable pho-
topolymers as their primary materials. Frequently, these materials are called sim-
ply resins. Upon irradiation by a laser beam, these materials undergo a chemi-
cal reaction to become solid. This reaction is called photopolymerization, and is
typically complex, involving many participating species. SL resins are similar to
resists used in microelectronics, such as SU-8, in that both types of materials are
photopolymers.
Photopolymers were developed in the late 1960s and soon became widely applied
in several commercial areas, most notably the coating and printing industry. Many
of the glossy coatings on paper and cardboard, for example, are photopolymers.
Additionally, UV curable photopolymers are used in dentistry, such as for sealing
the top surfaces of teeth in order to fill in deep grooves and prevent cavities.
Various types of radiation may be used to cure commercial photopolymers,
including gamma rays, x-rays, electron beams, UV, and visible light, although UV
and electron beam are the most prevalent. In SL systems from 3D Systems, UV
radiation is used exclusively although, in principle, other types could be used. In the
SLA-250, a helium–cadmium (HeCd) laser is used with a wavelength of 325 nm. In
contrast, the solid-state lasers used in the other SL models are Nd-YVO4 , which are
frequency-tripled to a wavelength of 354 nm.
7 Stereolithography and Rapid Prototyping 179
for an example acrylate monomer. Despite high heats of reaction, a catalyst is neces-
sary to initiate the reaction. As described earlier, a photoinitiator acts as the catalyst.
Schematically, the free radical-initiated polymerization process can be illustrated
as shown in Fig. 7.4 [22]. On average, for every two photons (from the laser), one
radical will be produced. That radical can easily lead to the polymerization of over
1000 monomers, as shown in the intermediate steps of the process, called propaga-
tion. Polymerization terminates from one of three causes, recombination, dispropor-
tionation, or occlusion. In general, longer polymer molecules are preferred, yielding
higher molecular weights. This indicates a more complete reaction. In Fig. 7.4, the
P–I term indicates a photoinitiator, the –I •symbol is a free radical, and M in a
monomer.
Cationic photopolymerization shares the same broad structure as free radical
polymerization, where a photoinitiator generates a cation as a result of laser energy,
the cation reacts with a monomer, propagation occurs to generate a polymer, and
a termination process completes the reaction. A typical catalyst for a cationic
polymerization is a Lewis Acid, such as BF3 [36]. Cationic photopolymerization
received little attention early on, but that changed during the 1990s as a result of the
interest in SL technology.
Basic raw materials such as polyols, epoxides, (meth) acrylic acids and their
esters, diisocyanates etc. are used to produce the monomers and oligomers used for
radiation curing. Most of the monomers are multifunctional monomers or polyol
polyacrylates which give a crosslinking polymerization. The main chemical fami-
lies of oligomers are polyester acrylate, epoxy acrylates, urethane acrylates, amino
acrylates (used as a photoaccelerator in the photoinitiator system) and cycloaliphatic
epoxies [13].
Resin suppliers create ready-to-use formulations by mixing the oligomers and
monomers with a photoinitiator, as well as other materials to affect reaction rates
and part properties. In practice, photosensitizers are often used in combination with
the photoinitiator to shift the absorption towards longer wavelengths. In addition,
supporting materials may be mixed with the initiator to achieve improved solubility
in the formulation. Furthermore, mixtures of different types of photoinitiators may
also be employed for a given application. Thus, photoinitiating systems are, in prac-
tice, often highly elaborate mixtures of various compounds which provide optimum
performance for specific applications [11].
Other additives facilitate the application process and achieve products of good
properties. A reactive diluent, for example, is usually added to adjust the viscosity
of the mixtures to an acceptable level for application [15]; it also participates in the
polymerization reaction.
7.2.3 Modeling
As a laser beam is scanned across the resin surface, it cures a line of resin to a
depth that depends on many factors. It is also important to consider the width of
the cured line as well as its profile. The shape of the cured line depends on resin
characteristics, laser energy characteristics, and the scan speed. We will investigate
the relationships among all of these factors in this subsection.
The first concept of interest here is irradiance, the radiant power of the laser per
unit area, H (x,y,z). As the laser scans a line, the radiant power is distributed over a
finite area. Consider a laser scanning a line along the x-axis at a speed Vs , where the
z-axis is oriented perpendicular to the resin surface and is positive into the resin [22].
Assume that the coordinate origin is located such that the point of interest, p, has
an x coordinate of 0. The irradiance at any point x,y,z in the resin is related to the
irradiance at the surface, assuming that the resin absorbs radiation according to the
Beer-Lambert Law. Finally, assume that the lasers used in SL machines are Gaussian
lasers. Then, the general form of the irradiance equation is given as:
where the exponential term models the resin’s attenuation of laser energy. The irra-
diance at a point (x,y) on the resin surface is given by:
where: PL =output power of laser [W], Vs =scan speed of laser [m/s], W0 =radius of
laser beam focused on the resin surface [cm], Dp =depth of penetration of laser into a
resin until a reduction in irradiance of 1/e is reached (key resin characteristic) [cm].
However, it is exposure, not irradiance, that is of interest since the level of expo-
sure at a point determines whether or not the resin at that point gels (solidifies).
Exposure is the energy per unit area and can be determined at point p on the resin
surface by appropriately integrating Eqn. 7.2 along an entire scan line, as shown in
Eqn. 7.3. The scan starts at a time ts and ends at time te .
te
2PL −2[(x 2 (t)+y 2 (t))/W 2 ]
E(y, 0) = e 0 dt (7.3)
ts W02
To integrate Eqn. 7.3, it is convenient to assume that the scan is along the x-
axis from point (x s ,y) to point (x e ,y). After performing an appropriate change of
variables, the exposure received at point (0,y) is:
182 D. W. Rosen
PL
e−2y /W0 [erf(b) − erf(a)]
2 2
E(y, 0) = √ (7.4)
2W0 Vs
√ √
where a = 2/W0 x s and b = 2/W0 x e . Exposure at an arbitrary point in the vat
can be modeled by adding the exponential attenuation term from Eqn. 7.1:
PL
e−2y /W0 e−z/Dp [erf(b) − erf(a)]
2 2
E(y, z) = √ (7.5)
2W0 Vs
It turns out that if a scan vector is longer than several times the laser beam diam-
eter, that the scan is effectively infinitely long. This is due to the fast attenuation of
Gaussian laser beams. Changing the limits of integration in Eqn. 7.3 from ts to te to
–∞ and +∞, respectively, and changing variables from time to distance, x, gives an
equation for exposure distribution on the resin surface:
2 PL −2y 2 /W02
E(y, 0) = e (7.6)
W0 Vs
Combining this with the resin attentuation term yields the fundamental general
exposure equation:
2 PL −2y 2 /W02 −z/Dp
E(x, y, z) = e e (7.7)
W0 Vs
The profile of a cured scan line can be determined readily. Starting with Eqn. 7.7,
the locus of points in the resin that is just at its gel point, where E = E c , is denoted
by y* and z*. Eqn. 7.7 can be rearranged, with y*, z*, and E c substituted to give
Eqn. 7.8.
2y ∗2 /W02 +2z ∗2 /Dp 2 PL
e = (7.8)
W0 Vs E c
which is the equation of a parabolic cylinder in y* and z*, as is clear from the
following form.
ay ∗2 + bz ∗ = c
As is probably intuitive, the width of a cured line of resin is the maximum at the
resin surface; i.e., ymax occurs at z=0. To determine line width, we start with the
line shape function derived earlier, Eqn. 7.9. Setting z=0 yields Eqn. 7.10:
2 PL
L w = 2y =2
W02 ln (7.10)
W0 Vs E c
Line width is denoted L w and is 2* ymax . With a lot of substitution and algebra,
it is possible to show that the line width can be computed by Eqn. 7.11.
1/2
2Cd
L w = W0
Dp
7.3.1 Experiments
For the examples presented in this section, the stereolithography machine, SLA
3500 (3D Systems, Rock Hill, SC), was used to fabricate 0.3 cm3 measurement cells
184 D. W. Rosen
on a 3-inch silicon wafer as shown in Fig. 7.5a. The resin, SL 7510 (Huntsman), was
used as the stereolithography material.
7.3.1.2 Dicing
After sensor arrays on a silicon wafer have been fabricated and packaged with
SL, each individual measurement cell needs to be separated. Dicing of plain sil-
icon is well understood, however, dicing of silicon with stereolithography poly-
mer is not normally done. A diamond dicing saw was used to dice the pack-
aged silicon wafer to individual cells. The diced measurement cells were diced
successfully [33].
building a fixture for the silicon wafer, as shown in Fig. 7.6. The fixture is fabricated
with a cavity into which the wafer will be placed. When the SL machine builds
the fixture to the level of the top of the wafer, the SL machine is paused and the
wafer is inserted into the fixture cavity in the SL machine. Then, the SL machine
build process can be resumed and additional devices or parts of the fixture can be
built.
The second approach achieves much higher precision and utilizes a mask aligner,
a typical machine in standard photolithography practice [10]. The first step in this
approach is to fabricate a fixture, as in the low precision approach. The fixture should
be removed from the SL machine and the wafer placed into it. Subsequent steps
include:
• Fabricate an array of packages or devices using the SL machine that serves addi-
tionally as a mask. Holes should be designed into the mask/array that facilitate
alignment.
• Align the SL mask/array to the wafer using a mask aligner.
• Bond mask/array to wafer by coating the bottom surface of the mask/array with
SL resin and exposing the assembly to UV radiation.
An example of the alignment process is shown in Fig. 7.7. First, the array of SL
packages is fabricated, which also serves as the alignment mask. The mask assembly
is placed on top of the wafer with devices already fabricated. The mask aligner is
used to manually align mask and wafer.
7.3.2 Results
7.3.2.1 Typical Device Fabrication Process
As described, an array of measurement cells was fabricated with stereolithography
on top of a 3-inch silicon wafer, as shown in Fig. 7.5a. Oxygen plasma etching
was used to clean the silicon surface. In Fig. 7.8, an array of measurement cell lids
is shown with inlet and outlet nozzles of 1 mm diameter, which can be connected
to standard size tubing. The lid was bonded on top of the silicon wafer package to
enclose the measurement cells. A diamond dicing saw was used to dice the modified
silicon wafer into individual cells. This is a demonstration of packaging microma-
chined sensors on a wafer level scale.
In order to ensure the functionality of the packaged devices, the packaging tech-
niques described above were applied to some real micromachined devices, such as
arrays of chemical sensors and interdigital electrodes, and an atomic force micro-
scope probe.
Fig. 7.10 Cyclic voltammetry measurement of a SL packaged interdigitated electrode device [34]
188 D. W. Rosen
with integrated measurement cell was mounted on an atomic force microscope and
a frequency test performed. The device performed successfully [33].
7.4 Micro-Stereolithography
7.4.1 Introduction
Laser scanning technologies for the micro-scale typically have scanned the vat
in X, Y, and Z directions, rather than scanning the laser beam, since the focal length
must be so short in order to achieve small beam spot sizes. The Integrated Hardening
method of Ikuta and Hirowatari [17] was one of the first developments in this area.
They used a laser spot focused to a 5 m diameter and the resin vat is scanned
underneath it to cure a layer. Examples of devices built with this method include
tubes, manifolds, and springs and flexible microactuators [30] and fluid channels on
silicon [18]. Takagi and Nakajima [31] have demonstrated the use of this technology
for connecting MEMS gears together on a substrate. The artifact fabricated using
micro-SL can be used as a mold for subsequent electroplating followed by removal
of the resin [21]. Indeed, this current research has been able to achieve sub-1 m
minimum feature size. The capability of building around inserted components has
also been proposed for components such as ultrafiltration membranes and electrical
conductors. Applications include fluid chips for protein synthesis [19] and bioanal-
ysis [20]. The bioanalysis system was constructed with integrated valves and pumps
that include a stacked modular design, 13×13 mm2 and 3 mm thick, each of which
has different fluid function. However, the full extent of integrated processing on
silicon has not yet been demonstrated. The benefits of greater design flexibility and
lower cost of fabrication will be realized.
Mask-projection SL (MPSL) was also developed during the 1990s. Several
groups in Japan and Europe pursued this technology. The basic idea is to project an
image displayed on a dynamic mask onto the resin surface in the vat in order to cure
a part cross-section. The main advantage of this method is speed: since an entire
part cross-section can be cured at one time, it can be faster than scanning a laser
beam. Dynamic masks can be realized by LCD screens, by spatial light modulators,
or, more recently, by Digital Micromirror Devices (DMD), such as the Digital Light
Processing (DLPTM) chips manufactured by TI.
7 Stereolithography and Rapid Prototyping 189
A schematic and photograph of our MPSL system is shown in Fig. 7.11. The
MPSL process starts with the CAD model of the object to be built. The object is
sliced at various heights and the cross-sections of the slices are stored as bitmaps.
These bitmaps are displayed on a dynamic pattern generator and are imaged onto
the resin surface in order to cure a layer. The layer is built on a platform which is
lowered into a vat of resin to coat the cured layer with a fresh layer of resin and the
next layer, corresponding to the next cross section is cured on top on it. Likewise,
by curing layers one over the other, the entire micro part is built.
MPSL systems have been realized by several groups around the world. Some
of the earlier systems utilized LCD displays as their dynamic mask [5, 26], while
another early system used a spatial light modulator [9, 14]. The remaining systems
all used DMD’s as their dynamic masks [1, 2, 4, 16, 24, 28]. These latest systems all
use UV lamps as their radiation source, while other have used lamps in the visible
range [1, 4] or lasers in either the UV [9, 14].
A wide range of applications have been pursued by these researchers. Mechanical
objects were common, although some micro-fluidics packaging was reported. Inde-
pendently, Bertsch et al. [3], and Sun et al. [27], used ceramic particle-filled resins
to fabricate green ceramic parts which were subsequently fired to produce fully
dense ceramic parts with feature sizes less than 10 m in size. A good overview of
micro-SL technology, systems, and applications is the book by Varadan et al. [35].
Most of the research presented in these papers is experimental. In [24], we pre-
sented the MPSL system that we developed. The system comprises of broadband
UV lamp as the light source, a Digital Micromirror Device (DMD) from Texas
Instruments as a dynamic mask and an automated XYZ stage from ASI imaging.
We cure parts out of the DSM SOMOS 10120 resin with our system. We modeled
the lateral dimensions of a layer cured using our MPSL system in terms of the
process parameters. The irradiation of the resin surface has been modeled using
the ray tracing approach. The curing characteristics of the resin have been empiri-
cally modeled by plotting its working curve. These models were used to formulate a
process-planning method to cure a layer with the required lateral dimensions. This
method was used to generate the bitmap to be displayed on the DMD and compute
the time for which it should be imaged onto the resin surface to cure the desired
layer. Using this process planning method it is possible to cure layers within 3%
error in their lateral dimensions.
The vertical dimension of a MPSL part built by curing dimensionally accurate
layers over one another is not equal to the algebraic summation of the individual
layer thicknesses and involves some errors. These errors are a result of unwanted
cure due to print through errors. In [25], we proposed a method called the “Com-
pensation Zone approach” to compensate for this unwanted curing. This method
entails subtracting a tailored volume (Compensation Zone) from underneath the
CAD model in order to compensate for the increase in the Z dimension that would
occur due to print-through. By controlling the process parameters, including the
thickness of the Compensation Zone, it is possible, in theory, to eliminate the print-
through errors completely.
since no layers are directly below it either. In order to cure Layer 4, an exposure as
shown in Fig. 7.4 will have to be supplied. The overcure (OC) required to bind the
layer to the layer underneath it will be required only at the middle portion of the
layer. At its edges, OC will be zero. In order to cure this layer, the general exposure
equation for the kth pixel (projected micro-mirror) is given by Eqn. 7.12.
The exposure received along the bottom surface of the part should be equal to
E c . Summing up all of the exposures from all layers at the kth pixel gives:
k
n
−( LTm (x,y)+Z c (x,y))/Dp
(LTk (x,y)+OC)/Dp
Ece e m=1 = Ec (7.13)
k=1
Canceling the term E c , we get the relation between the layer thickness LT k (x,y)
and Compensation Zone Z c (x,y):
k
n
−( LTm (x,y)+Z c (x,y))/Dp
(LTk (x,y)+OC)/Dp
e e m=1 =1 (7.14)
k=1
The height of the part is the summation of the thickness of every layer and
the thickness of the Compensation Zone. The height of the part will be given by
Eqn. 7.15.
n
h(x, y) = LTk (x, y) + Z c (x, y) (7.15)
k=1
7.4.3 Examples
The pictures taken under an optical microscope of some of the micro parts cured
using our system are presented in Fig. 7.13. In Fig. 7.13a, the four wheels and axle
of a micro-SUV is shown. This is a nine-layer part. The axle is 57 m in width
and is overhanging. Fig. 7.13b is the close-up of the teeth of a micro spur gear. The
thickness of the teeth at the pitch circle diameter of the gear is measured to be 40 m.
Fig. 7.13c is a single layer part that shows the logo of our laboratory. Fig. 7.13d
shows a two layered, three pixel wide rib which was cured to validate our layer cure
model for very small features. The experimentally measured width of the line is
6 m while the designed width was 6.2 m, helping to validate our process model.
Acknowledgments The author gratefully acknowledges the assistance of many people: SL micro-
fluidics (Drs. James Gole, Peter Hesketh, Lenward Seals, L. Angela Tse), MPSL (Mr. Ameya
Limaye), PDMS molding (Mr. J.S. Bintoro, Dr. Sangkyum Kim), as well as funding from many
sources: School of Mechanical Engineering at Georgia Tech; Rapid Prototyping & Manufacturing
Institute member companies (3D Systems, Baxter Healthcare, DSM Somos, Ford, Huntsman, Pratt
& Whitney, Siemens), the Manufacturing Research Center at Georgia Tech, the Microelectronics
Research Center at Georgia Tech, DARPA, Motorola, the National Science Foundation through
grants DMI-9618039 and IIS-0120663, and the US Department of Education GAANN fellowship
program.
References
1. Beluze L, Bertsch A, Renaud P (1999) “Microstereolithography: a new process to build com-
plex 3D objects,” SPIE Symposium on Design, Test and Microfabrication of MEMS/MOEMS,
Vol. 3680, pp. 808–817.
2. Bertsch A, Zissi S, Jezequel J, Corbel S, Andre J (1997) “Microstereolithography using liquid
crystal display as dynamic mask-generator,” Microsystems Technologies, pp. 42–47.
3. Bertsch A., Lorenz H., Renaud P. (1999) “3D Microfabrication by combining microstere-
olithography and thick resist UV lithography,” Sensors and Actuators, Vol. 73, pp. 14–23.
4. Bertsch A, Bernhard P, Vogt C, Renaud P (2000) “Rapid prototyping of small size objects,”
Rapid Prototyping Journal, Vol. 6, Number 4, pp. 259–266.
5. Bertsch A, Jiguet S, Renaud P (2004) “Microfabrication of ceramic components by
microstereolithography,” Journal of Micromechanics and Microengineering, Vol. 14,
pp. 197–203.
7 Stereolithography and Rapid Prototyping 195
6. Bintoro JS, Hesketh PJ (2005) “An electromagnetic actuated on/off microvalve fabricated on
top of a single wafer,” Journal of Micromechanics and Microengineering, Vol. 15, Number 6,
pp. 1157–1173.
7. Bintoro JS, Luharuka R, Hesketh PJ (2003) “A structure of bistable electromagnetic actuated
microvalve fabricated on a single wafer, implementing the SLA and PDMS technique,” ASME
International Mechanical Engineering Congress and RD & D Expo, paper IMECE2003-
43857, Washington, DC, November 15–21.
8. Castle Island, http://home.att.net/∼castleisland/.
9. Chatwin C, Farsari M, Huang S, Heywood M, Birch P, Young R, Richardson J (1998) “UV
microstereolithography system that uses spatial light modulator technology,” Applied Optics,
Vol. 37, pp. 7514–7522.
10. Choudhury A (2003) “Process development for a silicon carbide micro four-point probe,”
Masters Thesis, Georgia Institute of Technology.
11. Crivello JV, Dietliker K (1998) "Photoinitiators for Free Radical, Cationic & Anionic
Photopoly-merisation," 2nd Edition, Vol. III In Chemistry & Technology of UV & EB Formula-
tion for Coatings, Inks & Paints, Edited by Bradley G., John Wiley & Sons, Inc., Chichester &
New York.
12. Cui L, Morgan H (2000) “Design and fabrication of traveling wave dielectrophoresis struc-
tures,” Journal of Micromechanics and Microengineering, Vol. 10, pp. 72–79.
13. Dufour P (1993) “State-of-the-art and Trends in Radiation Curing”, In Radiation Curing in
Polymer Science and Technology–Vol I: Fundamentals and Methods, Edited by Fouassier J.
P. and Rabek J. F., Elsevier Applied Science, London & New York.
14. Farsari M, Huang S, Birch P, Claret-Tournier F, Young R, Budgett D, Bradfield C, Chatwin C
(1999) “Microfabrication by use of spatial light modulator in the ultraviolet: experimental
results”, Optics Letters, Vol. 24, No. 8, pp. 549–550.
15. Fouassier JP (1993) “An Introduction to the Basic Principles in UV Curing”, In Radiation
Curing in Polymer Science and Technology–Vol I: Fundamentals and Methods, Edited by
Fouassier J. P. and Rabek J. F., Elsevier Applied Science, London & New York.
16. Hadipoespito G, Yang Y, Choi H, Ning G, Li X (2003) “Digital Micromirror device based
microstereolithography for micro structures of transparent photopolymer and nanocom-
posites”, Proceedings of the 14th Solid Freeform Fabrication Symposium, Austin TX,
pp. 13–24.
17. Ikuta K, Hirowatari K (1993) “Real three dimensional microfabrication using stereolithogra-
phy and metal molding,” Proceedings of the IEEE MEMS, pp. 42–47.
18. Ikuta K, Hirowatari K, Ogata T (1994) “Three dimensional micro integrated fluid systems
fabricated by micro stereo lithography,” Proceedings of the IEEE MEMS, pp. 1–6.
19. Ikuta K, Ogata T, Tsubio M, Kojima S (1996) “Development of mass productive micros-
terolithography,” Proceedings of the MEMS, pp. 301–305.
20. Ikuta K, Maruo S, Fujisawa T, Fukaya Y (1998) “Chemical IC chip for dynamical control
of protein synthesis,” Proceedings of the Interior Symposis Micromechatronics and Human
Science, IEEE, pp. 249–254.
21. Ikuta K, Maruo S, Fujisawa T, Yamada A (1999) “Micro concentrator with opto-
sense micro reactor for biochemical IC chip family,” Proceedings of the IEEE MEMS,
pp. 376–380.
22. Jacobs PF (1992) Rapid Prototyping & Manufacturing, Fundamentals of Stereolithography,
Society of Manufacturing Engineers, Dearborn, MI.
23. Jacobs PF (1996) Stereolithography and other RP&M Technologies, Society of Manufacturing
Engineers, Dearborn, MI.
24. Limaye A, Rosen DW (2004) “Quantifying dimensional accuracy of a mask projection
micro stereolithography system,” Proceedings of the Solid Freeform Fabrication Symposium,
Austin, TX, August 2–4.
25. Limaye A, Rosen DW (2006) “Compensation zone approach to avoid Z errors in mask pro-
jection stereolithography builds,” Rapid Prototyping Journal, Vol.12, No. 5, pp. 283–291.
196 D. W. Rosen
26. Monneret S, Loubere V, Corbel S (1999) “Microstereolithography using dynamic mask gen-
erator and a non-coherent visible light source”, Proceedings of SPIE, Vol. 3680, pp. 553–561.
27. Sun C, Fang N, Zhang X (2002) “Experimental and numerical investigations on
micro-stereolithography of ceramics,” Journal of Applied Physics, Vol. 92, Number 8,
pp. 4796–4802.
28. Sun C, Fang N, Wu D, Zhang X (2005) “Projection micro-stereolithography using digital
micro-mirror dynamic mask”, Sensors and Actuators A, Vol. 121, pp. 113–120.
29. Sutanto, J, Hesketch, PJ, Berthelot, YH (2006) “Design, microfabrication and testing of a
CMOS compatible bistable electromagnetic microvalve with latching/unlatching mechanism
on a single wafer,” Journal of Micromechanics and Microengineering, Vol. 16, Number 2,
pp. 266–275.
30. Suzumori K, Koga A, Haneda R (1994) “Microfabrication of integrated FMA’s using stereo
lithography,” Proceedings of the IEEE, pp. 136–141.
31. Takagi T, Nakajima N (1994) “Architecture combination by microphotoforming process,” Pro-
ceedings of the IEEE MEMS, pp. 211–216.
32. Thomas JH, Kim SK, Hesketh PJ, Halsall HB, Heineman WR (2004) “Bead-based electro-
chemical immunoassay for bacteriophage MS2,” Analytical Chemistry, Vol. 76, Number 10,
pp. 2700–2707.
33. Tse AL (2002) “MEMS packaging with stereolithography,” Masters Thesis, Georgia Institute
of Technology.
34. Tse AL, Hesketh PJ, Gole JL, Rosen DW (2003) “Rapid prototyping of chemical sensor pack-
ages with stereolithography,” Microsystems Technology, Vol. 9, pp. 319–323.
35. Varadan VK, Jiang S, Varadan VV (2001) Microstereolithography and other Fabrication Tech-
niques for 3D MEMS, Wiley.
36. Wilson JE (1974) Radiation Chemistry of Monomers, Polymers, and Plastics, Marcel Dekker,
New York.
37. Wohlers, T (2006) Wohlers Report, Wohlers Associates, Inc., Fort Collins, CO.
Symbol Designation
Cd Cure depth
Dp Depth of penetration
E Exposure (energy per unit area)
Ec Critical exposure
H Irradiance (radiant power per unit area)
Lw Cured line width in stereolithography
LT Layer thickness
OC Overcure
PL Laser power
Vs Laser scan speed
W0 Radius of Gaussian laser beam
Zc Compensation zone thickness
Chapter 8
Case Studies in Chemical Sensor Development
Abstract The need for chemical sensor technology has increased in recent years
generating the need for the development of new, advanced sensor technology. This
book chapter provides a series of case studies related to the development and appli-
cation of chemical sensors centering on microfabricated sensors for aerospace appli-
cations. Each case study discusses the development of a sensor or sensor system,
including technology challenges, and illustrates a major theme related to chemical
sensor development. These major themes suggest possible strategies that can be
employed to address technical challenges in the area of sensor development. The
chapter closes with a discussion that goes beyond sensor development to application
approaches for including sensor technology into operating systems. It is concluded
that sensor technology distributed throughout the vehicle with attributes such as ease
of use, reliability, and orthogonality can significantly advance intelligent systems
8.1 Introduction
The need for chemical sensor technology in applications such as industrial pro-
cessing, aerospace, and security has increased in recent years. For many practical
applications, the sensing elements need to be relatively small in size, robust and
should not require large sensing sample volume [1–5]. This book chapter provides
a series of case studies related to the development of chemical sensors that illustrate
a major theme. Each case study will discuss the development of a sensor or sensor
system and relate that development to the theme. The case studies presented in this
chapter cover a range of topics in chemical sensor development and application
centering on microfabricated sensors to meet the needs of aerospace applications.
G. W. Hunter
NASA Glenn Research Center at Lewis Field, Cleveland, OH 44135
leak was problematic. In July 1999, the launch of STS-93 was delayed for two days
due to an ambiguous signal using the present leak detection system. An alternate
leak detection method, or at least an augmentation to the existing leak detection
system, was strongly desired. In response to these hydrogen leak problems, NASA
endeavored to improve fuel leak detection capabilities during pre-launch operations
and flight.
In particular, efforts were made to develop an automated hydrogen (H2 ) leak
detection system using point-contact hydrogen sensors. These sensors could be
placed throughout a region and provide localized leak detection without the need
for the sampling tubes of a mass spectrometer. Being able to multiplex the sig-
nal from a number of sensors so as to “visualize” the magnitude and location of
the hydrogen leak was also desired. If a number of sensors are to be placed in an
area, then size, weight, and power consumption for each sensor becomes an issue.
There was also a need for monitoring hydrogen concentration in flight during ascent.
However, commercially available sensors, which often needed oxygen to operate or
depended upon moisture [7], did not meet the needs of this application and thus the
development of new types of sensors was necessary [8].
There are a range of operational requirements that a potential hydrogen sensor
must meet in order to be relevant to the needs of Shuttle applications. The hydrogen
sensor must be able to detect hydrogen from low concentrations through the lower
explosive limit (LEL) that is 4% in air. The sensor must be able to survive exposure
to 100% hydrogen without damage or change in calibration. Further, the sensor
may be exposed to gases emerging from cryogenic sources thus sensor temperature
control is necessary. Operation in inert environments is necessary because the sensor
may have to operate in areas purged with helium. Overall, the sensing approach used
for this application must be tailored to meet these requirements.
The types of sensors that work in these environments are limited and it is difficult
to find one single sensor to completely meet the needs of this application. For exam-
ple, metal films that change resistance upon exposure to hydrogen through absorp-
tion of the hydrogen into the bulk of the metal (hydrogen sensitive resistors) have
a response proportional to the square root of the partial pressure of hydrogen. This
dependence is due to the sensor detection mechanism: migration of hydrogen into
the bulk of the metal changing the bulk conductance of the metal [8]. This results in
reduced sensitivity at low hydrogen concentrations but a continued response over a
wide range of hydrogen concentrations. In contrast, Schottky diodes, composed of
a metal in contact with a semiconductor (MS) or a metal in contact with a very thin
oxide on a semiconductor (MOS), have a very different detection mechanism. For
a palladium–silicon dioxide–silicon (Pd–SiO2 –Si) MOS Schottky diode hydrogen
sensor, hydrogen dissociates on the Pd surface and diffuses to the Pd–SiO2 interface
affecting the electronic properties of the diode resulting in an exponential response
of the diode current to hydrogen concentration [9]. This exponential response has
higher sensitivity at low concentrations and decreasing sensitivity at higher con-
centrations as the sensor saturates. Thus, by combining both a resistive sensor and
a Schottky diode, sensitive detection of hydrogen throughout the range of interest
from low to high concentrations can be accomplished.
200 G.W. Hunter et al.
Temperature control is necessary for both hydrogen sensor types for an accurate
reading. Both types of sensors respond to changes in ambient temperature. If the
ambient temperature changes, it is strongly suggested that the sensor system be
able to compensate for these changes to provide accurate information on the gas
concentration. Further, optimum operation of the sensor is temperature dependent
and thus maintaining the sensor at a given temperature to maximize performance is
necessary.
In order to address these needs the following sensor approach was developed
over a number of years using MEMS-based technology to produce a sensor system
with minimal size, weight, and power consumption:
• A Pd alloy Schottky diode on a Si substrate. The Schottky diodes were used to
measure lower concentrations of hydrogen, e.g., less than 1% down to the ppm
level.
• A hydrogen sensitive resistor on the same chip to measure higher hydrogen con-
centrations, e.g., greater than 1% up to 100%.
• The combined Schottky diode and resistor sensor system can provide measure-
ments that encompass the range of concentrations of interest.
• Temperature control in the form of a temperature detector and heater integrated
on the same chip with the sensors to both monitor and allow control of the sensor
temperature.
The resulting packaged sensor structure is pictured in Fig. 8.1. The structure
includes a Pd-based Schottky diode, a hydrogen sensitive resistor, a temperature
detector, and a heater all incorporated in the same chip. The Schottky diode sensor
is fabricated using an n-type silicon wafer on which approximately 50 Å of SiO2
is thermally grown in the sensor region. The heater and temperature detector are
platinum covered with SiO2 . Gold leads are applied by thermal compression bond-
ing and the sensor is mounted on a TO5 header or on a ceramic flat package. The
surface area of the Schottky diode is 6.1×10–3 cm2 and the sensor dimensions are
approximately 2.2 mm on a side. A hydrogen sensitive resistor is included on the
same chip to measure higher hydrogen concentrations. This basic sensor design is
specifically tailored for hydrogen leak detection applications with features including
sensing mechanisms meant to measure a wide range of hydrogen concentrations;
temperature control; and a sensor structure meant to minimize size, weight, and
power consumption.
However, in order for the sensor to actually work in the targeted application,
further tailoring of the sensor design is necessary. For example, the use of pure Pd
at near room temperatures as the hydrogen sensitive metal for either the resistor
or Schottky diode in this application is problematic for several reasons. The most
serious of these issues involves a phase change that occurs at high hydrogen concen-
trations, which can lead to hysteresis or film damage. Thus, an approach which used
a Pd-alloy rather than pure Pd was taken. The first generation of these sensors used
palladium silver (PdAg). The use of PdAg in hydrogen sensing applications was
pioneered by Hughes [10]. Palladium silver has advantages over Pd and properties
that make it more suitable for this application. Palladium silver is more resistant
8 Case Studies in Chemical Sensor Development 201
Pd-ALLOY SCHOTTKY
DIODE CONNECTORS
RESISTOR
2.2 mm
Fig. 8.1 Design and complete package of a Pd alloy hydrogen sensor. The sensor package includes
a Schottky diode hydrogen sensor, hydrogen sensitive resistor, temperature detector, and heater.
The sensor is designed to be a self contained unit able to measure over a wide range of hydrogen
concentrations
to damage from exposure to high hydrogen concentrations than Pd. The sensor
responds in an inert environment (no oxygen) to the presence of hydrogen. The
presence of oxygen decreases the sensor response but the sensor is still sensitive to
low concentrations of hydrogen [11, 12]. Further, a PdAg sensor configuration
202 G.W. Hunter et al.
2.0E-04 T=100 C
APPLIED VOLTAGE = -5 V PdAg
1.8E-04 PdCr
REVERSE CURRENT (A)
1.6E-04
1.4E-04
1.2E-04
Air Air Air Air Air Air Air Air Air
1.1E-04 N2 N2 N2 N2 N2 N2 N2 N2 N2
8.1E-05
6.1E-05
4.1E-05 H2 H2 H2 H2 H2 H2 H2 H2
2.1E-05
1.0E-06
0 50 100 150 200
TIME (MIN)
Fig. 8.2 The response of PdAg and PdCr Schottky diode sensors at 100◦ C to repeated exposures of
9 minutes of air, 1 minute of nitrogen, 10 minutes of 100% hydrogen, and 1 minute of nitrogen. The
PdCr diode shows a more repeatable baseline and a more stable response than the PdAg diode [14]
8 Case Studies in Chemical Sensor Development 203
a)PdAg As-Deposited b)PdAg After 100°c Testing c)PdCr After testing at 100°c
Fig. 8.3 Optical Micrographs (400 ×) of the pad of a) PdAg sensor as deposited; b) PdAg sensor
after heating and characterization in 100% H2 at 100◦ C; c) PdCr sensor after heating and charac-
terization in 100% H2 at 100◦ C. The PdCr sensor does not show delamination of the sensor film
near the edges of the sensor pad evident with the PdAg-based sensor [14]
that has the requirement for operation in 100% hydrogen. Nonetheless, PdAg met
the needs of a very different application [12]. Ford Motor company required an
automated way to determine if there were leaks in the valves and fitting associated
with the natural gas powered Ford Crown Victoria while on the assembly line. The
approach was to pressurize the tank with nonexplosive concentrations of hydrogen
and verify the integrity of the system by looking for hydrogen leaks. The vehicle
fuel system was pressurized with 1% hydrogen and 99% nitrogen. The valves and
fittings were enclosed with “boots” which included hydrogen sensors and measured
gases being emitted from the valves and fittings. The outputs from the various
sensors were fed to a central computer-based processing system. The processing
system included a visual image of the car and associated valve and fitting system
that, if leaks did occur, graphically showed their location and relative magnitude.
This complete system received a 1995 R&D 100 Award as one of the 100 most
significant inventions of that year. Thus, even though the PdAg based sensor did not
meet the needs of the Shuttle application, it did meet the needs of this automotive
application.
Further sensor development continued to meet the specific needs of the Shuttle
application. This led to the development of a sensor that uses palladium chrome
(PdCr) as the hydrogen sensitive alloy. The response of this PdCr Schottky diode
to 100% hydrogen at 100◦C is also shown in Fig. 8.2. The PdCr sensor is much
more stable than the PdAg sensor under these conditions: the PdCr diode response
204 G.W. Hunter et al.
to 100% hydrogen is nearly consistent after the initial exposures with an equally
consistent return to a common baseline. Further, the optical micrograph of the cor-
responding PdCr sensor pad (Fig. 8.3c) shows some degradation of the film surface
but the PdCr film does not exhibit the peeling of the sensing film near the edge of the
sensing pad that the PdAg film exhibited. A PdCr sensor tested at 75◦ C also showed
good response and adhesion of the film but less resulting surface structure than the
PdCr sensor tested at 100◦C.
Therefore, PdCr shows significantly improved stability and response over PdAg.
The results of these tests and other testing suggest that PdCr is better for applications
where the sensor is exposed to higher hydrogen concentrations, while PdAg can be
used for lower hydrogen concentration applications.
This has led to the use of PdCr both as a hydrogen sensitive resistor and a Schot-
tky diode material to expand the detection range of the sensor [15]: a Schottky diode
provides sensitive detection of low concentrations of hydrogen while the resistor
provides sensitivity up to 100% hydrogen (Fig. 8.4). Later versions of the hydrogen
leak detection system have included capabilities to process the data and provide
relevant data to the user (see Section 8.2.5). As shown in Fig. 8.4, this data process-
ing can convert the raw data and show the users the quantity of interest, e.g., the
hydrogen concentration.
The complete hydrogen detection system (two sensors on a chip with supporting
electronics) flew on the STS-95 mission of the Space Shuttle (launched October,
1998) and again on STS-96 (launched May, 1999) [16, 17]. Overall, the hydrogen
sensor response is seen to generally parallel that of the mass spectrometer on the
ground but with a larger signal and quicker response time (perhaps due to the
relative location of each measuring device with respect to the hydrogen source).
The hydrogen sensor response during the launch phase of flight showed a response
near the cut-off of the Shuttle main engine. Near this time, a spike in the hydrogen
concentration is observed that decreases with time back to baseline levels. These
results are qualitatively consistent with the leakage of very small concentrations of
unburnt fuel from the engines into the aft compartment after engine cut-off. More-
over, the advantage of this microsensor approach is that the hydrogen monitoring
of the compartment is continuous and, in principle, could be used for real-time
health monitoring of the vehicle in flight. Thus, the basic hydrogen sensor struc-
ture was demonstrated to be a viable approach to meet the needs of the Shuttle
application.
The range of applications for which the basic sensor design has been adapted is
shown in Fig. 8.5, and includes the Shuttle mentioned above, the International Space
Station, the NASA Helios vehicle, the X-33, and the X-43. It should be noted that
the supporting hardware for the various applications is different for each application.
In each case, the sensor and hardware, as well as supporting software, were tailored
for the application. For example, in the case of the International Space Station (ISS)
application, the sensor had to be tailored for a high oxygen and high humidity
environment. Since this is a Criticality 1 function which involves protection from
potential crew or mission threatening conditions, the complete system of sensors
and hardware needed to be designed as triply redundant and associated software
8 Case Studies in Chemical Sensor Development 205
(a)
4000 0.50
Raw Sensor Response Calibrated Sensor Response
3500 0.45
2000 0.25
2.0%
1500 0.20
0.15
1000
1.0% 0.10
500 0.05
0.5%
0 0.00
1000 2000 3000 4000 5000
Time (Seconds)
(b)
4500 0.25
Diode Raw Signal Calibrated Response
4000
2500
0.15%
2000
0.1
1500 0.1%
1000 0.05
500
0 0
0 500 1000 1500 2000 2500 3000
Time (Seconds)
Fig. 8.4 Response to hydrogen of a PdCr alloy based a) Resistor and b) Schottky diode. Both the
raw signal and calibrated response are shown. The responses of the two sensor types are comple-
mentary [15]
safeguards. This application also required shielding the electronics from the humid
operating environment. The ISS sensor system is generally exposed to a pressurized
environment with a constant flow of gas. This is contrasted with the Shuttle appli-
cation which has varying pressure from atmospheric pressure on the launch pad to
near vacuum during ascent. The humidity in the Shuttle application can range from
high, while on the launch pad exposed to varying Florida weather, to low humidity at
206 G.W. Hunter et al.
Aft Compartment Hydrogen Safety Hydrogen Safety Fuel Cell Safety Life Support Process
Hydrogen Monitoring Monitoring and Process and Safety Monitoring
Monitoring Monitoring
Fig. 8.5 The range of applications to which the hydrogen sensor has been adapted. The sensors
as well as associated hardware and software had to be tailored in each case for the needs of the
application
higher altitudes. The Shuttle application during launch into flight is not a Criticality
1 function but rather the data is meant for informational purposes. Thus, issues such
as mandatory triple redundancy of a measuring system with supporting software
features do not come into play. These operational environments are very different
and highlight the need to design a system for the needs of the application.
A vivid example of operating a sensor outside its designed mode of operation is
in Fig. 8.6, which shows a picture of the hydrogen sensor operating under water.
This condition is possible if the sensor is exposed to an environment that results
in condensation of water on the sensor surface, such as one with very high humid-
ity without a method to dry the sensor before operation. However, the hydrogen
sensor is not designed for underwater operation. Bubbles are seen rising from the
sensor surface due to the fact that the electrodes are open to the environment. The
condensed water across the electrodes in effect allows the sensor to become an elec-
trochemical cell which dissociates water producing hydrogen and oxygen resulting
in the observed bubbles. Operation of the sensor in this manner has been observed
to cause drift in calibration and sensor damage over time. Thus, operating the sensor
outside of its design range has significant effects on sensor operation and stability.
In summary, this section has detailed the development of a hydrogen sensor for
leak detection applications. This development includes the design of the basic sensor
mechanism, testing in the specific environment, and inclusion of the sensor with
hardware and software. While a sensor may meet the needs of one application, it
may not meet the needs of another. Tailoring the sensor structure, as well as sup-
porting hardware and software, to assure proper operation within the application is
necessary.
8 Case Studies in Chemical Sensor Development 207
Silicon carbide (SiC) has high potential as the electronic semiconductor material for
a new family of high temperature sensors and electronics. This is due to the ability
of SiC to operate as a semiconductor in conditions under which silicon cannot suf-
ficiently perform, such as at temperatures above 400◦C [18]. One area where SiC
semiconductor technology can be applied is in chemical sensing. Silicon carbide
gas sensors have been in development for a number of years employing a range
of designs including capacitors [19], transistors [20], and Schottky diodes [21–23].
These sensors have been shown to be responsive to several gases, including hydro-
gen and hydrocarbons, making them useful for a range of applications over a broad
range of environments [1].
In particular, SiC Schottky diodes have been developed due to their high sensi-
tivity and wide temperature range of operation. As described in the previous subsec-
tion, a gas-sensitive Schottky diode is composed of a metal (often catalytic) in direct
contact with a semiconductor (MS) or a metal in contact with a very thin insulator or
oxide on a semiconductor (MIS or MOS). The detection mechanism for H2 involves
the dissociation of H2 on the surface of a catalytic metal leading to the formation of
a dipole layer at the interface of the metal and the insulator (or metal-semiconductor
interface depending on the structure). This dipole layer affects the Schottky barrier
height of the diode resulting in an exponential change in the forward current while
the diode is under fixed bias [9,24]. The detection of hydrocarbons is possible if the
sensor is operated at a high enough temperature to dissociate the hydrocarbon and
produce atomic hydrogen. The resulting atomic hydrogen affects the sensor output
in the same way as molecular hydrogen [21, 25, 26]. Predominately, the tempera-
ture for sensitive hydrocarbon detection is beyond the upper limit for silicon-based
Schottky diode functionality and thus SiC enables high temperature detection of
hydrogen and hydrocarbons with high sensitivity.
The use of a SiC Schottky diode structure for gas sensing allows high sensi-
tivity but then introduces its own technical issues. In particular, the successful use
208 G.W. Hunter et al.
of a SiC Schottky diode structure as a gas sensor depends on strict control of the
metal-semiconductor interface that makes up the diode and determines its elec-
trical properties [24]. One complicating factor in control of this interface is high
temperature operation of these gas sensors. Higher temperature operation implies
possible reactions between the catalytic sensing metal and the SiC. While one can
decrease the metal/SiC reactivity, if this occurs in such a manner so as to “pin” the
interface potential barrier, then sensor sensitivity can be significantly decreased [23],
effectively defeating the purpose of using a Schottky diode structure. Thus, the
choice of surface treatment or barrier layer(s) between the catalytic metal and the
SiC substrate is complicated by simultaneous requirements of high sensor stability
during high temperature operation while maintaining high sensitivity.
A second major complicating factor in the control of the SiC interface is the
nature of the present-day SiC semiconductor substrates. Compared to silicon wafer
standards, present-day SiC wafers are small, expensive, and of inferior crystalline
quality. In addition to high densities of crystalline defects such as micropipes and
closed-core screw dislocations, commercial SiC wafers also exhibit significantly
rougher surfaces and larger warpage than is typical for silicon wafers [27]. The
highly variable SiC surface itself significantly complicates efforts to control the
catalytic metal/SiC interface.
This section will describe the evolution of the NASA Glenn Research Center
(GRC) based SiC gas sensor development and approaches used to improve surface
interface control. Overall, this section makes the fundamental point that for some
gas sensing structures, control of the interfaces is necessary for adequate sensor
operation.
NASA GRC’s SiC-based Schottky diode development began with Pd on SiC
(Pd/SiC) MS structures without a barrier layer between the Pd metal and semicon-
ductor. Direct contact between the gas-sensitive catalytic metal and the semicon-
ductor was thought to allow changes in the catalytic metal to have maximum effect
on the semiconductor. Studies of this baseline system helped determine limits of
diode sensitivity, potential material interactions between Pd and SiC, and whether
a barrier layer between the Pd and SiC was necessary for long-term sensor sta-
bility. The details of this work are reviewed in reference [21]. The sensor detects
hydrogen and hydrocarbons in inert or oxygen-containing environments with high
sensitivity. However, the sensor response is adversely degraded by extended high
temperature heating. For example, prolonged heating at 425◦ C has been shown to
change the sensor properties and to decrease sensor sensitivity [21]. The reason for
this change in diode properties is thought to be due to reactions between the Pd and
SiC at the interface upon heating causing disruption of the metal structure due to
oxygen (O2 ) and Si [28, 29]. Overall, massive amounts of silicide and oxide forma-
tion have been noted in Pd/SiC diodes with heating that significantly decrease their
performance.
One structure which has shown improved stability over that of Pd/SiC is PdCr
directly deposited on SiC (PdCr/SiC) [30, 31]. The advantages of PdCr as a high
temperature alloy have been explored extensively in strain gage applications [32].
It is a stable high temperature material which is able to provide static strain
8 Case Studies in Chemical Sensor Development 209
and SiC and then provided a barrier layer between the catalytic metal and the
SiC [33]. This barrier layer may then have been responsible for the observed sta-
bility by inhibiting the formation of the oxides and silicides noted above. The lack
of reproducibility in the sensor response may then be explained if this protective
barrier layer is formed in an irreproducible or non-uniform way. Thus, the sensor
repeatability could be improved significantly if the interface control of the barrier
layer could be improved. Rather than relying on a natural formation of Cr3 C2 from
PdCr and SiC, the next step in controlling the interface layer of the Schottky diode
was to directly deposit a Cr3 C2 barrier layer onto the SiC surface [33].
A thin layer (600 Å) of Cr3 C2 covered by 300 Å of Pt was deposited onto a SiC
substrate forming a Pt/Cr3 C2 /SiC Schottky diode structure [33]. This sensor struc-
ture was tested at 450◦ C for 70 hours and then 580◦ C for 600 hours for a total of
670 hours. The gain in the sensor response to propylene versus air at 580◦ C is shown
over time in the inset of Fig. 8.9. While the sensor loses some sensitivity over the
first 200 hours at 580◦ C, the sensor seems to stabilize after this break-in period.
The sensor shows a consistently strong response to propylene with an average gain
of over two thousand for at least 270 hours. Figure 8.9 shows representative data
comparing the sensor response at 3.2 V at 500 hours and 670 hours. The gas expo-
sure period in Fig. 8.9 is somewhat extended for the 670 hour data to allow better
comparison between the two sets of data. The data shows good repeatability of the
signal in form and magnitude. The data suggests a sensor with very good sensitivity
to propylene and reasonable stability at 580◦C.
However, the sensor did change response over time from the onset of sensor
testing. AES analysis was performed on Pt/Cr3 C2 /SiC samples both before and after
testing in order to gain insight into the reason for the change. The AES results from
the as-deposited sample are shown in Fig. 8.10a. The results show well-defined
interfaces with no indication of Pt silicide (PtSix ) formation throughout the sample.
Figure 8.10b shows the AES analysis of the sensor after 670 hours of testing. The
data did not show any evidence of carbide or carbon remaining from the original
8 Case Studies in Chemical Sensor Development 211
1.E + 02 100000
1.E + 00 10
CURRENT (A)
1
150 250 350 450 550 650 750
1.E - 01 TIME (HOURS)
1.E - 02
1.E - 03
1.E - 04
1.E - 05
0 5 10 15 20 25 30
TIME (MIN)
Fig. 8.9 The Pt/Cr3 C2 /SiC sensor tested at 580◦ C at 500 hours () and 670 hours () in 0.5%
propylene. The sensor was tested by first being exposed to air for 5 minutes, N2 for 5 minutes,
0.5% propylene in N2 for 10 minutes, pure N2 for 5 minutes, and then air. Inset: The sensor gain
over time at 580◦ C measured at 1.0 V (•) and 3.2 V (). The sensor shows good stability and
sensitivity at these high temperatures [33]
Cr3 C2 layer. Oxygen had diffused into the layers, replacing the carbon to form
chromium oxide, which migrated toward the surface. This migration likely allowed
the Pt to diffuse toward the SiC interface. However, the major point is the massive
formation of metal silicides, a likely cause of sensor failure in other sensor struc-
tures, was not observed in this sample.
Although the reaction mechanisms for the Pt layer on Cr3 C2 /SiC are still not
completely understood, several observations can be made. The Cr3 C2 layer appears
to be effective in preventing immediate reaction of the Pt layers with silicon from the
crystal substrate to form metal silicides. The presence of carbide in the chromium
layer slows down migration of the chromium to the surface, and allows formation
of an oxygen-poor chromium oxide, which gradually diffuses throughout the metal
layer. This chrome sub-oxide likely prevents metal silicide formation at the SiC
interface. Subsequent work has shown repeatable fabrication ability and stable oper-
ation of this sensor structure up to 950 hours at 580◦ C.
Thus, the reaction mechanism of Cr3 C2 with SiC significantly changes the
dynamics of the sensor interface and improves the stability and performance of the
sensor. Other work has shown directly depositing palladium oxide (PdO) as the
interface layer also has significant effects in producing a stable high temperature
gas-sensing structure [34]. Further work has also shown that moving from a stan-
dard commercially available surface to atomically flat SiC has a significant effect on
the performance and stability of even a Pt/SiC MS Schottky diode gas sensor [33].
Overall, this work shows that the control of the interface for the catalytic metal/SiC
structure is necessary for their operation as sensitive gas sensors and details an
evolving process to control that interface.
In summary, for some sensors such as a resistor whose response depends on the
bulk material properties, the surface interface may not be dominant and need for its
control may be limited. However, for sensors such as Schottky diodes, the sensing
212 G.W. Hunter et al.
40
30 Si
C
20 C
10
0
0 200 400 600 800 1000 1200
Depth (Angstroms)
(b)
80
C1 Pt1 Si1 Cr1 O1
70
5kV
60
Atomic Conc. (%)
Pt
50 Cr
Si
40
O
30
Cr C
20
O
10
0 Na
0 200 400 600 800 1000 1200 1400
Depth (Angstroms)
mechanism is determined by the interface. Since a limited surface interface layer can
then determine the sensor response, this allows the sensor to have high sensitivity.
Correspondingly, however, this mandates that interface control is fundamental to
this type of sensor’s stability and performance. This case study demonstrates the
evolving design of a sensor structure resulting in a more stable interface and thus a
more stable sensor but one which maintains it sensitivity.
The lessons suggested by this case study also apply to other sensor structures
that strongly depend on surface and interface effects. This includes the developing
field of chemical sensors based on nanotechnology e.g., nanotubes, nanorods, etc.
The structure of these sensors typically have a much higher surface to volume ratio
than micro- or macro-based sensors and thus can be viewed as predominately inter-
face; thus, these sensors too will face significant challenges in the control of these
interfaces in order to be utilized as stable and functional systems.
8 Case Studies in Chemical Sensor Development 213
• The burden a larger sensor system would place on vehicle weight or power.
• Inability to smoothly integrate a larger sensor system into a vehicle without
affecting vehicle operation or the measurement.
• Reproducibility or cost issues associated with sensor systems which are hand-
made or not mass produced.
• Even if a larger sensor system could be included into a vehicle, that sensor system
alone may have limited capabilities. This implies the need for multiple larger
sensor systems exacerbating the size, weight, and power issues discussed in the
first two bullets.
involves much more than just making a macrosized sensor smaller [1]. The pro-
cessing used to produce a sensor material as a macroscopic bulk pellet can change
considerably when it is desired to fabricate the material as part of a miniaturized
system. For example, a chemical sensor in the form of a macroscopic bulk pellet can
be fabricated from the powder of a starting material, pressed into a pellet contain-
ing lead wires, and then sintered at high temperatures to form the resulting sensor.
However, using the same starting material, pressing the material onto a substrate to
form a smaller or even microscopic sensor is often not a viable option. The pressing
process itself may not form a uniform thin or thick film material. The underlying
substrate with sensor film may not survive the applied pressure or sintering that
typically is done with the pellet sensor material. Rather, a thin or thick film of the
starting sensor material must be deposited onto a substrate which can be processed
using MEMS techniques, e.g., sputtering or evaporation. This substrate must, at a
minimum, support the sensor, enable connections to the outside world, and allow
further sensor material processing if necessary.
Further, given the surface sensitive nature of many chemical sensors, the effects
of miniaturization can be dramatic and include significant changes in sensor sensi-
tivity and response time. This is in part due to the fact that the sensor film is often
produced by techniques such as sputtering which may result in different material
properties than those of bulk materials. The resulting surface to volume ratio of a
thin film is larger than that of a bulk material: surface effects that may affect only
a small percentage of a sensor in the bulk form may occur within a significantly
larger percentage volume of a thin film sensor. This can strongly affect the sensor’s
response. For example, oxidation may occur on the surface of a sensor exposed to
high temperatures. In a bulk material, this oxidation may only be a small percent-
age of the sensor’s volume while in a thin film material the same oxidation thick-
ness may account for a sizable percentage of the sensor’s volume. If the sensor’s
detection mechanism relies on bulk conduction, this oxidation could significantly
affect the sensor response by changing the nature of the volume of the sensor.
In addition, stresses in sensor thin films that degrade sensor response or catas-
trophically damage the sensor structure may be less of a factor in bulk materials.
Therefore, new technical challenges often must be overcome as sensor technology is
miniaturized.
An example of the challenges of microfabrication of a chemical sensor is the
miniaturization of a carbon dioxide (CO2 ) sensor [35]. Previously, CO2 sensors have
been based on liquid electrochemical cells that often use a corrosive liquid as the
electrolyte. This means that the cell needs to be sealed to prevent possible electrolyte
leaks. Overall, the approach to miniaturization of these sensors is to move from the
traditional liquid electrolytes to solid state devices. These attempts have included
the use of solid state electrolytes such as bulk or thick film materials. The basis
behind these materials choices is due to the idea that increasing miniaturization is
not always conducive to liquid based system but is more conducive to solid state
devices. However, these solid state devices do not operate like a standard liquid
electrochemical cell. Some major differences include:
8 Case Studies in Chemical Sensor Development 215
• Liquid devices operate through the flow of constituents through the liquid elec-
trolyte; corresponding electrolyte constituent flow in solid state devices can be
problematic and require higher temperatures depending on the electrolyte. Such
operation at higher temperatures can bring its own problems related to sensor
degradation and stability.
• An important factor in the operation of an electrochemical cell is the three phase
boundary, i.e., the surface in the electrochemical cell where the gas interacts with
both the electrode and the dielectric. Miniaturizing a sensor decreases the surface
area of such boundaries by decreasing the overall size of the sensor.
• Liquid devices can maintain chemical equilibrium of the constituents through
mixing motion of the liquid. A solid state device that uses a solid electrolyte by
its nature may not have ready flow of constituents to enable easy mixing.
In recent years, there has been a significant effort to develop solid state miniatur-
ized electrochemical CO2 sensors. However, most bulk material or thick film based
electrochemical sensors [36–41] consume high power, and the fabrication processes
of these sensors are complicated because of the involvement of hot pressing and
screen printing [39]. These sensor systems are often still at a comparatively early
stage of development [40–43].
The NASA GRC/Case Western Reserve University (CWRU) work in minia-
turized CO2 sensors has concentrated on the development of a solid state elec-
trochemical cell. One of solid state electrochemical CO2 sensor miniaturization
efforts uses super ion conductors such as NASICON (sodium super ionic conductor,
Na3 Si2 Zr2 PO12 ) as solid electrolyte. and sodium carbonate and barium carbonate
(Na2 CO3 /BaCO3 , 1:1.7 molar ratio) as auxiliary electrolytes [36, 41, 44].
The resulting design is shown in Fig. 8.11 [35]. The following features of the
sensor are generated by the drive for miniaturization and to address the changes
in operation resulting from this solid state design. NASICON needs higher tem-
peratures to act as an electrolyte. Thus, the base substrate on which the sensor is
fabricated is alumina, which is durable at high temperatures. Integrated into the
sensor design are a temperature detector and heater to maintain a device temperature
at which the electrolyte can operate. To increase the number of three phase sites and
the ability of the constituents of the sensor to maintain equilibrium, an interdigitated
finger pattern was used with selective deposition of the electrolyte/auxiliary elec-
trolytes. The working and reference electrodes for this solid state electrochemical
cell are Pt, and the NASICON electrolyte is deposited between these Pt electrodes.
This working electrode/NASICON/reference electrode pattern is repeated multiple
times on the substrate using an interdigitated finger electrode structure as shown in
Fig. 8.11a. The auxiliary electrolyte, Na2 CO3 /BaCO3 , is deposited over the whole
surface of the interdigitated fingers. This approach of interdigitated fingers com-
bined with uniform deposition of electrolyte and auxiliary electrolytes increases
the number of sites where the three phase boundary of electrode, electrolyte, and
auxiliary electrolyte exist. This increases the number of active electrochemical sites
216 G.W. Hunter et al.
(a) Top
Sensor Electrical
Contact Pads Heater
Na2CO3/BaCO3
Pt (W) NASICON Pt (R) NASICON Pt (W) NASICON Pt (R)
Alumina Substrate
Slide
Fig. 8.11 (a) Sensor with the interdigitated finger electrodes on the frontside (left), heater and
temperature detector on the backside (right). (b) Partial side view of the CO2 sensor showing the
NASICON and Na2 CO3 /BaCO3 electrolytes, the Pt interdigitated electrodes (both working (W)
and reference (R)), and the alumina substrate [35]
for detection in this microstructure and improves sensitivity over a standard two
electrode design.
Testing has taken place to determine the optimum operational conditions for this
device [35]. The sensor was operated in an amperometric mode and the current was
measured at a given voltage applied across the interdigitated fingers. The approach
was to determine which temperatures and voltages allowed the sensor to produce
a large signal but still maintain a constant baseline without long-term drift. It was
found that the optimum operating conditions are at higher temperatures (600◦C) and
at 1V yielding results such as those seen in Fig. 8.12. A range of CO2 gas concen-
trations can be measured and the results are linear with ln[CO2 Concentration]. The
sensor demonstrated stable operation for weeks using these parameters.
The present understanding of the reaction mechanisms of this sensor is as fol-
lows: The repeatable operation without sensor drift significantly relies upon the
current flow of Na+ ions and regeneration of Na2 O from Na2 CO3 [35]. These pro-
cesses rely on both the NASICON and Na2 CO3 /BaCO3 electrolytes. In previous
work, the auxiliary electrolyte Na2 CO3 /BaCO3 (1:1.7) was deposited on only the
working electrode [39]. In the design in Fig. 8.11, the auxiliary electrolyte was
deposited homogeneously on the entire sensing area of the sensor, including both
working (sensing) and reference electrodes. This allows improved flow of species
within the auxiliary electrolyte. For example, at the working electrode, the depleted
Na+ concentration could be recovered by the transfer of Na+ from NASICON
through the three-phase boundary. In turn, the decreased concentration of Na+ in
NASICON could be supplemented from the Na2 CO3 /BaCO3 through the reference
8 Case Studies in Chemical Sensor Development 217
(b)
12
10
8
Current (nA)
0
-10 -8 -6 -4 -2 0
ln[CO2 Concentration]
electrode three-phase boundary. The Na2 CO3 deposited at the working electrode
could be transferred to the reference electrode through the Na2 CO3 /BaCO3 if the
temperatures are high enough to allow equilibration. These mechanisms allow the
sensor to measure CO2 but recover back to its initial state easily. This process would
be made more difficult if the Na2 CO3 /BaCO3 was not distributed across both the
working and the reference electrodes. The Na2 CO3 /BaCO3 also likely serves as a
diffusion barrier to CO2 migration to the electrodes resulting in the linear response
with ln[CO2 Concentration].
Thus, the miniaturization of this CO2 sensor design involved specific steps that
needed to be taken to provide the functionality available with larger structures. One
step involved changing the materials to a solid state design that would be stable at
the higher temperatures required for operation. An interdigitated fingers design was
used to improve the response of the electrochemical cell by maximizing the reactive
(three-phase) interface. The design of the electrolyte/auxiliary electrolyte structure
218 G.W. Hunter et al.
combined with the operating temperature was chosen to maintain equilibrium and
stable operation within the electrochemical cell.
In summary, while the design of this sensor is evolving and other CO2 elec-
trochemical cell designs are possible, this case study describes the migration of a
liquid electrochemical cell design to a solid state microsensor structure. The overall
approach is to provide the functionality of the traditional system with materials
which can be used in microfabrication processes. This was not just a matter of
making a traditional system smaller; rather it involved addressing a wide range of
technical issues, from material choice to operational parameters, resulting from the
attempt to microfabricate a system.
8.2.4 One Sensor or Even One Type of Sensor Often will Not
Solve the Problem, The Need for Sensor Arrays: Case Study
of Multifunctional Fire Detection Sensor Array
Applications that require the use of chemical sensors often involve complex chem-
ical environments or require the measurement of multiple parameters. The use of
one chemical sensor or sensing technique may not be adequate to meet the needs
of these applications. Thus, the use of sensor arrays is necessary to simultaneously
measure the multiple parameters needed for some applications.
An array of sensors used to measure multiple chemical species is often called an
electronic nose [44]. Standard commercial electronic noses are often composed of
multiple versions of the same sensor type, e.g. metal oxide semiconductors such as
tin oxide. Each element of the nose is modified slightly to provide slightly different
responses to the environment. The resulting signal is then analyzed by software to
understand the environment.
In contrast, a different approach is a sensor array that is composed of multi-
ple sensors that are not of the same type, have different sensing approaches, and
combine together to give complementary information [1, 45]. This can be achieved
by using different sensor platforms or sensing mechanisms within the array, such
as electrochemical cells and Schottky diodes, or significantly different sensing
approaches such as point contact sensors and optical techniques. Analogous to the
five senses where, for example, the visual inputs are fundamentally different but
complementary to auditory inputs, the overall approach is that each sensor in the
array gives different types of information on the environment. That is, the suggestion
is that the elements in the array, as much as feasible, be orthogonal to each other.
An example of the application of a sensor array designed for orthogonality is the
detection of fires on-board commercial aircraft for safety applications. Fire detection
systems, for example, presently in cargo hold fire detection equipment have been
shown to be susceptible to false alarms at a rate, depending on the study, as high as
200-1 [1, 46–49]. The standard method for fire detection in these cargo bay aircraft
applications is the detection of smoke particulates. However, these sensors also can
8 Case Studies in Chemical Sensor Development 219
be set off by other particulates beside smoke, such as dust or water molecules in
higher humidity conditions.
A second, independent method of fire detection to complement the conventional
smoke detection techniques, such as the measurement of chemical species indica-
tive of a fire, was proposed to reduce these false alarms. These chemical sensors
have a very different false alarm mechanism and measure very different quantities
(chemical species) than the particulate detector (mass or decrease in transmitted
light depending on the sensor). Although many chemical species are fire indicators,
two species of particular interest are carbon monoxide (CO) and CO2 [1, 46–49].
Further, miniaturization of the fire detection equipment, both chemical species and
particulate detectors, will allow distribution of sensors at a wider variety of locations
and improve early detection and location of a fire [1].
Such a microsystem based fire detection system has been fabricated and
tested [50]. This system, the Multifunctional, MultiParameter Fire Detection
System (MMFDS), combines micro- and nano-based sensors with signal pro-
cessing hardware and software to interpret the data. Development and inclusion
of a miniaturized chemical sensor array and miniaturized particulate detectors was
central to the system. The chemical sensor array includes CO and CO2 sensors
as well as the ability to measure other species of interest such as humidity and
hydrogen/hydrocarbons. The particulate detector has also been miniaturized and
has the potential for particulate size classification.
The overall MMFDS is designed so each sensor response is different and is
reflective of a different aspect of the environment. While achieving complete orthog-
onality is difficult, the approach is to attempt orthogonality using different sensor
platforms such as electrochemical cells, Schottky diodes, resistors, and mass mea-
surements. Different fires have distinctive signatures and thus the array measures a
range of parameters in order to determine the presence of a fire. These include:
The software examines the input from the different sensors and determines which
combinations are indicative of the presence of a fire. For example, if the particulate
level increases as well as the humidity level increases, but there is no increase in
chemical species, then it is likely that the particulate detector is seeing a false alarm
due to a rise in humidity. However, if humidity level is constant while both the
particulate level and the CO level increase, then it is likely a fire. The approach is to
set the alarm levels so as to minimize false alarms while still seeing every fire that
occurs.
220 G.W. Hunter et al.
(a) (b)
(c)
Fig. 8.13 FAA Cargo Compartment Fire Detection Testing a) Boeing 707 used for testing, b)
Sensors and facility’s overhead instrumentation, c) Flaming “Biscuit” resin block fire source of
known and consistent smoke and gas output representative of fire
This MMFDS has been tested at the Federal Aviation Administration (FAA) in
the cargo bay of a Boeing 707 aircraft as shown in Fig. 8.13. Within this cargo
bay, fires are intentionally set using a known fire source (a polymer “biscuit”) [51].
The emissions from these fires are monitored with standard fire detectors and a
reference monitoring system, and then compared to prototype fire detection sys-
tems such as the MMFDS. Simplified fire detection algorithms were used with the
MMFDS sensor array for this testing to show the basic system operation. More com-
plete algorithms are available and can be tuned given knowledge of the application
environment.
The results of this comparative FAA testing are dramatic [46–50]. Over a series
of exposures to both dust and humidity, the MMFDS had a zero false alarm rate.
The commercial system had a 100% false alarm rate. Over the entire test series with
real fires, the MMFDS sensed the onset of actual fire nearly equally as well as the
conventional smoke detectors if not better, depending on how the MMFDS software
was set. Figure 8.14 shows the response of all the sensors with two algorithms (sim-
ply listed as fast or slow) as well as the response of the commercial sensor. The
standard FAA requirement for aircraft fire detectors in cargo bays is the detection
8 Case Studies in Chemical Sensor Development 221
4000 10
Ignition Smoke Alarm
NASA/MEI
NASA/MEI Alarm (slow) 9
3500 RH Alarm (fast)
8
3000
PdCr-Diode Sensor 7
CO
4
1500
CO2
3
1000
2
500
1
IMS
0 0
150 170 190 210 230 250 270 290 310 330
Time (s)
Fig. 8.14 Response for several different sensors including both chemical and particulate detection
to the ignition of a resin block. The time of ignition of the fire, detection of a fire by the commercial
system, and detection of the fire by the MMFDS using two different algorithms are indicated. The
MMFDS responds comparably to the commercial sensor and within the 1 minute limit set by the
FAA
of a fire within 1 minute. In all cases, the MMFDS system met the FAA standard
of 1 minute. Figure 8.14 also shows the very different response of each sensor;
each giving different pieces of information regarding the environment. Subsequent
testing has shown that other fires behave differently with different chemical species
concentration profiles being produced, but that the general approach of a sensor
array providing different information on the environment is effective in determining
the presence of those fires.
Thus, the approach of a multiple sensor system designed to maximize orthog-
onality was shown to significantly reduce false alarms (to the point of eliminating
them in these FAA tests) while still consistently enabling rapid detection of fires.
These tests demonstrate that the combination of these very different types of sens-
ing technologies is significantly more effective in understanding a fire event than an
individual sensor technology alone. This work was recognized with an R&D 100
Award in 2005 as one of the 100 most technologically significant new products of
the year.
In summary, this case study shows a significant advantage of using multiple sen-
sors in a sensor array to improve system reliability and to better understand the
environment. The range of information needed to eliminate false alarms was not
obtained by using a single sensor, but rather a combination of both chemical and
particulate sensors was needed for improved results. The chemical sensors involved
222 G.W. Hunter et al.
are designed to measure orthogonally with respect to each other (although there may
be some cross-sensitivities) while the particulate detector is strongly orthogonal to
the chemical sensors. In this case, the combination of the technologies has allowed
drastic improvements in the reliable detection of fires without false alarms. It is
suggested that this same approach of orthogonal, multi-parameter detection can be
used to gain a better understanding of the environment in a range of applications.
ability to easily place smart sensors (complete with supporting technology) where
they are needed without changes to the overall vehicle or system architecture would
significantly improve the ability to include sensors into applications. A long-term
vision for an intelligent vehicle system is a system that is self-monitoring, self-
correcting and repairing, and self-modifying. In order for this vision to become
a reality, the capabilities afforded by smart sensors integrated into the system are
necessary [45, 50]
However, supporting technologies for a sensor are not always available or reli-
able. An example where supporting technology for smart sensors is not presently
available are harsh environment applications such as engine or Venus environments
where the supporting technologies are often not capable of operation in the ambi-
ent high temperature conditions. These supporting technologies include device con-
tacts [52], packaging and interconnects [53], wireless communication systems, and
on-board signal processing and power [54,55]. Even material processing techniques
for high temperature semiconductors such as SiC need to be matured in order to
fully enable high temperature smart sensor systems [56].
Nonetheless, in other applications the supporting technology is available. One
specific area of smart sensor system development is an integrated Smart “Lick and
Stick” Leak Detection System for propulsion systems [15, 33, 50]. The objective of
this work is to provide a stand-alone leak detection system that can be placed where
needed in a launch vehicle system to detect hazardous conditions. The measurement
of fuel and oxygen is included, as well as all the supporting technology in a compact
package meant for easy integration into propulsion systems or wherever one would
like to monitor hazardous leak conditions.
The components of the Smart “Lick and Stick” Leak Detection System include
a microsensor array of hydrogen, hydrocarbon, and oxygen sensors fabricated by
MEMS based technology. The development of the hydrogen sensor and SiC hydro-
carbon sensors for this leak detection system was discussed in the Case Studies
above and the O2 sensor development is discussed elsewhere [1, 15]. The approach
is to minimize the cross-sensitivity between the hydrogen, hydrocarbons, and oxy-
gen measurements, i.e., maximize orthogonality as discussed above. Thus, a range
of potential launch vehicle fuels (hydrogen or hydrocarbons) and oxygen can be
measured simultaneously to determine if there is a hazardous condition. The array
is being incorporated with signal conditioning electronics, power, data storage, and
telemetry. The temperature of the sensors is controlled individually as needed and
the data is processed for easy interpretation by the user. Parameters related to sensor
element and overall sensor system health can be monitored if needed. The parts are
chosen for operation in aerospace environments and include a microprocessor. The
system is self-contained with the surface area slightly larger than a postage stamp.
Thus, this postage stamp sized “Lick and Stick” type gas sensor technology can
enable a matrix of leak detection sensors placed throughout a region with minimal
size and weight as well as with no power consumption from the vehicle. The sensors
can detect a fuel leak from the vehicle, and combine that measurement with a deter-
mination of the oxygen concentration to ascertain if an explosive condition exists.
A pressure sensor is mounted in the system to provide pressure compensation as,
224 G.W. Hunter et al.
for example, a vehicle is launched from the ground into the vacuum of space. The
electronics hold calibration tables and sensor history with built-in test capability.
They can be programmed to provide the user with certain information required
on a regular basis, but much further diagnostic information when needed. Wireless
communication and battery power are features of the system to allow the unit to be
stand-alone. However, a hardwire connection for communication and power is also
an option integrated into the sensor system. Sensor outputs can be fed to a data pro-
cessing station, enabling real-time visual images of leaks thereby enhancing vehicle
safety. This leak detection system is an example of a smart microsensor system that
is also multifunctional and designed with orthogonal sensor elements.
A prototype model of the “Lick and Stick” sensor system has been fabricated
and is shown in Fig. 8.15a [33]. The complete system has signal conditioning elec-
tronics, power, data storage, and telemetry with hydrogen, hydrocarbon, and oxygen
sensors. Figure 8.15b shows the operation of the electronics with the three sensor
system simultaneously. The data highlights the response of the SiC-based gas sen-
sor at various hydrocarbon fuel (RP-1) concentrations. The oxygen concentration is
held constant at 21% and the hydrogen sensor signal shows no response, suggesting
a lack of cross-sensitivity between the hydrogen and hydrocarbon sensors to the
detection of this hydrocarbon. The hydrocarbon sensor is able to detect fuel con-
centrations from 300 ppm to 3000 ppm although lower concentrations are possible.
This data is transmitted by telemetry and viewed on an exterior computer monitoring
system.
This example demonstrates the combination of multiple sensor types into a com-
plete, self-contained system with supporting technologies that gives more full-field
information than would be available individually. The modular “Lick and Stick”
approach allows sensors to be placed where they are needed without the addition
of lead wires for power and communication. The “smart” nature of the system
means that built-in self tests can be performed to determine parameters related to
the health of the sensor system and if necessary recalibration of the sensors can
be done easily and stored on-board. While further system development is still nec-
essary, this is an example of a complete “Lick and Stick” smart, multi-parameter
sensor microsystem that is usable wherever and whenever needed thus opening a
range of monitoring applications. This basic “Lick and Stick” architecture is being
considered for possible Shuttle and Crew Launch Vehicle implementation, in part,
due to the smart, self-contained nature of the technology enabled by the integrated
supporting technologies.
In summary, this case study discussed the importance of supporting technolo-
gies to implementation of a sensor system. The ability to mount the sensor in the
environment, power the sensor operation, communicate with the sensor, and pro-
cess the information provided by the sensor in a way that has minimal impact on
vehicle operation are significant factors in enabling its application. While the sensor
element and its operation are core to being able to make a measurement, success-
ful implementation of the sensor mandates that consideration be made regarding
integration of the sensor element, enabled by the supporting technology, into the
overall application system. This case study has shown an example of a “Lick and
8 Case Studies in Chemical Sensor Development 225
(b)
2500
3000 PPM
Oxygen 21%
RAW SENSOR SIGNAL (mV)
2000
2000 PPM
1000 PPM
1500
300 PPM
1000
RP-1 Vapor 0% 0%
Hydrogen 0%
500
0
100 200 300 400 500 600 700 800 900 1000
TIME (sec)
Fig. 8.15 a) A prototype version of a “Lick and Stick” leak sensor system with hydrogen, hydro-
carbon, and oxygen detection capabilities combined with supporting electronics. b) Response of
the three sensors of this system to a constant oxygen environment and varying hydrocarbon (RP-1)
concentrations. The sensor signal shown is the output from the signal conditioning electronics
which processes the measured sensor current at a constant voltage and transmits the data by
telemetry
Stick” sensor system which has included the sensor elements and the necessary
supporting technologies into a near postage stamp sized system. The integration of
supporting technologies into this complete, compact, and stand-alone nature of this
system enables use of the sensors in applications in which their use may have been
problematic otherwise.
of intelligence in a system will also have a much better chance of occurring if the
inclusion of intelligence is achieved with highly reliable systems that users want to
have on the vehicle. Further, reliable sensor systems enable the vehicle as whole to
be more reliable.
• REDUNDANCY AND CROSS-CORRELATION:
If the sensor systems are reliable and easy to install, while minimally increasing
the weight or complexity of a vehicle subsystem, the application of a large number
of sensor systems is not problematic. This allows redundant systems, for example,
sensors spread throughout the vehicle. Multiparameter sensor systems, i.e., those
that can measure multiple measurands related to system health at the same time,
can be combined together to give full-field coverage of the system parameters while
also allowing cross-correlation between the systems to improve reliability of both
the sensor data and the vehicle system information.
• ORTHOGONALITY:
The information provided by the various sensory systems should be orthogonal,
that is, each provides a different piece of information on the state of the vehicle
system. A single measurement is often not enough to give situational awareness.
Thus, the mixture of different techniques to “see, feel, smell, and hear” can combine
to give complete information on the vehicle system and improve the capability to
respond to the environment.
Acknowledgments The authors would like to acknowledge the invaluable contributions of Pro-
fessor C.C. Liu of Case Western Reserve University who has been central to this development.
The authors would also like to acknowledge the contributions of Dr. L. Matus, R. McKnight,
228 G.W. Hunter et al.
Dr. G. Beheim, Dr. P. Neudeck, P. Greenberg and Dr. R. Okojie of NASA GRC; Dr. C. Chang
and D. Lukco of ASRC Aerospace/NASA GRC; A. Trunek, D. Spry, and Dr. L. Chen of OAI;
S. Yu and Q. Wu of Case Western Reserve University, Dr. B. Ward and S. Carrazana of Makel
Engineering, Inc.; J. Perotti of NASA Kennedy Space Center; T. Hong of NASA Johnson Space
Center; Prof. P. Dutta of Ohio State University; D. Blake of FAA; J. A. Powell of SEST; J. Hunter
for manuscript preparation, and the technical assistance of C. Blaha, J. Gonzalez, D. Androjna,
M. Artale, B. Osborn, P. Lampard, K. Laster, and M. Mrdenovich of Sierra Lobo/NASA GRC
References
1. Hunter GW, Liu CC, and Makel D (2006) Microfabricated Chemical Sensors for Aerospace
Applications. In: Gad-el-Hak, M ed. MEMS Handbook 2 edn, Design and Fabrication, CRC
Press LLC, Boca Raton, Chapter 11.
2. Liu CC, O’Connor E, Strohl KP, Klann KP, Ghiurca GA, Hunter G, Dudik L, and Shao
MJ (2002) An Assessment of Microfabrication to Sensor Development and the Integra-
tion of the Sensor Microsystem. In: Hesketh P (ed.) Proceedings – Electrochemical Soci-
ety Microfabricated Systems and MEMS VI, Electrochemical Society Inc., Pennington,
pp. 1–8.
3. Wu QH, Lee KM, and Liu CC (1993) Development of Chemical Sensors Using Microfabrica-
tion and Micromachining Techniques. Sensors Actuators B, vol. 13–14, pp. 1–6.
4. Stetter J, Hesketh P, and Hunter G (2006) Sensors: Engineering Structure and Materials from
Micro to Nano, Interface Magazine, Electrochemical Society Inc., Pennington, vol. 15, no. 1,
Spring, 66–69.
5. Liu CC, Hesketh P, and Hunter GW (2004) Chemical Microsensors, Interface Magazine, Elec-
trochemical Society Inc., Pennington, vol. 13, no. 2, Summer, 22–29.
6. Madou M (1997) Fundamentals of Microfabrication. CRC Press, Boca Raton.
7. Hunter GW (1992) A Survey and Analysis of Commercially Available Hydrogen Sensors.
NASA Technical Memorandum 105878.
8. Hunter GW (1992) A Survey and Analysis of Experimental Hydrogen Sensors. NASA Techni-
cal Memorandum 106300.
9. Lundstrom I (1989) Physics with Catalytic Metal Gate Chemical Sensor. CRC Crit. Rev. Solid
State Mater. Sci., vol. 15, pp. 201–278.
10. Hughes RC, Schubert WK, Zipperian TE, Rodriguez JL, and Plut TA (1987) Thin Film Palla-
dium and Silver Alloys and Layers for Metal-Insulator-Semiconductor Sensors. J. Appl. Phys.,
vol. 62, pp. 1074–1083.
11. Hunter GW, Liu CC, Wu QH, and Neudeck PG (1994) Advances in Hydrogen Sensor Technol-
ogy for Aerospace Applications. Advanced Earth-to-Orbit Propulsion Technology, Huntsville,
AL, May 17–19, 1994, NASA Conference Publication 3282, vol. I, pp. 224–233.
12. Hunter GW, Neudeck PG, Chen LY, Liu CC, Wu QH Makel DB, and Jansa E (1995)
A Hydrogen Leak Detection System for Aerospace and Commercial Applications. 31st
AIAA/ASME/SAE/ASEE Joint Propulsion Conference and Exhibit, San Diego, CA, July
10–12, 1995, American Institute of Aeronautics and Astronautics, Washington, DC, Tech.
Rep AIAA-95-2645.
13. Barnes HL and Makel DB (1995) Quantitative Leak Detection Using Microelectronic Hydro-
gen Sensors. 31st AIAA/ASME/SAE/ASEE Joint Propulsion Conference and Exhibit, San
Diego, CA, July 10–12, 1995, American Institute of Aeronautics and Astronautics, Washing-
ton, DC, Tech. Rep AIAA Paper 95-2648.
14. Hunter GW, Chen L, Neudeck PG, Makel D, Liu CC, Wu QH, and Knight D (1998)
A Hazardous Gas Detection System for Aerospace and Commercial Applications. 34th
IAA/ASME/SAE/ASEE Joint Propulsion Conference and Exhibit, Cleveland, OH, July 1998
Tech. Rep AIAA-98-3614.
8 Case Studies in Chemical Sensor Development 229
15. Hunter GW, Xu J, Neudeck PG, Makel DB, Ward B, and Liu CC (2006) Intelligent Chem-
ical Sensor Systems for In-Space Safety Applications. 42nd AIAA/ASME/SAE/ASEE Joint
Propulsion Conference & Exhibit, July 10–12, 2006, Sacramento, California, Tech. Rep
AIAA-06-58419.
16. Hunter GW, Neudeck PG, Fralick G, Liu CC, Wu QH, Sawayda S, Jin Z, Makel DB, Liu M,
Rauch WA, and Hall G (2000) Chemical Microsensors for Aerospace Applications. Microfab-
ricated Systems and MEMS V, Proceedings of the International Symposium 198th Meeting of
the Electrochemical Society, Oct. 22–27, Phoenix, AZ, P. J. Hesketh et al. Editors, Electro-
chemical Society Inc., pp. 126–141.
17. Hunter GW, Neudeck PG, Chen LY, Liu CC, Wu QH, Sawayda S, Jin Z, Hammond JD,
Makel DB, Liu M, Rauch WA, and Hall G (1999) Chemical Sensors for Aeronautic and Space
Applications III a short course presented at Sensors Expo 99, Cleveland, OH, NASA TM
1999-209450.
18. Neudeck PG (2000) SiC Technology. In: Chen WK, ed. The VLSI Handbook, The Electrical
Engineering Handbook Series, CRC Press and IEEE Press, Boca Raton, Chapter .
19. Spetz AL, Baranzahi A, Tobias P, and Lundstrom I (1997) High Temperature Sen-
sors Based on Metal-Insulator-Silicon Carbide Devices. Phys. Status Solidi A, vol. 162,
pp.493–511.
20. Spetz AL, Tobias P, Uneus L, Svenningstorp H, Ekedahl L, and Lundstrom I (2000) High
Temperature Catalytic Metal Field Effect Transistors for Industrial Applications. Sensors
Actuators B, vol. 70, pp. 67–76.
21. Chen LY, Hunter GW, Neudeck PG, Knight DL, Liu CC, and Wu QH (1996) Silicon Carbide-
Based Gas Sensors. In: Proceeding of the Third International Symposium on Ceramic Sensors,
Anderson H U et al. eds, Electrochemical Society Inc., Pennington, pp. 92–105.
22. Hunter GW, Neudeck PG, Gray M, Androjna D, Chen LY, Hoffman RW Jr., Liu CC, and Wu
QH (2000) SiC-Based Gas Sensor Development. Materials Science Forum, Silicon Carbide
and Related Materials 1999, Carter CH et al. eds, Switzerland: Trans Tech Publications, vol.
469–472, pp.1439–1442.
23. Hunter GW, Neudeck P, Okojie R, Thomas V, Chen L, Liu CC, Ward B, and Makel D (2002)
Development of SiC Gas Sensor Systems. In: Proceeding of the State-of-the-Art Program on
Compound Semiconductors XXXVI/Wide Bandgap Semiconductors for Photonic and Elec-
tronic Devices and Sensors III, 201st Meeting of The Electrochemical Society, Philadel-
phia, Pennsylvania, May, 2002, F. Ren, et al. eds, Electrochemical Society Inc., Pennington,
pp. 93–111.
24. Sze SM (1981) Physics of Semiconductor Devices, 2nd edn. New York: John Wiley & Sons.
25. Baranzahi A, Spetz AL, Glavmo M, Nytomt J, and Lundstrom I (1995) Influence of the Inter-
action Between Molecules on the Response of a Metal-Oxide-Silicon Carbide, MOSiC, Sensor.
In: Tech. Digest Transducers’95 and Eurosensors IX Stockholm, vol. 1, pp. 722–725.
26. Hunter GW, Neudeck PG, Chen LY, Knight D, Liu CC, and Wu QH (1995) Silicon Carbide-
Based Hydrogen and Hydrocarbon Gas Detection. 31st AIAA/ASME/SAE/ASEE Joint
Propulsion Conference and Exhibit, San Diego, CA, July 1995, AIAA paper 95-2647.
27. Powell JA and Larkin D (1997) Process-Induced Morphological Defects in Epitaxial CVD
Silicon Carbide. J. Phys. Status Solidi (b), vol. 202, pp. 529–548.
28. Chen L, Hunter GW, and Neudeck PG (1997) Comparison of Interfacial and Electronic Prop-
erties of Annealed Pd/SiC and Pd/SiO2/SiC Schottky Diode Sensors. J. Vacuum Sci. Technol.
A, vol. 15, pp. 1228–1234.
29. Chen L, Hunter GW, and Neudeck PG (1998) X-ray Photoelectron Spectroscopy Study of
the Heating Effects on Pd/6H-SiC Schottky Structure. J. Vacuum Sci. Technol. A, vol. 16,
pp. 2890–2895.
30. Hunter GW, Neudeck PG, Chen LY, Knight D, Liu CC, and Wu QH (1998) SiC-Based Schottky
Diode Gas Sensors. In: Silicon Carbide, III-Nitrides and Related Materials in Proceedings of
International Conference on SiC and Related Materials, Stockholm, Sweden, Sep., 1997, Pensl
G et al. eds, pp. 1093–1096.
230 G.W. Hunter et al.
31. Chen L, Hunter GW, and Neudeck PG (1998) Surface and Interface Properties of PdCr/SiC
Schottky Diode Gas Sensor Annealed at 425◦ C. Solid-State Electron., vol. 42, pp. 2209–2214.
32. Lei JF (1991) Palladium–Chromium Strain Gauges, Static Strain Measurable at High Tem-
peratures. Plat. Met. Rev., vol. 35, pp. 65–69.
33. Hunter GW, Neudeck PG, Xu J, Lukco1 D, Trunek A, Artale M, Lampard P, Androjna D,
Makel D, Ward B, and Liu CC (2004) Development of SiC-based Gas Sensors for Aerospace
Applications. In: Dudley M et al. eds., Materials Research Society Symposium Proceedings,
Silicon Carbide 2004 – Materials, Processing, and Devices, Materials Research Society, War-
rendale, pp. 287–297.
34. Hunter GW, Xu J, Neudeck PG, Trunek A, Chen L, Spry D, Lukco D, Artale M, Lampard P,
Androjna D, Makel D, Ward B, and Liu CC (2005) An Overview of Relevant Technology
Development for SiC-based Based Gas Sensors Systems. In: Presented at the 207th Meeting
of the Electrochemical Society, May 15–20, 2005, Quebec City, Quebec.
35. Hunter GW, Xu JC, Liu CC, Hammond JW, Ward B, Lukco D, Lampard P, Artale M, and
Androjna D (2006) Miniaturized Amperometric Solid Electrolyte Carbon Dioxide Sensors,
ECS Transactions, vol. 3, Chemical Sensors 7 and MEMS/NEMS 7, P. Hesketh et al. eds, The
Electrochemical Society Inc., Pennington, pp. 203–214.
36. Yao S, Shimizu Y, Miura N, and Yamazoe N (1990) Solid Electrolyte CO2 Sensor Using Binary
Carbonate Electrode. Chem. Lett., vol. 19, pp. 2033–2036.
37. Holzinger M, Maier J, and Sitte W (1997) Potentiometric Detection of Complex Gases: Appli-
cation to CO2 . Solid State Ionics, vol. 94, pp. 217–225.
38. Chu WF, Fischer D, Erdmann H, Ilgenstein M, Koppen H, and Leohard V (1992) Thin and
Thick Film Electrochemical CO2 Sensors. Solid State Ionics, vol. 53–56, pp. 80–84.
39. Lee J.-S., Lee J.-H., and Hong S.-H. (2003) NASICON-Based Amperometric CO2 Sensor
Using Na2 CO3 –BaCO3 Auxiliary Phase. Sensors Actuators, B, vol. 96, pp. 663–668.
40. Yang Y and Liu CC (2000) Development of a NASICON-Based Amperometric Carbon Dioxide
Sensor. Sensors Actuators B, vol. 62, pp. 30–34.
41. Steudel E, Birke P, and Weppner W (1997) Miniaturized Solid State Electrochemical CO2
Sensors. Electrochim. Acta, vol. 42, pp. 3147–3153.
42. Bang Y, Son K, Huh J, Choi S, and Lee D (2003) Thin Film Micro Carbon Dioxide Sensor
Using MEMS Process. In: The 12th International Conference on Solid State Sensors, Actuators
and Microsystems, Boston, June 8–12, Transducers ’03, pp. 532–535.
43. Ward BJ, Liu CC, and Hunter GW (2003) Novel Processing of NASICON and Sodium Car-
bonate/Barium Carbonate Thin and Thick Films for a CO2 Microsensor. J. Mater. Sci., vol.
38, pp. 4289–4292.
44. Gardner JW and Bartlett PN (1994) A Brief History of Electronic Noses. Sensors Actuators B,
vol. 18, pp. 211–220.
45. Hunter GW (2003) Morphing, Self-Repairing Engines: A Vision for the Intelligent Engine
of the Future. In: AIAA/ICAS International Air & Space Symposium, 100th anniversary of
Flight, 14–17 July 2003, Dayton, OH, AIAA paper 2003–3045.
46. Nuisance Alarms in Aircraft Cargo Areas and Critical Telecommunications Systems: Pro-
ceeding of the Third NIST Fire Detector Workshop, Grosshandler WL ed., Gaithersburg, MD,
NISTIR 6146, Dec. 1997.
47. Blake D (2000) Aircraft Cargo Compartment Smoke Detector Alarm Incidents on U.S.-
Registered Aircraft, 1974–1999, FAA Report DOT/FAA/AR-TN00/29.
48. Grosshandler WL (1995) A Review of Measurements and Candidate Signatures for Early Fire
Detection, Nat. Inst. of Stand. And Tech., Gaithersburg, MD, January 1995, NISTIR 555.
49. Hunter GW, Xu JC, Greenberg P, Ward B, Carranza S, Makel D, Liu CC, Dutta P, Lee C,
Akbar S, Blake D (2004) Miniaturized Sensor Systems for Aerospace Fire Detection Applica-
tions, presented at the Fourth Aircraft Fire and Cabin Safety Research Conference in Lisbon,
Portugal.
50. Hunter GW, Oberle LG, Baakalini G, Perotti J, and Hong T (2005) Intelligent Sensor Systems
for Integrated System Health Management in Exploration Applications, First International
Forum on Integrated System Health Engineering and Management in Aerospace, Napa, CA.
8 Case Studies in Chemical Sensor Development 231
51. Blake D (2006) Development of a Standardized Fire Source for Aircraft Cargo Compartment
Fire Detection Systems, FAA Report DOT/FAA/AR-06/21, May 2006.
52. Okojie RS, Spry D, Krotine J, Salupo C, and Wheeler DR (2000) Stable Ti/TaSi2/Pt Ohmic
Contacts on N-Type 6H-SiC Epilayer at 600C in Air, Materials Research Society Symposia
Proceedings, MRS, Warrandale, PA: vol. 622, pp. H7.5.1–H7.5.6.
53. Liang-Yu C and Jih-Fen L (2002) Packaging of Harsh-Environment MEMS Devices, The
MEMS Handbook, Mohamed Gad-el-Hak ed., CRC Press, Boca Raton, 2002, Chapter 23.
54. Hunter GW, Okojie RS, Neudeck PG, Beheim GM,Ponchak GE, Fralick G, Wrbanek J, and
Chen LY (2006) High Temperature Electronics, Communications, and Supporting Technolo-
gies for Venus Missions, Proceedings of the Fourth Annual International Planetary Probe
Workshop, June 27–30, Pasadena, California.
55. Spry D, Neudeck P, Okojie R, Chen L, Beheim G, Meredith R, Mueller W, and Ferrier T
(2004) Electrical Operation of 6H-SiC MESFET at 500◦ C for 500 Hours in Air, Presented at
International Conference on High Temperature Electronics Conference 2004, Santa Fe, NM.
56. Powell JA, Neudeck PG, Trunek AJ, Beheim GM, Matus LG, Hoffman RW Jr., and Keys LJ
(2000) Growth of Step-Free Surfaces on Device-Size –(0001)-SiC Mesas, Appl. Phys. Lett.
vol. 77, pp. 1449–1451.
Glossary
Ambient: The gas composition which is dominant in the surrounding environment
(e.g. air, pure nitrogen, pure helium etc.).
Criticality 1: A safety term used to refer to operations whose failure can result in
loss of life/vehicle.
Detection Mechanism: The chemical and/or physical reaction by which a sensor
responds to a given chemical species.
Interfering gases: Gases which can cause a competing response in a sensor and
can thus mask or interfere in the sensor’s response to a given chemical species. For
example, many sensors that respond to hydrogen can also respond to carbon monox-
ide; thus carbon monoxide is an interfering gas in the measurement of hydrogen.
Lower explosive limit (LEL): The lowest concentration at which a flammable gas
becomes explosive. This limit depends on the flammable gas and the corresponding
amount of oxidant. For hydrogen in air, this limit is a hydrogen concentration of 4%.
MEMS: MicroElectroMechanical Systems, often used to refer to miniature systems
produced by microfabrication and micromachining technology.
Micromachining technology: The fabrication of three dimensional miniature struc-
tures using processing techniques such as etching.
Orthogonal: As used in this context, orthogonal sensors are sensors which pro-
vide information on the state of system using different sensing mechanisms with no
cross-sensitivity.
Response time: The time it takes for a sensor to respond to the environment. Since
some sensors never completely stabilize and reach a stable maximum value, often a
value equal to 90% the steady state value is cited.
Sensitivity: The amount of change in a sensor’s output from baseline to a given
chemical species.
Stability: The reproducibility and repeatability of a sensor signal and baseline over
time.
Thin Film: Typically, a film whose thickness is less than 1 micron.
Chapter 9
Engineered Nanopores
S. Nair
School of Chemical & Biomolecular Engineering, Georgia Institute of Technology, Atlanta GA
30332-0100
transmembrane channel (Fig. 9.1). The nanopore opening is ∼2.6 nm (on the left),
leading into a wider vestibule which then narrows to a limiting diameter of 1.5 nm
followed by an elongated cylindrical channel embedded in the lipid membrane. As
discussed later, biomolecule translocation has been found to be affected by both the
channel and vestibular regions
The apparatus for a typical ␣-HL nanopore experiment consists of two com-
partments filled with aqueous salt solutions separated by a lipid bilayer membrane.
Since the lipid bilayer is impermeable at this time, there is no measured ionic cur-
rent. ␣-HL is added to one compartment, and formation of the first nanopore can be
observed in about 5–30 minutes as evinced by a sudden rise in the measured ionic
current. At this point the compartment is flushed with fresh solution to prevent fur-
ther pore formation, and the analyte may be introduced for sensing measurements.
Figure 9.2 illustrates this setup and typical ionic current readings for different DNA
species. Sensing applications with ␣-HL nanopores have been developed for single-
molecule detection, identification, and quantification of a wide range of analytes
ranging from TNT to divalent metal ions to single stranded DNA and RNA [8–11].
In the first two cases, selective binding sites for the analytes are created (e.g., by
genetic engineering) so that the ionic current modulation is specific only to the
desired analyte and long-lived enough to be reliably observed. Measurements using
␣-HL also demonstrate identification and quantification of unknown analytes as well
as the ability to distinguish between related species through duration and amplitude
of current blockage.
In the case of DNA sensing, there are two potential ways in which nanopores
can be employed. The ultimate (and more difficult) objective is direct sequencing
by recognizing and distinguishing individual bases on a single DNA strand. This
method requires high enough sensitivity in measuring the ionic current change in
response to each base passing through the pore, such that the relative changes in
amplitude can be used to separate and identify every base in the sequence. The ␣-
HL nanopore is approximately 25 DNA bases in length. Although there is a limiting
constriction in the ␣-HL nanopore that has a length comparable to a single base,
the measurement noise (∼1 pA) is too high to detect individual bases as they pass
through the constriction (with a time scale of ∼1 s per base). Hence, the initial
236 A. G. Ahmadi, S. Nair
Fig. 9.2 Prototype setup for a-HL DNA sensor [12]. An example measurement of ionic current
amplitude and blockage duration for polyA, polyU, polyC 100-mers
focus has been on using the nanopore to determine the length of DNA strands.
This would allow coupling of nanopore detectors to the well established technique
of polymerase chain reaction (PCR). Thus, nanopore detectors could replace the
current processing of PCR-generated samples by gel or capillary electrophoresis.
Accordingly, nanopore detectors will require the capability to distinguish a DNA
strand of length N bases from a strand with N+1 bases.
Work in the latter direction has taken multiple approaches; important steps
include demonstrating the ability to distinguish between DNA molecules of slightly
different lengths and/or compositions [12]. In one study using ␣-HL nanopores,
six DNA samples 100 bases in length but with varying compositions were shown
to have distinguishable statistical translocation properties, even in cases where
the overall compositions of two DNA molecules were identical while the base
sequences differed (Fig. 9.3). The ionic current data from repeated translocation
events is collected and interpreted in the form of a histogram or event diagram.
A translocation event is indicated by a drop in current, the duration of which is
assumed to be directly proportional to the length of the strand. The varying ampli-
tude of the events may also be useful in identifying specific bases or sets of bases
along the strand.
Other experiments have used strategies to slow down the translocation of DNA
through the pore, thus allowing data collection from a larger number of ions as each
bases passes the pore constriction. This would presumably increase the signal-to-
noise ratio to allow DNA strand lengths to be distinguished with higher resolution,
or even allow individual bases to be distinguished. For example, DNA ‘hairpins’ are
single strands with a small portion of the sequence (at the end of the strand) being
composed of two blocks of complementary bases. Thus, the end of the strand curls
back on itself to create a ‘hairpin’. The hairpin portion is too large to travel through
the constriction, and hence is trapped in the vestibule while the single-stranded por-
tion partially traverses the pore. Considering the time taken by the hairpin portion to
dissociate and follow the rest of the strand through the pore, there is an, overall 5- to
10-fold decrease in the translocation rate. Research has shown that with the hairpin
approach, the ionic current readouts from strands varying only by a single base in
length are distinguishable from each other [14, 15]. Additionally, strands identical
in length and composition except for a single base mismatch in the duplex, can also
be distinguished.
These advances show promise for ␣-HL in nanopore sensing applications. How-
ever, there are intrinsic disadvantages in working with nanopores made from soft
matter [7, 16, 17]. The ␣-HL nanopore is not very robust and cannot be maintained
for extended periods (greater than 1 day). Although advances towards single-base
resolution have been made, the limiting signal-to-noise ratio issues (caused by fast
translocation and low ionic conductivity) continue to hinder their applications to
DNA sequencing. Additionally, computer simulations of DNA transport through
the ␣-HL nanopore have revealed their intrinsic structural limitations. Brownian
dynamics simulations of DNA translocation through ␣-HL pores correctly pre-
dicted the presence of multiple peaks and long tails in translocation time distribution
curves commonly observed in experiments (e.g., insets in Fig. 9.3). These features
are undesirable since it then becomes impossible to distinguish strands differing
slightly in length. The simulation studies indicate that the pore geometry, particu-
larly the vestibule (Fig. 9.1), is the primary source of the low length-resolution of
the nanopore. Due to the large volume available in the vestibule, the translocating
strand can adopt a large number of configurations as it passes through the pore, thus
resulting in a wide translocation time distribution. This finding was further sup-
ported by carrying out simulations of DNA translocation through a smooth cylindri-
cal nanotube of comparable size, in which case a much narrower translocation time
distribution was observed [18]. In conclusion, degradability and intrinsic limits on
resolution due to complex pore geometry are the main problems in DNA sizing or
sequencing with ␣-HL nanopores. These issues have led to a shift towards fabri-
cation of solid-state inorganic nanopores that allow greater robustness and better
control over pore geometry.
Fig. 9.4 Opening up a nanopore in a free-standing silicon nitride membrane using a focused ion
beam, and the dual beam setup with detection and feedback mechanism [19]
240 A. G. Ahmadi, S. Nair
Fig. 9.5 Basic fabrication steps, opening a large window in silicon to expose the nitride from the
bottom, followed by patterning and etching of the topside, and using high voltage TEM to make
the nanopore [21]
9 Engineered Nanopores 241
Fig. 9.6 Time distribution of current blockades in 10 nm pore indicative of simple translocation
and folding during translocation respectively [20]
Preliminary DNA sensing experiments with solid-state ENDs have verified that
translocation is actually taking place. Data is analyzed in event diagrams similar
to those obtained with ␣-HL nanopores. Effects of variation of important factors
such as temperature, pH, voltage, and salt concentration on translocation have been
studied (note that biological nanopores have much lower tolerance for changes in pH
and temperature). For example, experiments in which pore sizes of 3 nm and 10 nm
were compared [20] demonstrate that a portion of the DNA traversing the larger
pore experienced folding during translocation. As might be expected, the current
blockade is approximately doubled since the pore accommodates twice the number
of bases at a given time. The time distribution plot of this phenomenon is shown
in Fig. 9.6. Experiments carried out at varying pH have demonstrated capabilities
of solid-state ENDs to discriminate between single-stranded and double-stranded
DNA [24].
The use of nanoscale transport theory and simulation techniques can provide an
important tool in understanding and optimizing both biological and solid-state
ENDs. At a first level, modeling and simulation can assist in the quantitative expla-
nation of experimental results and probing the effects of parameters that may be
242 A. G. Ahmadi, S. Nair
benefits (mainly increased resolution and better reproducibility) of the shift towards
cylindrical solid-state nanopores (Fig. 9.8). This work showed that the vestibule
of the ␣-hemolysin nanopore in fact creates an entropic trap for the traversing
chain, allowing a number of different chain configurations in successive translo-
cation events and leading to a wide translocation time distribution. The cylindrical
nanotube leads to a much lower entropy for the translocating chain.
Molecular Dynamics (MD) is another simulation tool used to study translocation
dynamics in ENDs. Here the system is modeled at a detailed atomistic level with
the interatomic forces being described by detailed force field models [31]. Thus,
Fig. 9.8 Simulated translocation time distributions for a-HL and a nanotube [29]
244 A. G. Ahmadi, S. Nair
(a)
Region I II III IV
(b )
Fig. 9.9 (a) Simulated DC translocation time distribution of a sample containing eleven base
lengths between 2000 and 2100, showing a coarse resolution. (b) Simulated translocation time
distribution for an optimal stimulus that clearly resolves the two base lengths (2000 and 2010) in
Region I. Optimal stimuli are generated for each of the four regions, allowing a complete analysis
of the sample [41]
current DNA sequencing technology. There are also a number of other applications
wherein single-nucleotide resolution is not required. For example, ENDs with func-
tionalized sites can be employed to detect extremely small levels of toxic agents such
as the anthrax lethal factor (LF) and edema factor (EF) [43], based upon modula-
tion of END properties (such as ionic current) by specific binding events occurring
inside (or in the vicinity of) the nanopore. The use of ␣-hemolysin nanopores with
various molecular ‘adapters’ has been shown to lead to new biomolecule sensors
that offer much higher sensitivity, much faster response, single-molecule resolution,
and potentially lower cost, than conventional biomolecule sensors based on mea-
suring the collective response from a macroscopic ensemble of sensing/detection
sites [5, 44, 45]. Similarly, solid-state nanopores fabricated from materials such as
silicon dioxide, could be functionalized [46] with biomolecules of various types
and used to analyze proteins [47–49], viruses, and other biomolecular analytes
with all the advantages (e.g., speed, sensitivity) offered by ENDs. Furthermore,
future ENDs need not be restricted to measurements of ionic current modulation.
Other proposed detection methods, such as transverse electron tunneling between
metal electrodes on the nanopore walls [50] and fluorescence resonance elec-
tron transfer (FRET) measurements [51] between quantum dots embedded in the
nanopore walls, may lead to entirely new capabilities that cannot be achieved by
ionic current measurements alone. Concurrently, advances in operation and con-
trol methodologies for nanopore operation [41, 42] can allow optimal operation of
the END. Figure 9.10 is a schematic of the possible appearance of a future END
device.
Driving electrode
Signal Processor
and Controller
Ions
Outputs
Electron-Tunneling
measurement
Quantum Dot
Energy-Transfer
measurement
Ion Current
measurement
Fig. 9.10 Conceptual schematic of a future Engineered Nanopore Device (END)
9 Engineered Nanopores 247
References
1. Aidley, D.J. and P.R. Stanfield, Ion Channels: Molecules in Action. 1996: Cambridge, MA:
Cambridge University Press.
2. Davis, M.E., Ordered porous materials for emerging applications. Nature, 2002. 417(6891):
pp. 813–821.
3. Baughman, R.H., A.A. Zakhidov, and W.A. de Heer, Carbon nanotubes – The route toward
applications. Science, 2002. 297(5582): pp. 787–792.
4. Goldberger, J., R. Fan, and P.D. Yang, Inorganic nanotubes: A novel platform for nanofluidics.
Accounts of Chemical Research, 2006. 39(4): pp. 239–248.
248 A. G. Ahmadi, S. Nair
5. Kasianowicz, J.J., Nanometer, scale pores: Potential applications for analyte detection and
DNA characterization. Disease Markers, 2002. 18(4): pp. 185–191.
6. Collins, F.S., E.D. Green, A.E. Guttmacher, and M.S. Guyer, A vision for the future of
genomics research. Nature, 2003. 422(6934): pp. 835–847.
7. Deamer, D.W. and M. Akeson, Nanopores and nucleic acids: prospects for ultrarapid
sequencing. Trends in Biotechnology, 2000. 18(4): pp. 147–151.
8. Braha, O., B. Walker, S. Cheley, J.J. Kasianowicz, L.Z. Song, J.E. Gouaux, and H. Bayley,
Designed protein pores as components for biosensors. Chemistry & Biology, 1997. 4(7):
pp. 497–505.
9. Guan, X.Y., L.Q. Gu, S. Cheley, O. Braha, and H. Bayley, Stochastic sensing of TNT with a
genetically engineered pore. Chembiochemistry, 2005. 6(10): pp. 1875–1881.
10. Butler, T.Z., J.H. Gundlach, and M. Troll, Translocation of RNA block-copolymers through the
alpha hemolysin nanopore. Biophysical Journal, 2005. 88(1): pp. 347A–347A.
11. Butler, T.Z., J.H. Gundlach, and M.A. Troll, Determination of RNA orientation during translo-
cation through a biological nanopore. Biophysical Journal, 2006. 90(1): pp. 190–199.
12. Akeson, M., D. Branton, J.J. Kasianowicz, E. Brandin, and D.W. Deamer, Microsecond time-
scale discrimination among polycytidylic acid, polyadenylic acid, and polyuridylic acid as
homopolymers or as segments within single RNA molecules. Biophysical Journal, 1999. 77(6):
pp. 3227–3233.
13. Meller, A., L. Nivon, E. Brandin, J. Golovchenko, and D. Branton, Rapid nanopore discrim-
ination between single polynucleotide molecules. Proceedings of the National Academy of
Sciences of the United States of America, 2000. 97(3): pp. 1079–1084.
14. Vercoutere, W., S. Winters-Hilt, H. Olsen, D. Deamer, D. Haussler, and M. Akeson, Rapid
discrimination among individual DNA hairpin molecules at single-nucleotide resolution using
an ion channel. Nature Biotechnology, 2001. 19(3): pp. 248–252.
15. Vercoutere, W.A., M. Akeson, H. Olsen, and D.W. Deamer, Analysis of hairpin structures
within single DNA molecules using a nanopore detector. Biophysical Journal, 2000. 78(1):
pp. 402A–402A.
16. Marziali, A. and M. Akeson, New DNA sequencing methods. Annual Review of Biomedical
Engineering, 2001. 3: pp. 195–223.
17. Vercoutere, W. and M. Akeson, Biosensors for DNA sequence detection. Current Opinion in
Chemical Biology, 2002. 6(6): pp. 816–822.
18. Kong, C.Y. and M. Muthukumar, Modeling of polynucleotide translocation through protein
pores and nanotubes. Electrophoresis, 2002. 23(16): pp. 2697–2703.
19. Li, J., D. Stein, C. McMullan, D. Branton, M.J. Aziz, and J.A. Golovchenko, Ion-beam
sculpting at nanometre length scales. Nature, 2001. 412(6843): pp. 166–169.
20. Li, J.L., M. Gershow, D. Stein, E. Brandin, and J.A. Golovchenko, DNA molecules and con-
figurations in a solid-state nanopore microscope. Nature Materials, 2003. 2(9): pp. 611–615.
21. Krapf, D., M.Y. Wu, R.M.M. Smeets, H.W. Zandbergen, C. Dekker, and S.G. Lemay, Fab-
rication and characterization of nanopore-based electrodes with radii down to 2 nm. Nano
Letters, 2006. 6(1): pp. 105–109.
22. Heng, J.B., A. Aksimentiev, V. Dimitrov, Y. Grinkova, C. Ho, P. Marks, K. Schulten, S. Sligar,
and G. Timp, Stretching DNA using an artificial nanopore. Biophysical Journal, 2005. 88(1):
pp. 659A–659A.
23. Heng, J.B., A. Aksimentiev, C. Ho, V. Dimitrov, T.W. Sorsch, J.F. Miner, W.M. Mansfield,
K. Schulten, and G. Timp, Beyond the gene chip. Bell Labs Technical Journal, 2005. 10(3):
pp. 5–22.
24. Heng, J.B., C. Ho, T. Kim, R. Timp, A. Aksimentiev, Y.V. Grinkova, S. Sligar, K. Schulten,
and G. Timp, Sizing DNA using a nanometer-diameter pore. Biophysical Journal, 2004. 87(4):
pp. 2905–2911.
25. Keyser, U.F., B.N. Koeleman, S. Van Dorp, D. Krapf, R.M.M. Smeets, S.G. Lemay, N.H.
Dekker, and C. Dekker, Direct force measurements on DNA in a solid-state nanopore. Nature
Physics, 2006. 2(7): pp. 473–477.
9 Engineered Nanopores 249
26. Mara, A. and Z. Siwy, An asymetric nanopore for biomolecular sensing. Biophysical Journal,
2004. 86(1): pp. 603A–603A.
27. Yan, H. and B.Q. Xu, Towards rapid DNA sequencing: Detecting single-stranded DNA with a
solid-state nanopore. Small, 2006. 2(3): pp. 310–312.
28. Tian, P. and G.D. Smith, Translocation of a polymer chain across a nanopore: A
Brownian dynamics simulation study. Journal of Chemical Physics, 2003. 119(21): pp.
11475–11483.
29. Muthukumar, M. and C.Y. Kong, Simulation of polymer translocation through protein chan-
nels. Proceedings of the National Academy of Sciences of the United States of America, 2006.
103(14): pp. 5273–5278.
30. Kong, C.Y. and M. Muthukumar, Simulations of stochastic sensing of proteins. Journal of the
American Chemical Society, 2005. 127(51): pp. 18252–18261.
31. Randel, R., H.C. Loebl, and C.C. Matthai, Molecular dynamics simulations of polymer
translocations. Macromolecular Theory and Simulations, 2004. 13(5): pp. 387–391.
32. Aksimentiev, A., K. Schulten, J. Heng, C. Ho, and G. Timp, Molecular dynamics simulations
of a nanopore device for DNA sequencing. Biophysical Journal, 2004. 86(1): pp. 480A–480A.
33. Muthukumar, M., Polymer escape through a nanopore. Journal of Chemical Physics, 2003.
118(11): pp. 5174–5184.
34. Slonkina, E. and A.B. Kolomeisky, Polymer translocation through a long nanopore. Journal
of Chemical Physics, 2003. 118(15): pp. 7112–7118.
35. Flomenbom, O. and J. Klafter, Single stranded DNA translocation through a nanopore: A
master equation approach. Physical Review E, 2003. 68(4): art. no. 041910.
36. Grosberg, A.Y., S. Nechaev, M. Tamm, and O. Vasilyev, How long does it take to pull an ideal
polymer into a small hole? Physical Review Letters, 2006. 96(22): art. no. 228105.
37. Wolterink, J.K., G.T. Barkema, and D. Panja, Passage times for unbiased polymer transloca-
tion through a narrow pore. Physical Review Letters, 2006. 96(20): art. no. 208301.
38. Fologea, D., J. Uplinger, B. Thomas, D.S. McNabb, and J.L. Li, Slowing DNA translocation
in a solid-state nanopore. Nano Letters, 2005. 5(9): pp. 1734–1737.
39. Chen, C.M., Driven translocation dynamics of polynucleotides through a nanopore: Off-lattice
Monte-Carlo simulations. Physica A-Statistical Mechanics and its Applications, 2005. 350(1):
pp. 95–107.
40. Chen, C.M. and E.H. Peng, Nanopore sequencing of polynucleotides assisted by a rotating
electric field. Applied Physics Letters, 2003. 82(8): pp. 1308–1310.
41. Bhattacharya, S., S. Nair, and A. Chatterjee, An Accurate DNA Sensing and Diagnosis
Methodology Using Fabricated Silicon Nanopores. IEEE Transactions on Circuits & Sys-
tems – I: Special Issue on Advances in Life Science Systems and Applications, 2006. 53(11):
pp. 2377–2383.
42. Bhattacharya, S., V. Natarajan, A. Chatterjee, and S. Nair, Efficient DNA sensing with fab-
ricated silicon nanopores: diagnosis methodology and algorithms. Proceedings of the 19th
International Conference on VLSI Design, Hyderabad, India 2006.
43. Halverson, K.M., R.G. Panchal, T.L. Nguyen, R. Gussio, S.F. Little, M. Misakian, S. Bavari,
and J.J. Kasianowicz, Anthrax biosensor, protective antigen ion channel asymmetric blockade.
Journal of Biological Chemistry, 2005. 280(40): pp. 34056–34062.
44. Bayley, H. and P.S. Cremer, Stochastic sensors inspired by biology. Nature, 2001. 413(6852):
pp. 226–230.
45. Kasianowicz, J.J., Nanopores – Flossing with DNA. Nature Materials, 2004. 3(6):
pp. 355–356.
46. Nilsson, J., J.R.I. Lee, T.V. Ratto, and S.E. Letant, Localized functionalization of single
nanopores. Advanced Materials, 2006. 18(4): pp. 427–431.
47. Han, A.P., G. Schurmann, G. Mondin, R.A. Bitterli, N.G. Hegelbach, N.F. de Rooij, and
U. Staufer, Sensing protein molecules using nanofabricated poresn. Applied Physics Letters,
2006. 88(9): art. no. 093901.
48. Harrell, C.C., Z.S. Siwy, and C.R. Martin, Conical nanopore membranes: Controlling the
nanopore shape. Small, 2006. 2(2): pp. 194–198.
250 A. G. Ahmadi, S. Nair
49. Harrison, O., B. Ledden, J. Uplinger, B. Thomas, T. Mitsui, D.S. McNabb, J. Golovchenko,
and J.L. Li, Probing single polypeptides with a solid state nanopore sensor. Biophysical Jour-
nal, 2004. 86(1): pp. 480A–480A.
50. Lagerqvist, J., M. Zwolak, and M. Di Ventra, Fast DNA sequencing via transverse electronic
transport. Nano Letters, 2006. 6(4): pp. 779–782.
51. Chan, E.Y., Advances in sequencing technology. Mutation Research-Fundamental and Molec-
ular Mechanisms of Mutagenesis, 2005. 573(1–2): pp. 13–40.
52. Li, J.L., D. Stein, C. Qun, E. Brandin, A. Huang, H. Wang, D. Branton, and J. Golovchenko,
Solid state nanopore as a single DNA molecule detector. Biophysical Journal, 2003. 84(2):
pp. 134A–135A.
53. Chen, L.M., P.C. Li, X.L. Fu, H.Y. Zhang, L.H. Li, and W.H. Tang, Fast fabrication of large-
area nanopore arrays by FIB. Acta Physica Sinica, 2005. 54(2): pp. 582–586.
Chapter 10
Engineering Biomaterial Interfaces
Through Micro and Nano-Patterning
10.1 Introduction
Patterning biomaterial surfaces with synthetic topographical and chemical features
provides a means of engineering cell-biomaterial interfaces. A precisely engineered
biomaterial interface can provide controlled interaction with biological analytes in
W. P. King
Department of Mechanical Science and Engineering, University of Illinois Urbana-Champaign
Urbana, IL 61801
e-mail: wpk@uiuc.edu
biosensors, cues for cellular growth in tissue engineering scaffolds, and largely
determines the biological response to implanted devices.
Cells respond to external mechanical and chemical cues either within an in vivo
environment via interactions with extracellular matrix (ECM) or with a biomaterial
surface via mechanical and chemical features at the cell-biomaterial interface. Sur-
face mechanical features can be classified either as roughness or topography. Sur-
face roughness is comprised of 3-D features possessing randomness in size, shape,
and periodicity, whereas surface topography possesses well-defined 3-D features
of deliberately designed size, shape, and organization with a regular periodicity.
Surface chemical patterns are defined by their chemical composition, as well as
their feature size, shape, and periodicity. Various patterning techniques can produce
surface topography on cell substrates with a wide variety of feature shapes and sizes
[1,2] and a variety of chemical patterns that influence cellular function [3]. Although
roughness, topography, and chemistry all affect cellular response [4, 5], topography
and chemical patterns applied to cell substrate surfaces provide user-defined and
well-characterized substrates for the investigation of specific cell responses to sur-
faces.
This chapter reviews both cell substrate surface patterning techniques and cel-
lular responses to substrate surface patterns. The review focuses on top–down
patterning methods for cell culture substrates, as they provide methodologies for
deliberate and user-configurable feature geometries in well-controlled models for
cellular study. The techniques section discusses traditional cleanroom microfabrica-
tion methods, such as photolithography and electron beam lithography, for pattern-
ing both topography and chemistry. Additional topographical patterning techniques
include molding methods such as injection molding, casting, and imprint lithogra-
phy. Discussion of non-cleanroom chemical patterning techniques includes various
methods with an emphasis on micro-contact printing. Methods of independently
patterning chemistry and topography are also discussed.
Additionally this review discusses the response of cells to synthetic surface pat-
terns. For response of cells to topography, the review focuses on ‘contact guid-
ance’ of cells to surface features and includes effects of topography on higher-order
responses such as proliferation and differentiation. For response of cells to chemi-
cal patterns, the review discusses restriction of location and shape, and consequent
influence on adhesion and cell–cell contact, as well as modulation of apoptosis,
proliferation, and differentiation. Evaluation of relative influence of and interplay
between topographical and chemical patterns is also discussed.
nanoscale feature sizes present in cellular components and ECM. High throughput
fabrication processes provide sufficient numbers of samples to provide statisti-
cally, and potentially clinically, relevant sample sizes for biological assays. Pat-
terning techniques for both topography and chemical patterns fall into two main
sub-categories: 1) cleanroom methods based on traditional microfabrication or 2)
non-traditional techniques that do not depend on cleanroom methods.
Fig. 10.1 Masked cleanroom-based methods of topographic patterns resulted in cell substrates of
various materials. Masked ion beam etching of PMMA [6], direct photopatterning of polyimide on
glass [9], photolithography and subsequent chemical etching of titanium [10], and photolithogra-
phy and subsequent reactive ion etching of quartz [11], are various approaches. Images from [6]
reprinted with kind permission of Springer Science and Business Media. Images from [9, 11]
reprinted from Biomaterials with permission from Elsevier
254 J. L. Charest, W. P. King
10.2.1.2 Photolithography
Photolithography creates microscale patterns on a substrate by selectively exposing
areas of a photo-active polymer resist coating. The exposed material is then removed
chemically or thermally to produce the pattern. Typically, the photopatterning is fol-
lowed by a subsequent etching step to transfer the polymer pattern into the substrate
material, resulting in surface topographic features on the substrate.
Photolithography has patterned cell substrates possessing features of square
grooves, V-grooves, and pits ranging in size from .5 m through several hundred m
[1]. Early photopatterned cell substrates possessed microscale grooves ranging from
70 to 165 m etched into silicon with epithelial cells cultured on the microgrooves
aligning to them [7,8]. Figure 10.1 shows examples where more recent photolithog-
raphy has directly patterned polyimide channels [9], and patterned circular pits for
subsequent chemical etching of titanium [10] reactive ion etching of quartz [11].
The resolution of photolithography has been extended to create features as small
as 130 nm by substituting X-ray radiation for ultraviolet light and exposing the resist
through a holographically produced mask [12]. As some cellular features possess
length scales below 100 nm, it is critical to explore cellular response to features
with nanoscale dimensions, requiring a technique with better resolution than pho-
tolithography. Photolithography is also limited by expensive cleanroom facilities
and a subsequent etching step thereby slowing throughput and predominantly lim-
iting material selection to silicon, glass, or quartz which are not readily applied to
biomaterial applications.
Fig. 10.3 Molding techniques replicated molds in a low-cost, high-throughput fashion. Techniques
employed various materials such as solvent casting 2 m wide grooves in polystyrene [21], epoxy
casting of 34 m wide squares with discontinuous edges [78], casting 33 m wide pyramids in
PDMS [26], and hot-embossing 4 m wide grooves into polyimide [31]. Image from [78] reprinted
with kind permission of Springer Science and Business Media. Images from [26,31] reprinted with
permission from Elsevier
10.2.4.1 Photolithography
Chemical patterning through photolithography has produced substrates through
both direct and indirect patterning approaches. Photopatterning of a protein has
resulted in a substrate capable of a limited-interaction co-culture of cells [37].
Post-photopatterning liftoff resulted in lanes of collagen, surrounded by non-
functionalized borosilicate. Cell adhesion was then modulated by seeding without
serum, restricting strong cell adhesion to the collagen lanes, then seeding a second
cell type with serum to allow adhesion to the non-functionalized areas. In this way,
cell types were confined to specific areas thereby controlling heterotypic cell–cell
interactions. Indirect photopatterning by liftoff of a polyethylene glycol (PEG)
silane SAM from a glass substrate resulted in bare glass adhesive areas surrounded
by PEG [38] as shown in Fig. 10.4. Since PEG typically suppresses attachment of
cells, seeding of cells on the substrate resulted in restriction of cells to the bare glass.
Simple patterns have been created through photopatterning and liftoff of metals,
with circular patterns of aluminum on a niobium background [39] as illustrated in
Fig. 10.4.
Beyond patterns that either suppress or promote cell adhesion, photopatterning
of a specifically designed photo-active biotin resulted in precise geometric shapes
of biotin-presenting SAMs that enabled further specific interaction [40]. After bind-
ing of avidin to the biotin layer, further biotin-conjugated antibodies were bound
258 J. L. Charest, W. P. King
Fig. 10.4 Photolithography patterned chemistry through different subsequent steps for different
chemistries such as liftoff of PEG SAMs yielding 25 m wide rectangles of exposed glass [38],
liftoff of metal to produce aluminum dots on a niobium background [39], and directly photolink-
able biotin to create biospecifically adhesive lanes [40]. Image from [38, 40] reprinted with kind
permission of Springer Science and Business Media. Image from [39] reprinted with permission
from Elsevier
Fig. 10.5 EBL produced sub-micron chemical features. Collagen adsorbed to methyl-terminated
SAMs patterned through EBL exposed resist [43], and protein-coated spheres adsorbed to areas
where PEG SAMs were ablated by EBL [45]. Rightmost images reprinted from [45], copyright
2006 American Chemical Society
Several techniques have emerged that have specific advantages. Mechanical scrap-
ing of collagen has produced 50 m wide lanes in a very inexpensive and simple
manner [46]. Implantation of biologically relevant ions has been demonstrated for
microscale patterns [6]. Stencil peeling has selectively removed cells or proteins
from a substrate resulting in defined patterns of microscale dimensions [47]. The
stencil, patterned through photolithography and subsequent etching, consisted
of a thin layer of parylene adhered to a substrate before cell seeding or protein
adsorption. Biologically-active lipid bilayers as small as 1.3 m have been pat-
terned through stencil peeling [48]. Since the patterning of the stencil occured
before cell seeding, this technique provided a method to pattern live cells directly.
Focused ion beams (FIB) have been used to induce localized topographical changes
in gallium arsenide substrates that permit selective adsorption of protein into
dot formations of approximately 100 nm diameter [49]. Similarly, microscale
patterns of cell adhesive areas have been patterned using FIB ion implantation
on polyhydroxymethylsiloxane [50]. Both processes required only one patterning
step with the potential for nanoscale feature dimensions, however the resulting
patterns were substrate material dependent and limited material selection. Dip-pen
nanolithography (DPN), has created 100 nm patterns of mercaptohexadecanoic acid
(MHA), with surrounding areas passivated by a PEG-terminated monolayer [51].
Specifically, 200 nm patterns of MHA coated in a fibronectin fragment served as
patterning for cellular focal adhesions. While DPN produces nanoscale chemical
patterns and is relatively substrate independent, the serial nature of the process
limits its throughput.
260 J. L. Charest, W. P. King
size, and shape. Since SAMs have been characterized for specific protein adsorption
and activity [60, 61], indirect CP can provide a well-controlled chemical model
layer in addition to geometrical patterning. Indirect CP has demonstrated pattern
sizes as small as .3 m squares by printing methyl-terminated SAMs [62]. Printing
of an adhesive SAM, followed by backfilling with PEG-terminated SAM, resulted
in control of adhesive island sizes. The adhesive island sizes in turn precisely con-
trolled cell spread area to several designated increments in order to quantitatively
study effects of cell spreading area on cell function [63]. Precise control of cell
262 J. L. Charest, W. P. King
size and shape through indirect CP of adhesive islands has resulted in providing
consistency to adhesion studies [64] and modulating adhesion strength through con-
trolling available cell spreading area [65]. Indirect CP has also produced stable
patterns, as CP MHA surrounded by PEG areas showed good pattern fidelity over
an 89 hour cell culture [66]. Like direct CP, indirect CP is a non-cleanroom,
high-throughput, and stable chemical patterning method with the added feature of a
possessing a well-defined underlying chemical model layer.
Fig. 10.7 Cell substrates with independently patterned chemistry and topography. Embossed
microgrooves ran perpendicular to CP lanes, and CP dots and lanes were printed indepen-
dently of the underlying embossed nanogrooves. Left image reprinted from [73] with permission
from Elsevier, right image reused with permission from [32] Copyright 2005, AVS The Science &
Technology Society
Fig. 10.8 Quantified alignment of cell components on embossed microscale grooves. Cell bodies
were shown via membrane stain, nuclei via DNA stain, and focal adhesions through vinculin stain-
ing with accompanying histograms of alignment angles. Histograms were of uniform distribution
for cells on smooth samples. Image reprinted from [31] with permission from Elsevier
narrow as 330 nm, with the fraction of aligned cells similar for grooves with pitches
ranging from 400 to 2000 nm and a depth of 600 nm [16]. However, when the groove
depth was decreased to 150 nm, the fraction of aligned cells remained similar for
pitches ranging from 400 to 4000 nm indicating that sensitivity to depth and pitch
may be interrelated. Using a similar substrate with 600 nm deep grooves, keratocytes
aligned similarly for groove pitches ranging from 800 to 4000 nm, with significantly
lower alignment levels on 400 nm pitch grooves, indicating the significance of fea-
ture dimensions may also depend on cell type [84]. Although cells display varying
levels of sensitivity dependent upon topographic feature parameters, cells have
responded to some extent on groove widths as small as 100 nm [32, 35]. Cellular
alignment or response to groove widths less than 100 nm has yet to be established.
similar to cells have controlled the shape of cells to rectangles [53], with precise con-
trol of cell shape restricted to teardrop shaped patterns [95] as well as squares, tri-
angles, and other shapes [96]. Patterning adhesive islands of sub-cellular dimension
has also influenced cell spreading, location, and shape. Although cells spanned sev-
eral adhesive islands of sub-cellular dimension, the preferential adhesion to islands
has controlled overall cell location [51], concentration of cell receptors [97] and
even cell shape [62]. Cell location has been controlled by providing chemical pat-
terns conducive to cell adhesion such as laminin lanes [98], irradiated areas of poly-
mer with enhanced adhesive properties [50], and multiple chemistries with varying
propensities for cell adhesion [41]. Selective removal of cells has also patterned cell
location by using lift off techniques [37] and temperature responsive materials [99]
to remove cells after seeding. Patterning of hexagonal adhesive islands onto a lens
capsule demonstrated control of cell location on non-synthetic substrates of human
tissue [100].
Figure 10.10 shows images and quantitative data that indicate more focal adhe-
sions were observed on cells with cell–cell contact, as compared to single cells,
with the effect abrogated by blocking VE-cadherin. Cell–cell contact in neurons has
been achieved through CP of ECM in a neural network formation [103]. Neu-
rons preferentially adhered at patterned nodes, with axons and dendrites extended
along patterned lanes, allowing connection of cells at adjacent nodes. The result was
a prescribed network of interconnected neurons, controlled through the chemical
patterns.
Fig. 10.12 Osteoblast response to independently patterned chemical and topographical patterns.
Cells aligned to grooves on a grooved substrate with uniform chemistry (A) and to grooves on
a grooved substrate with 10 m chemical dots (B). However, on a grooved substrate with 10 m
lanes, alignment modulated to the chemical lanes [32]. Reused with permission from Joseph L.
Charest, Marcus T. Eliason, Andrés J. García, William P. King, A. Alec Talin, and Blake A. Sim-
mons, Journal of Vacuum Science & Technology B, 23, 3011 (2005). Copyright 2005, AVS The
Science & Technology Society
10 Engineering Biomaterial Interfaces 271
References
1. Flemming RG, Murphy CJ, Abrams GA, Goodman SL, Nealey PF. Effects of syn-
thetic micro- and nano-structured surfaces on cell behavior. Biomaterials 1999;20(6):
573–588.
2. Curtis A, Wilkinson C. Topographical control of cells. Biomaterials 1997;18(24):1573–1583.
3. Falconnet D, Csucs G, Grandin HM, Textor M. Surface engineering approaches to micropat-
tern surfaces for cell-based assays. Biomaterials 2006;27(16):3044–3063.
4. Schwartz Z, Boyan BD. Understanding mechanisms at the bone-biomaterial interface. Jour-
nal of Cellular Biochemistry 1994;56:340–347.
5. Allen LT, Fox EJP, Blute I, Kelly ZD, Rochev Y, Keenan AK, et al. Interaction of soft con-
densed materials with living cells: Phenotype/transcriptome correlations for the hydrophobic
effect. PNAS 2003;100(11):6331–6336.
6. He W, Gonsalves KE, Batina N, Poker DB, Alexander E, Hudson M. Micro/nanomachining
of polymer surface for promoting osteoblast cell adhesion. Biomedical Microdevices
2003;5(2):101–108.
7. Brunette DM, Kenner GS, Gould TRL. Grooved titanium surfaces orient growth
and migration of cells from human gingival explants. Journal of Dental Research
1983;62(10):1045–1048.
8. Brunette DM. Fibroblasts on micromachined substrata orient hierarchically to grooves of
different dimensions. Experimental Cell Research 1986;164(1):1–26.
9. Mahoney MJ, Chen RR, Tan J, Saltzman WM. The influence of microchannels on neurite
growth and architecture. Biomaterials 2005;26:771–778.
10. Zinger O, Zhao G, Schwartz Z, Simpson J, Wieland M, Landolt D, et al. Differential regula-
tion of osteoblasts by substrate microstructural features. Biomaterials 2005;26:1837–1847.
11. Berry CC, Campbell G, Spadiccino A, Robertson M, Curtis ASG. The influ-
ence of microscale topography on fibroblast attachment and motility. Biomaterials
2004;25:5781–5788.
12. Clark P, Connolly P, Curtis ASG, Dow JAT, Wilkinson CDW. Cell guidance by ultrafine
topography in vitro. Journal of Cell Science 1991;99:73–77.
13. Broers AN, Hoole ACF, Ryan JM. Electron beam lithography – Resolution limits. Micro-
electronic Engineering 1996;32(1–4):131–142.
14. Rajnicek AM, Britland S, McCaig CD. Contact guidance of CNS neurites on grooved
quartz: Influence of groove dimensions, neuronal age and cell type. Journal of Cell Science
1997;110:2905–2913.
15. Diehl KA, Foley JD, Nealey PF, Murphy CJ. Nanoscale topography modulates corneal
epithelial cell migration. Journal of Biomedical Materials Research A 2005;75(3):603–611.
16. Teixeira AI, Abrams GA, Bertics PJ, Murphy CJ, Nealey PF. Epithelial contact guid-
ance on well-defined micro- and nanostructured substrates. Journal of Cell Science
2003;116(10):1881–1892.
17. Xu Q, Mayers BT, Lahav M, Vezenov DV, Whitesides GM. Approaching zero: Using frac-
tured crystals in metrology for replica molding. Journal of the American Chemical Society
2005;127(3):854–855.
10 Engineering Biomaterial Interfaces 273
18. Gadegaard N, Mosler S, Larsen NB. Biomimetic polymer nanostructures by injection mold-
ings. Macromolecular and Materials Engineering 2005;288:76–83.
19. Chesmel KD, Black J. Cellular-responses to chemical and morphologic aspects of bioma-
terial surfaces. I. A novel in vitro model system. Journal of Biomedical Materials Research
1995;29(9):1089–1099.
20. Walboomers XF, Croes HJE, Ginsel LA, Jansen JA. Growth behavior of fibroblasts on
microgrooved polystyrene. Biomaterials 1998;19(20):1861–1868.
21. Walboomers XF, Ginsel LA, Jansen JA. Early spreading events of fibroblasts on
microgrooved substrates. Journal of Biomedical Materials Research 2000;51(3):529–534.
22. Brunette DM. Spreading and orientation of epithelial-cells on grooved substrata. Experimen-
tal Cell Research 1986;167(1):203–217.
23. Hamilton DW, Brunette DM. "Gap guidance" of fibroblasts and epithelial cells by discontin-
uous edged surfaces. Experimental Cell Research 2005;309(2):429–437.
24. Schmidt JA, von Recum AF. Texturing of polymer surfaces at the cellular level. Biomaterials
1991;12(4):385–389.
25. Chrzanowska-Wodnicka M, Burridge K. Rho-stimulated contractility drives the formation of
stress fibers and focal adhesions. 1996;133(6):1403–1415.
26. Liao H, Andersson A-S, Sutherland D, Petronis S, Kasemo B, Thomsen P. Response of
rat osteoblast-like cells to microstructured model surfaces in vitro. Biomaterials 2003;
24(4):649–654.
27. Yim KF, Reano RM, Pang SW, Yee AF, Chen CS, Leong KW. Nanopattern-induced changes
in morphology and motility of smooth muscle cells. Biomaterials 2005;26:5405–5413.
28. Chou SY, Krauss PR, Renstrom PJ. Imprint lithography with 25-nanometer resolution. Sci-
ence 1996;272(5258):85–87.
29. Chou SY, Krauss PR, Zhang W, Guo L, Zhuang L. Sub-10 nm imprint lithograpy and appli-
cations. Journal of Vacuum Science & Technology B 1997;15(6):2897–2904.
30. Casey BG, Cumming DRS, Khandaker II, Curtis ASG, Wilkinson CDW. Nanoscale emboss-
ing of polymers using a thermoplastic die. Microelectronic Engineering 1999;46(1–4):
125–128.
31. Charest JL, Bryant LE, Garcia AJ, King WP. Hot embossing for micropatterned cell sub-
strates. Biomaterials 2004;25(19):4767–4775.
32. Charest J, Eliason M, Talin A, Simmons B, Garcia A, King W. Polymer cell culture substrates
with combined nanotopographical patterns and micropatterned chemical domains. Journal of
Vacuum Science & Technology B 2005;23(6):301–3014.
33. Johansson F, Carlberg P, Danielsen N, Montelius L, Kanje M. Axonal outgrowth on nano-
imprinted patterns. Biomaterials 2006;27(8):1251–1258.
34. Sarkar S, Lee GY, Wong JY, Desai TA. Development and characterization of a
porous micro-patterned scaffold for vascular tissue engineering applications. Biomaterials
2006;27(27):4775–4782.
35. Hu W, Yim EKF, Reano RM, Leong KW, Pang SW. Effects of nanoimprinted patterns in
tissue-culture polystyrene on cell behavior. AVS 2005;2984–2989.
36. Prime KL, Whitesides GM. Self-assembled organic monolayers: Model systems for studying
adsorption of proteins at surfaces. Science 1991;252(5010):1164–1167.
37. Bhatia SN, Yarmush ML, Toner M. Controlling cell interactions by micropatterning in
co-cultures: hepatocytes and 3T3 fibroblasts. Journal of Biomedical Materials Research
1997;34(2):189–199.
38. Irimia D, Karlsson JOM. Development of a cell patterning technique using poly(ethylene
glycol) disilane. Biomedical Microdevices 2003;5(3):185–194.
39. Scotchford CA, Ball M, Winkelmann M, Voros J, Csucs C, Brunette DM, et al. Chemically
patterned, metal-oxide-based surfaces produced by photolithographic techniques for study-
ing protein- and cell-interactions. II: Protein adsorption and early cell interactions. Biomate-
rials 2003;24(7):1147–1158.
40. Orth RN, Clark TG, Craighead HG. Avidin-biotin micropatterning methods for biosensor
applications. Biomedical Microdevices 2003;5(1):29–34.
274 J. L. Charest, W. P. King
41. Mohammed JS, DeCoster MA, McShane MJ. Fabrication of interdigitated micropat-
terns of self-assembled polymer nanofilms containing cell-adhesive materials. Langmuir
2006;22(6):2738–2746.
42. Pallandre A, Glinel K, Jonas AM, Nysten B. Binary nanopatterned surfaces prepared from
silane monolayers. Nano Letters 2004;4(2):365–371.
43. Denis FA, Pallandre A, Nysten B, Jonas AM, Dupont-Gillain CC. Alignment and assem-
bly of adsorbed collagen molecules induced by anisotropic chemical nanopatterns. Small
2005;1(10):984–991.
44. Harnett CK, Satyalakshmi KM, Craighead HG. Bioactive templates fabricated by low-energy
electron beam lithography of self-assembled monolayers. Langmuir 2001;17(1):178–182.
45. Rundqvist J, Hoh JH, Haviland DB. Directed immobilization of protein-coated nanospheres
to nanometer-scale patterns fabricated by electron beam lithography of poly(ethylene glycol)
self-assembled monolayers. Langmuir 2006;22(11):510–5107.
46. Ra HJ, Picart C, Feng HS, Sweeney HL, Discher DE. Muscle cell peeling from micropat-
terned collagen: Direct probing of focal and molecular properties of matrix adhesion. Journal
of Cell Science 1999;112(10):1425–1436.
47. Ilic B, Craighead H. Topographical patterning of chemically sensitive biological materials
using a polymer-based dry lift off. Biomedical Microdevices 2000;2(4):317–322.
48. Orth RN, Kameoka J, Zipfel WR, Ilic B, Webb WW, Clark TG, et al. Creating biological
membranes on the micron scale: Forming patterned lipid bilayers using a polymer lift-off
technique. Biophysical Journal 2003;85(5):3066–3073.
49. Bergman AA, Buijs J, Herbig J, Mathes DT, Demarest JJ, Wilson CD, et al. Nanometer-scale
arrangement of human serum albumin by adsorption on defect arrays created with a finely
focused ion beam. Langmuir 1998;14:6785–6788.
50. Satriano C, Carnazza S, Licciardello A, Guglielmino S, Marletta G. Cell adhesion and
spreading on polymer surfaces micropatterned by ion beams. Journal of Vacuum Science
& Technology A 2003;21(4):1145–1151.
51. Lee K-B, Park S-J, Mirkin CA, Smith JC, Mrksich M. Protein nanoarrays generated by dip-
pen nanolithography. Science 2002;295:1702–1705.
52. Kumar A, Whitesides GM. Features of gold having micrometer to centimeter dimensions can
be formed through a combination of stamping with an elastomeric stamp and an alkanethiol
"ink" followed by chemical etching. Applied Physics Letters 1993;63(14):2002–2004.
53. Singhvi R, Kumar A, Lopez GP, Stephanopoulos GN, Wang DIC, Whitesides GM, et al.
Engineering cell-shape and function. Science 1994;264(5159):696–698.
54. McDevitt TC, Angello JC, Whitney ML, Reineck H, Hauschka SD, Murry PS, et al. In vitro
generation of differentiated cardiac myofibers on micropatterned laminin surfaces. Journal
of Biomedical Materials Research 2002;60(3):472–479.
55. Vogt AK, Stefani FD, Best A, Nelles G, Yasuda A, Knoll W, et al. Impact of micropatterned
surfaces on neuronal polarity. Journal of Neuroscience Methods 2004;134(2):191–198.
56. Lee CJ, Huie P, Leng T, Peterman MC, Marmor MF, Blumenkranz MS, et al. Microcon-
tact printing on human tissue for retinal cell transplantation. Archives of Ophthalmology
2002;120(12):1714–1718.
57. Renault J, Bernard A, Juncker D, Michel B, Bosshard H, Delamarche E. Fabricating microar-
rays of functional proteins using affinity contact printing. Angewandte Chemie International
Edition 2002;41(13):2320–2323.
58. Renault J, Bernard A, Bietsch A, Michel B, Bosshard H, Kreiter M, et al. Fabricating arrays
of single protein molecules on glass using microcontact printing. Journal of Physical Chem-
istry 2003;B23(107):703–711.
59. Lussi JW, Falconnet D, Hubbell JA, Textor M, Csucs G. Pattern stability under cell culture
conditions – A comparative study of patterning methods based on PLL-g-PEG background
passivation. Biomaterials 2006;27(12):2534–2541.
60. Keselowsky BG, Collard DM, Garcia AJ. Surface chemistry modulates fibronectin con-
formation and directs integrin binding and specificity to control cell adhesion. Journal of
Biomedical Materials Research Part A 2003;66A(2):247–259.
10 Engineering Biomaterial Interfaces 275
61. Keselowsky BG, Collard DM, Garcia AJ. Integrin binding specificity regulates biomaterial
surface chemistry effects on cell differentiation. PNAS 2005;102(17):5953–5957.
62. Lehnert D, Wehrle-Haller B, David C, Weiland U, Ballestrem C, Imhof BA, et al. Cell
behaviour on micropatterned substrata: Limits of extracellular matrix geometry for spreading
and adhesion. 2004;117(Pt 1):41–52.
63. Chen CS, Mrksich M, Huang S, Whitesides GM, Ingber DE. Micropatterned surfaces for
control of cell shape, position, and function. Biotechnology Progress 1998;14(3):356–363.
64. Gallant ND, Capadona JR, Frazier AB, Collard DM, García AJ. Micropatterned surfaces for
analyzing cell adhesion strengthening. Langmuir 2002;18:5579–5584.
65. Gallant ND, Michael KE, Garcia AJ. Cell adhesion strengthening: contributions of
adhesive area, integrin binding, and focal adhesion assembly. Molecular Biology Cell
2005;16(9):4329–4340.
66. Endler EE, Nealey PF, Yin J. Fidelity of micropatterned cell cultures. Journal of Biomedical
Materials Research Part A 2005;74A(1):92–103.
67. Thissen H, Johnson G, Hartley PG, Kingshott P, Griesser HJ. Two-dimensional patterning of
thin coatings for the control of tissue outgrowth. Biomaterials 2006;27(1):35–43.
68. Revzin A, Tompkins RG, Toner M. Surface engineering with poly(ethlyne glycol) pho-
tolithography to create high-density cell arrays on glass. Langmuir 2003;19:9855–9862.
69. Dusseiller MR, Schlaepfer D, Koch MK, Kroschewski R, Textor M. An inverted microcon-
tact printing method on topographically structured polystyrene chips for arrayed micro-3-D
culturing of single cells. Biomaterials 2005;26:5917–5925.
70. Mrksich M, Chen CS, Xia Y, Dike LE, Ingber DE, Whitesides GM. Controlling cell attach-
ment on contoured surfaces with self-assembled monolayers of alkanethiolates on gold. Pro-
ceedings of the National Academy of Science, USA 1996;93(20):10775–10778.
71. Britland S, Morgan H, Wojiak-Stodart B, Riehle M, Curtis A, Wilkinson C. Synergistic and
hierarchical adhesive and topographic guidance of BHK cells. Experimental Cell Research
1996;228:313–325.
72. Britland S, Perridge C, Denyer M, Morgan H, Curtis A, Wilkinson C. Morphogenetic guid-
ance cues can interact synergistically and hierarchically in steering nerve cell growth. Exper-
imental Biology Online 1996;1(2):1–5.
73. Charest JL, Eliason MT, Garcia AJ, King WP. Combined microscale mechanical
topography and chemical patterns on polymer cell culture substrates. Biomaterials
2006;27(11):2487:2487–2494.
74. Weiss P. Experinments on cell and axon orientation in vitro. Journal of Experimental Zoology
1945;100(3):353–386.
75. Walboomers XF, Croes HJE, Ginsel LA, Jansen JA. Growth behavior of fibroblasts on
microgrooved polystyrene. Biomaterials 1998;19(20):1861–1868.
76. Johansson F, Kanje M, Eriksson C, Wallman L. Guidance of neurons on porous patterned
silicon: is pore size important? Physics status solidi 2005;2(9):3258–3262.
77. Dalby MJ, McCloy D, Robertson M, Wilkinson CDW, Oreffo ROC. Osteoprogeni-
tor response to defined topographies with nanoscale depths. Biomaterials 2006;27(8):
1306–1315.
78. Hamilton D, Wong K, Brunette D. Microfabricated discontinuous-edge surface topogra-
phies influence osteoblast adhesion, migration, cytoskeletal organization, and prolifera-
tion and enhance matrix and mineral deposition in vitro. Calcified Tissue International
2006;78(5):314–325.
79. Clark P, Connolly P, Curtis AS, Dow JA, Wilkinson CD. Topographical control of cell
behaviour. I. Simple step cues. Development 1987;99(3):439–448.
80. Oakley C, Brunette DM. The sequence of alignment of microtubules, focal contacts and
actin-filaments in fibroblasts spreading on smooth and grooved titanium substrata. Journal of
Cell Science 1993;106:343–354.
81. Oakley C, Jaeger NAF, Brunette DM. Sensitivity of fibroblasts and their cytoskeletons to
substratum topographies: Topographic guidance and topographic compensation by microma-
chined grooves of different dimensions. Experimental Cell Research 1997;234(2):413–424.
276 J. L. Charest, W. P. King
82. Clark P, Connolly P, Curtis ASG, Dow JAT, Wilkinson CDW. Topographical control of cell
behavior. 2. Multiple grooved substrata. Development 1990;108(4):635–644.
83. Uttayarat P, Toworfe GK, Dietrich F, Lelkes PI, Composto RJ. Topographic guidance
of endothelial cells on silicone surfaces with micro- to nanogrooves: Orientation of
actin filaments and focal adhesions. Journal of Biomedical Materials Research Part A
2005;75A(3):668–680.
84. Teixeira AI, Nealey PF, Murphy CJ. Responses of human keratocytes to micro- and nanos-
tructured substrates. Journal of Biomedical Materials Research 2004;71A:369–376.
85. Rosa AL, Beloti MM, van Noort R. Osteoblastic differentiation of cultured rat bone mar-
row cells on hydroxyapatite with different surface topography. Dental Materials 2003;19(8):
768–772.
86. Lee SJ, Choi JS, Park KS, Khang G, Lee YM, Lee HBHB. Response of MG63 osteoblast-like
cells onto polycarbonate membrane surfaces with different micropore sizes. Biomaterials
2004;25(19):4699–4707.
87. Lossdorfer S, Schwartz Z, Wang L, Lohmann CH, Turner JD, Wieland M, et al. Microrough
implant surface topographies increase osteogenesis by reducing osteoclast formation and
activity. Journal of Biomedical Materials Research 2004;70A:361–369.
88. Rea SM, Brooks RA, Best SM, Kokubo T, Bonfield W. Proliferation and differentia-
tion of osteoblast-like cells on apatite-wollastonite/polyethylene composites. Biomaterials
2004;25:4503–4512.
89. Perizzolo D, Lacefield WR, Brunette DM. Interaction between topography and coating in the
formation of bone nodules in culture for hydroxyapatite- and titanium-coated micromachined
surfaces. Journal of Biomedical Materials Research 2001;56(4):494–503.
90. Chehroudi B, McDonnell D, Brunette DM. The effects of micromachined surfaces on forma-
tion of bonelike tissue on subcutaneous implants as assessed by radiography and computer
image processing. Journal of Biomedical Materials Research 1997;34(3):279–290.
91. Foley JD, Grunwald EW, Nealey PF, Murphy CJ. Cooperative modulation of neuritogenesis
by PC12 cells by topography and nerve growth factor. Biomaterials 2005;26(17):3639–3644.
92. Recknor JB, Sakaguchi DS, Mallapragada SK. Directed growth and selective differ-
entiation of neural progenitor cells on micropatterned polymer substrates. Biomaterials
2006;27(22):4098–4108.
93. Matsuzaka K, Yoshinari M, Shimono M, Inoue T. Effects of multigrooved surfaces on
osteoblast-like cells in vitro: Scanning electron microscopic observation and mRNA expres-
sion of osteopontin and osteocalcin. Journal of Biomedical Materials Research Part A
2004;68A(2):227–234.
94. Wang JHC, Grood ES, Florer J, Wenstrup R. Alignment and proliferation of MC3T3-E1
osteoblasts in microgrooved silicone substrata subjected to cyclic stretching. Journal of
Biomechanics 2000;33:729–735.
95. Jiang X, Bruzewicz DA, Wong AP, Piel M, Whitesides GM. Directing cell migration with
asymmetric micropatterns. PNAS 2005;102(4):975–978.
96. Brock A, Chang E, Ho CC, LeDuc P, Jiang X, Whitesides GM, et al. Geometric
determinants of directional cell motility revealed using microcontact printing. Langmuir
2003;19(5):161–1617.
97. Orth RN, Wu M, Holowka DA, Craighead HG, Baird BA. Mast cell activation on patterned
lipid bilayers of subcellular dimensions. Langmuir 2003;19(5):159–1605.
98. Schmalenberg KE, Uhrich KE. Micropatterned polymer substrates control slignment of pro-
liferating Schwann cells to direct neuronal regeneration. Biomaterials 2005;26:1423–1430.
99. Yamato M, Konno C, Utsumi M, Kikuchi A, Okano T. Thermally responsive polymer-
grafted surfaces facilitate patterned cell seeding and co-culture. Biomaterials 2002;23(2):
561–567.
100. Lee CJ, Blumenkranz MS, Fishman HA, Bent SF. Controlling cell adhesion on human tissue
by soft lithography. Langmuir 2004;20:415–4161.
101. Parker KK, Brock AL, Brangwynne C, Mannix RJ, Wang N, Ostuni E, et al. Directional con-
trol of lamellipodia extension by constraining cell shape and orienting cell tractional forces.
FASEB Journal. 2002;16(10):1195–1204.
10 Engineering Biomaterial Interfaces 277
102. Nelson CM, Pirone DM, Tan JL, Chen CS. Vascular endothelial-cadherin regulates cytoskele-
tal tension, cell spreading, and focal adhesions by stimulating RhoA. Molecular Biology Cell
2004;15(6):2943–2953.
103. Vogt AK, Wrobel G, Meyer W, Knoll W, Offenhausser A. Synaptic plasticity in micropat-
terned neuronal networks. Biomaterials 2005;26(15):2549–2557.
104. Chen CS, Mrksich M, Huang S, Whitesides G, Ingber DE. Geometric control of cell life and
death 1997;276:1425–1428.
105. Dike LE, Chen CS, Mrksich M, Tien J, Whitesides GM, Ingber DE. Geormetric con-
trol of switching between growth, apoptosis, and differentiation during angiogenesis using
micropatterned substrates. In Vitro Cell Developmental Biology – Animal 1999;35:441–448.
106. Thomas CH, Collier JH, Sfeir CS, Healy KE. Engineering gene expression and protein syn-
thesis by modulation of nuclear shape. Proceedings of the National Academy of Sciences of
the United States of America 2002;99(4):1972–1977.
107. McBeath R, Pirone DM, Nelson CM, Bhadriraju K, Chen CS. Cell shape, cytoskeletal
tension, and RhoA regulate stem cell lineage commitment. Developmental Cell
2004;6(4):483–495.
Chapter 11
Biosensors Micro and Nano Integration
Ravi Doraiswami
11.1 Introduction
A rapidly growing trend in analytical science in recent years has been the develop-
ment of chemical and biosensor technologies. The driving force behind this trend
is a desire to render analytical chemical measurements more timely and relevant
in order to provide immediate feedback with respect to detection results. Lab on
a chip gives the ability to do thousands of experiments at the same time. This
is very much apparent in the biomedical field, it is even more a requirement in
fields like environmental analysis (industrial, occupational, military, etc.) and pro-
cess control. The Sensor field is interdisciplinary in nature, which attracts the
expertise of chemists, biochemists, mechanical engineers, electronics engineers, and
physists.
MEMS for biological or medical applications or involving biological compo-
nent(s), so-called biomicroelectromechanical systems (bio-MEMS) [1] are becom-
ing more and more popular. Depending on their applications, this is justified by the
inherent benifits of miniaturization in bio-MEMS such as small size, low weight,
potential low unit costs per device, efficient transduction processes, high reac-
tion rate, low reagent consumption, multiple sensors per chip and the potential to
R. Doraiswami
G. W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology
manufacture minimally invasive devices and systems. One of the factors which
contribute to miniaturization of the sensor while maintaining its electrical and
mechanical performance is the choice of interconnects and interface materials and
the process of integration. Nanotechnology is frequently discussed these days as
an emerging frontier for the development of various future devices. Micro-and/or
nano-electromechanical systems (MEMS/NEMS) are seen as the basis of future
nanotechnologies, because they combine to miniature sensors and actuators with
electronics.
This chapter addresses Micro and Nanocomposite bio compatible integration
techniques and processes for biosensors. It will outline the design parameter require-
ments for sensor systems interconnect. It will describe in detail materials choice
and properties, influence on interconnect parameters, fine pitch Nano/Micro inter-
connects and technologies, Integration techniques. The chapter will conclude by
providing sited examples of miniaturized sensors and their performance.
Integration of the entire sensor system on a single chip is possible, for very low
cost per die. Design criteria for single chip process depend on several considera-
tions such as sensor target, environmental conditions, bio or non-bio compatibility,
etc. The design and process parameters can generally be formulated to achieve,
low cost, high trough put and excellent electrical/mechanical performance. Con-
ventional chip packaging, is described in some detail below by way of reference.
With much further miniaturization, the conventional approach is useful for high
density global interconnects linking the sensor with the external world. The nano
scale is closer to the sensor head and nano-scale techniques for transmitting data
over electrical lines have not yet developed beyond dropping nano samples on nano
wires and hope for the right contact to form in accordance to the laws of statistics.
The most common parameters for high speed electrical are the capacitance, induc-
tance and resistance of the interconnects. Process parameters depend on die size,
interconnect pitch, passivation via (m), Under Bump Metallurgy (UBM) diameter
(m), Bump Height (m), Bump Diameter (m), number of interconnects/sq cm
and Encapsulation/under fill process. Figure 11.1 shows a schematic of two com-
monly used interconnect technologies for sensors a) Flip Chip Process b) Wire Bond
Process.
Functional
Underfill Surface
Glob Top
Wire
Bare Die Bond
Solder
Bump
Electrical Parameters
Resistance (Ω)
Inductance (nH)
Capcitance (pF)
Mechanical Parameters
Defined by application
requirement
Process Parameters
Die Size
Interconnect pitch
Passivation via (µm)
UBM diameter (µm)
Bump height (µm)
Bump diameter (µm)
Number of interconnects/sq cm
Encapsulation/underfillprocess
Solder Type
Fig. 11.1 Design criteria for two most commonly used interconnect techniques
This process eliminates many of the packaging process required using conventional
packaging resulting in drastic reduction in manufacturing cost [2]. The benefits are
The flip chip design process starts by identifying the passivation layer material,
Under Bump Metallurgy (UBM) composition, solder type and bumping strategy.
The following are the selection criteria for these parameters:
a) Passivation material which the one which is coated on the surface of the func-
tional chip to serve as protection to the strip line interconnects connecting the
282 R. Doraiswami
functional part of the chip and the bumps. The most widely used material is SiO2 .
The thickness of this materials range from 1 micron to 3 microns depending on
the bump height.
b) Under Bump Metallurgy (UBM), has a multilayered thin film composition. The
materials which form the layers have specific tasks to perform. The first layer
forms the barrier layer and will normally be Cr or Ni. This layer protects the
strip line from exposure to solder. The next layer is a high strength barrier
layer which protests the chip from electro migration and intermetalics from the
solder bump. The last layer is the wetting layer which normally is a coating
of gold.
c) Interconnect materials choice is based on the mechanical and electrical property
of materials. Tin has emerged as the predominant material used in interconnects.
NEMI has identified Sn3.5Ag and Sn3.5Ag0.7Cu as the lead free solders with
the best of electrical and mechanical properties. Both these solders have tin as a
predominant metal ranging up to 96% of the composition.
d) lead free solder electroplating has proven over the years to produce the highest
yield per wafer.
Wafer bumping by electroplating however, has the largest potential for realizing
highest I/O densities with a pitch range from 20 m to 25 mm. It is particularly
suited for high volume production of bumped wafers at a high-quality standard.
As the value of wafers increases, the relatively high processing costs are less and
less perceptible. The realization of bumps using electroplating can be divided into
fundamental process steps, which are sputtering of the plating base, photoresist pat-
terning, electroplating, differential etching, and, if required, a final solder reflow as
shown in Fig. 11.2.
Today, a number of commercial electroplating baths for different metals are
available. The bath chemistry must be compatible to the photoresist system and
should be insensitive to out-bleeding. Exceptionally cleaned makeup ingredients
ensure deposits with a high purity and consequently well-defined electrical and
mechanical properties. For solder bumping, a low co-deposition rate of organ-
ics is required to guarantee a low volume of out gassing during the reflow and
bonding process. For this reason, relatively slow depositing electrolytes with a
small amount of organic additives are preferred. Furthermore, the deposits have
to show a well-defined bump shape and solder-alloy composition, low internal
Table 11.1 Shows typical parameters used for flip chip bump process for 100 micron pitch [3]
100 Micron Pitch SnAg
Connection Metallurgy Lead Free Solder
DC Resistance 5m ⍀
Inductance 32 pH
Capacitance 17 fF
Bump Height 50 m
Pitch 100 m
IO/cm2 2553
11 Biosensors Micro and Nano Integration 283
Reflow Wafer
Fig. 11.2 Typical flip chip bumping process using electroplating technique
Silicon Chip
Lead Free
Underfill Solder
Material Bump
FR4 Substrate
Fig. 11.4 Cross section of 100 micron pitch flip chip assembly [3]
Biocompatibility, flexibility and the ability to operate over a wide temperature range
are all characteristics of micro sensors that are determined by the substrate material.
PDMS, PMMA, parylene and polyethelene are some of the biocompactable poly-
mers commonly used in MEMS for biomedical applications [4–6]
Interconnect assembly process for conventional flip chips require higher process
temperatures than what bio compactable materials can with stand. Table 11.2 shows
a list of lead free interconnect materials and their liquidus temperatures.
286 R. Doraiswami
Flip chip process is relatively new for MEMS based applications. Wire bond
technique has played a predominant role in the integration. With the resent insertion
of flip chip into MEMS integration, assembly materials and appropriate process
selection also changed. In a flip chip assembly process the type of interconnect
determines the choice of process materials and parameters. Process temperatures
could affect low temperature bio materials.
There are three types of current and future interconnect technologies used for
Bio-MEMS process: Flip Chip Stud Bump process, Pressure Bonding Process, Lead
free solder process and wire bond.
13”
Wafer Pum p
13”
Fig. 11.5 Lead free nano composite electroplating technique (X=10 cm)
11 Biosensors Micro and Nano Integration 287
In this technique solder (AuSn 80/20%) thin film material is deposited on the bond
pads of the chips and the substrate. The chip is aligned and assembled on the sub-
strate by apply pressure and temperature (278◦C). Bond is created after the assembly
cools down [8].
Create stud
Choose the bumps on
Clean Substrate Mount and Heat the chip
(has soft gold substrate to 125 right wire
diameter bond pads
pad finish) to 150 °C
References
1. R. Basher, “BioMEMS: State-of-the-art in detection, opportunities and prospects,” Advanced
Drug Delivery Review, 2004: 56, 1565–1586.
2. A. A.O. Tay, M. K. Iyer, R. Tummala, V. Kripesh, E. H.Wong, M. Swaminathan, C. P.Wong,
M. D. Rotaru, R. Doraiswami, S. S. Ang and E. T. Kang, “Next generation of 100 m – pitch
wafer level packaging and assembly for systems-on-package”, 2004: 27, (2), 413–425.
3. M. J. Wolf, G. Engelmann, L. Dietrich and H. Reichl, “Flip chip bumping technology—Status
and update”, Nucl. Instrum. Methods Phys. Res. A, 2006: 565, 290–295.
4. S. Guillaudeu, X. Zhu and D.M. Aslam, “Fabrication of 2-m-wide poly-crystalline diamond
channels using silicon molds for micro-fluidic applications,” Diamond Rel. Mater., 2003: 12,
65–69.
5. H. Becker and L. Locascio, “Polymer microfluidic devices,” Talanta, 2002: 56, 267–287.
6. J. J. Cefai and D. A. Barrow, “Integrated chemical analysis microsystems for life sciences
research in space,” J. Micromech. Microeng., 1994: 4, 172–185.
7. I. Clausen and O. Sveen, “Die separation and packaging of a surface micromachined piezore-
sistive pressure sensor”, Sens. Actuators A: Phys., 2007: 133, (2), 457–466.
8. K-M. Chu, W-K. Choi, Y-C. Ko, J-H. Lee, H-H. Park and D. Y. Jeon, “Flip-chip bonding of
MEMS scanner for laser display using electroplated AuSn solder bump”, IEEE Trans. Adv.
Packaging, 2007: 30, (1), 27–33.
9. R. Doraiswami, “Nano Composite Lead-Free Interconnect and Reliability”, Proceedings. 56th
Electronic Components & Technology Conference (IEEE Cat. No. 06CH37766C), 2006, p. 3.
10. A. Manz et al., “Miniaturized total chemical analysis systems. A novel concept for chemical
sensing,” Sens. Actuators B, Chem., 1990: 1, 249–255.
11. T. Velten, H. H. Ruf, D. Barrow, N. Aspragathos, P. Lazarou, E. Jung, C. K. Malek, M. Richter,
J. Kruckow and M. Wackerle, “Packaging of Bio-MEMS: Strategies, technologies, and appli-
cation”, IEEE Trans. Adv. Packaging, 2005: 28, (4), 533–546.
About the Cover
The author gratefully acknowledges the cover photograph by Felice Frankel, Artist
in Residence at the Massachusetts Institute of Technology and coauthor of On the
Surface of Things: Images of the Extraordinary in Science.
Hydrocarbon, 197, 207–208, 219, 223–225 Nanobelts, 11, 13, 16, 17, 28, 29, 30, 32, 33
Hydrogen, 37, 47, 78, 81, 87, 92, 112, 117, Nanoelectronics, 134, 279
197–212, 219, 223–225, 231 Nanofabrication, 63, 64, 103, 121, 132, 233
Hydrothermal synthesis, 11, 14–19, 20, 21, Nanoimprint lithography, 131, 132, 133, 151
32–34 Nanomanufacturing, 63, 121, 122, 131–147,
151, 247
Immunobiosensor, 71, 72 Nanomolding, 131–147
Indium, 78, 113 Nanopore, 117, 233–247
Injection molding, 132, 252, 255 Nanorods, 11, 18, 19, 20, 27, 31, 113, 212
Inspection systems, 59, 121 Nanosprings, 108
Intermetalics, 279, 282 Nanowires, 11–17, 22, 23, 31, 34, 54, 63, 74,
Ion beam CVD, 110 113, 115, 116, 117, 119–121
Ion beam film deposition, 95 Nickel, 87, 114–117, 253, 255, 288
Ion beam lithography, 38, 58, 64, 253 Nucleation, 13, 14, 64, 68–71, 85, 86, 88, 89,
Ion channel, 113, 233, 234 111, 117, 119
SECM, see Scanning Electrochemical Trenches, 39, 53, 99, 100, 144
Microscopy Tungsten, 65, 88, 89, 93, 95, 97, 103, 104, 107,
Self-assembled molecules, 4, 5, 59 108, 109
Semiconductor manufacturing, 1–3
Sensor Ultrashort picosecond pulse, 244
array, 197, 218–225 Ultrathin film, 63, 68–71
packages, 175, 183, 184–185
Silicate, 257 Vapor-liquid-solid, 11
Silicon carbide, 90, 197, 207 Vapor pressure, 12, 65–67, 97, 129
Sputtering, 64, 67, 68, 100, 118, 214, 239, Vapor-solid, 11, 13
282, 288 Viscous flow, 131, 137, 138, 139, 141, 142,
Steppers, 38 143, 146
Stereolithography, 175–194, 196
X-ray diffraction, 11, 25, 29, 117, 130
Temperature measurement, 153, 154, 155–157, X-ray lithography, 38, 58–59
159–172 X-ray masks, 37–38, 41, 59
Template, 16, 18, 63, 92, 93, 110, 112–115,
118, 121, 133 Young’s modulus, 104, 107, 108
Thermal management, 153, 171, 172
Thermoreflectance, 153–172 Zinc, 78, 113
Tin, 113, 218 Zirconia, 82
Titania, 18, 73, 75, 82, 93 ZnO, 11, 12, 13, 14, 15, 78, 87
Top down manufacturing, 4, 252 ZnS, 31, 75, 76, 78