2003 Atomic Layer Deposition Chemistry Recent Developments and Future Challenges

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

Minireviews M. Leskel and M.

Ritala

Electronic Materials

Atomic Layer Deposition Chemistry: Recent


Developments and Future Challenges**
Markku Leskel * and Mikko Ritala
Keywords:
atomic layer deposition · microelectronics · nitrides ·
oxides · thin films

N ew materials, namely high-k (high-permittivity) dielectrics to


replace SiO2, Cu to replace Al, and barrier materials for Cu, are
revolutionizing modern integrated circuits. These materials must be
deposited as very thin films on structured surfaces. The self-limiting
growth mechanism characteristic to atomic layer deposition (ALD)
facilitates the control of film thickness at the atomic level and allows
deposition on large and complex surfaces. These features make ALD a
very promising technique for future integrated circuits. Recent ALD
research has mainly focused on materials required in microelectronics.
Chemistry, in particular the selection of suitable precursor combina-
tions, is the key issue in ALD; many interesting results have been
pound semiconductors was demon-
obtained by smart chemistry. ALD is also likely to find applications in strated, and great efforts were made
other areas, such as magnetic recording heads, optics, demanding in the preparation of III–V compounds
protective coatings, and micro-electromechanical systems, provided in the late 1980s.[4] Due to the unfav-
that cost-effective processes can be found for the materials required. orable chemistry between group III
alkyl compounds and group V hy-
drides, no real benefits were achieved
with ALD, compared to metal–organic
1. Introduction vapor phase epitaxy (MOVPE) or molecular beam epitaxy
(MBE). The renaissance of ALD began in the mid-1990s, with
Atomic layer deposition (ALD) has recently received the interest focused on silicon-based microelectronics. Shrink-
much interest as a potential deposition method for advanced ing device dimensions and increasing aspect ratios in inte-
thin-film structures. However, ALD had already been devel- grated circuits (IC) require the introduction of new materials
oped and introduced worldwide with the name Atomic Layer and thin-film deposition techniques. ALD is considered as
Epitaxy (ALE) in the late 1970s.[1] The motivation for the one deposition method with the greatest potential for
development of ALD was the need for a deposition method producing very thin, conformal films with control of the
for thin-film electroluminescent (TFEL) flat-panel displays. thickness and composition of the films possible at the atomic
For such an application, high-quality dielectric and lumines- level.
cent films are required on large-area substrates.[2] ALD is still In the following the principles and characteristic features
used today in the industrial production of TFEL displays.[3] In of the ALD method are presented. The comprehensive
the mid-1980s the applicability of ALD to epitaxial com- review made by the authors[5] covers the ALD literature to
summer 2000. This minireview focuses mostly on more recent
[*] Prof. M. Leskel, Prof. M. Ritala literature. Since the major part of the ALD research at the
Department of Chemistry moment is focused on thin films needed in microelectronics,
University of Helsinki the recent achievements are mostly connected to this area.
P.O. Box 55, 00014 Helsinki (Finland)
Fax: (+ 357) 9-191-50198
E-mail: [email protected]
2. The Principle of ALD
[**] Throughout this Minireview, nomenclature common to the area of
semiconductor materials science is employed. For example,
group III elements are those of group 13 of the periodic table and ALD is a special modification of chemical vapor deposi-
group V elements are those of group 15. Hence, GaAs is an example tion (CVD) with the distinct feature that film growth takes
of a III–V material. place in a cyclic manner. Normally one growth cycle consists

5548  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim DOI: 10.1002/anie.200301652 Angew. Chem. Int. Ed. 2003, 42, 5548 –5554
Angewandte
Atomic Layer Deposition Chemie

of four steps (Figure 1): 1) Exposure of the first precursor, saturative reaction conditions the film growth is self-limiting;
2) purge of the reaction chamber, 3) exposure of the second that is, the amount of film material deposited in each reaction
precursor, and 4) a further purge of the reaction chamber.[5] cycle is constant. The self-limiting growth mechanism brings
The growth cycles are repeated as many times as required for several advantages to ALD: 1) Film thickness depends only
on the number of reaction cycles, which makes the thickness
control accurate and simple; 2) unlike CVD, there is less need
of reactant flux homogeneity, which gives large area (large
batch and easy scale-up) capability, excellent conformality
(Figure 2) and reproducibility, and simplifies the use of solid

Figure 1. An ALD deposition cycle showing schematically the deposi- Figure 2. Cross-sectional SEM images for a 300-nm Al2O3 film (a) and
tion of TiO2 films from TiCl4 and H2O. a 14-nm TiN film (b) deposited on a patterned silicon substrate.

the desired film thickness. Depending on the process and the precursors. Separate dosing of the precursors prevents gas-
reactor being used, one cycle can take time from 0.5 s to a few phase reactions, which allows the use of highly reactive
seconds, and may deposit between 0.1 and 3 < of film precursors and gives enough time for each reaction step to
material. The cycle time depends particularly on the aggres- reach completion. This results in pure films being deposited at
siveness of the film-formation reaction. In ALD, in contrast to relatively low temperatures. The ALD processing window is
CVD, reactions with as negative a value of DG as possible are often wide, which makes the process insensitive to small
desired.[5] The film thickness obtained per cycle may depend changes in temperature and precursor flows, and allows the
on the size of the precursor molecule, because steric processing of different materials to multilayer structures in a
hindrance between large precursors limits the number of continuous process (Figure 3).[6]
molecules capable to adsorb on the surface. With small
molecules and elements as precursors, a full monolayer
growth per cycle is possible. In addition, the number of 3. Precursors
adsorption sites at the surface also affects the amount of
molecules adsorbed. ALD precursors may be gases, volatile liquids, or solids.
Ideally each exposure and purge step in ALD is complete. The vapor pressure must be high enough for effective mass
The precursor molecules chemisorb or react with the surface transportation; all solid, and some liquid precursors need to
groups saturatively, and after the formation of the chemi- be heated. Thanks to the self-limiting growth, demands for
sorbed layer no further adsorption takes place. Under these the evaporation rates to remain constant are more relaxed

Markku Leskela received a PhD in inorganic Mikko Ritala received a PhD in inorganic
chemistry in 1980 (Helsinki University of chemistry in 1994 (University of Helsinki),
Technology). He worked as both Associate and from 1995–2003 he worked as a post-
Professor (University of Oulu, 1980–86) and doctoral researcher, then as an academic
as Professor (University of Turku, 1986–90) research fellow at the same institution. He
before taking his present position as Profes- is currently Professor of inorganic materials
sor of inorganic chemistry at the University chemistry at the University of Helsinki. His
of Helsinki. His research includes thin-film research is in the area of thin-film growth
growth by various chemical methods for by atomic layer deposition and electrodepo-
micro- and optoelectronics, ranging from sition for microelectronics and other applica-
precursor synthesis to applications. He also tions. Real-time reaction mechanism studies
has an interest in coordination compound form an important part of his research. He
catalysts for olefin polymerization and oxi- has published 150 papers and holds several
dation. He has published over 370 papers patents.
and holds several patents.

Angew. Chem. Int. Ed. 2003, 42, 5548 –5554 www.angewandte.org  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim 5549
Angewandte
Chemie M. Leskel and M. Ritala

4.1. Gate Oxides

Deposition of the high-k oxides Al2O3, ZrO2, and HfO2


has been the most widely examined area of ALD during the
past few years. The motivation for this work comes from the
detrimentally high tunneling currents through the currently
used SiO2 metal–oxide semiconductor field-effect transistor
(MOSFET) gate dielectric, when it is downscaled to a
thickness of about 1.0 nm and below for device generations
in the near future.[9] When a high-k oxide is used, the gate
dielectric can be made physically thicker for the required
capacitance density, thus lowering the tunneling current. A
convenient measure for the capacitance density is the
equivalent oxide thickness (EOT = dhigh-k kSiO2/khigh-k =
3.9(dhigh-k)/khigh-k), and for future devices with high-k-based
dielectrics this should be 1.0 nm or less. However, silicon is
Figure 3. Cross-sectional TEM image of a ZrxSiyOz–ZrxTiyOz nanolami- easily oxidized from its surface and a thin interface layer of
nate. SiO2 or a mixture of SiO2 and the high-k oxide is easily
formed, which adds to the overall EOT. On the other hand,
than in CVD, thus making it easier to use solid precursors. the Si–SiO2 interface is commonly recognized as the best
The challenging task of pulsing precursors evaporated at high semiconductor–insulator interface. Therefore, to achieve an
temperatures is solved by inert-gas valving.[5, 7] The precursors EOT of less than 1.0 nm with good electrical characteristics, a
must be thermally stable at the growth temperature. Decom- very thin, one-to-two monolayer thick interfacial SiO2 layer
position would destroy the self-limiting growth mechanism should preferably be left between the silicon and the high-k
and the related advantages. In some applications, such as oxide. Controlling such a thin SiO2 layer is very challenging
protective coatings, slightly thermally unstable precursors can (Figure 4).
be acceptable if their decomposition is slow (compared to the ALD high-k gate oxide research has mainly focused on
pulsing sequence times), and makes only a small contribution three basic systems: Al(CH3)3/H2O, ZrCl4/H2O, and HfCl4/
to the overall growth. The precursors must adsorb or react H2O. These systems have all yielded good results in an EOT
with the surface sites, such as hydroxyl groups on oxide range of 1–2 nm,[9] but scaling the EOT down further is
surfaces (Figure 1). The type of adsorption, molecular or difficult and requires careful attention to the pretreatment of
dissociative, and reaction mechanisms have recently been the silicon surface. Despite many efforts, better processes
extensively studied in situ with quartz-crystal microbalance than those mentioned above have not been found. The lowest
and mass spectrometric measurements. Different mechanisms EOT should be achievable by using native-oxide free, hydro-
have been found for different precursors.[5] gen-terminated silicon as the starting surface. However,
Typical metal precursors used in ALD are halides,
especially chlorides, alkyl compounds, and alkoxides. Organo-
metallic compounds, such as cyclopentadienyl complexes and
alkyl and silyl amides have gained more attention recently.[6]
The nonmetal precursors used are: water, hydrogen peroxide,
and ozone for oxygen; hydrides for chalcogens; ammonia,
hydrazine, and amines for nitrogen; hydrides for group V
elements.[5]

4. ALD in Microelectronics

In microelectronics, ALD is studied as a potential


technique to deposit high-k (high permittivity) gate oxides,
high-k memory capacitor dielectrics, ferroelectrics, and met-
als and nitrides for electrodes and interconnects. In high-k
gate oxides, where the control of ultrathin films is essential,
ALD is only likely to come in to wider use at the 45-nm
technology.[8] In metallizations, conformal films are required;
currently it is expected that ALD will be used in mainstream
production at the 65-nm node. In dynamic random access
memories (DRAMs), the conformality requirements are even Figure 4. A cross-sectional TEM image of a MOSFET (channel
higher and ALD is the only method that can be used when length = 0.19 mm) showing three application areas where ALD films
feature sizes become smaller than 100 nm.[8] could be used. See text for details.

5550  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim www.angewandte.org Angew. Chem. Int. Ed. 2003, 42, 5548 –5554
Angewandte
Atomic Layer Deposition Chemie

nucleation on this surface, particularly for ZrO2 and HfO2, is


poor and results in inhomogeneous microstructure, thereby
preventing their direct application on hydrogen-terminated
surfaces.[10] More work is needed to tailor the interface to an
optimum level. Alternative chemistry developed for the ALD
of oxides involves the use of metal alkoxides as oxygen
sources, which react with other metal compounds, most often
chlorides.[11, 12] Because oxygen atoms are bound to the metal
centers in the alkoxides, they oxidize silicon less strongly than
water, which results in a sharp interface. Unfortunately, these
processes leave a somewhat higher content of chloride
residue ( 1 atom %), as compared with the conventional
metal chloride–water processes.
Progress in the application of high-k gate oxides has been
somewhat slower than what was assumed a few years ago. The
Figure 5. Focused ion beam (FIB) cross section through a six-layer Cu/
problem is the high-k materials themselves rather than the
low-k dielectric interconnect metallization. Here ALD could be used for
ALD processing.[8] Direct contact with silicon often degrades depositing thin metal or metal nitride barrier layers (not resolved in
electron and hole mobility in the MOSFET channel region the image) encapsulating the copper wires (bright features) as well as
and the interaction with the polysilicon top electrode may depositing seed layers for Cu electroplating.
create charges which shift the threshold voltage.

process is not ideal, however, because of the modest reactivity


4.2. DRAM Capacitors of ammonia, the halide residue content, the modest deposi-
tion rate and, most importantly, the fact that the HCl formed
The development of DRAM capacitor dielectrics has as a byproduct in the process causes pitting of copper.[14]
been similar to that of gate dielectrics: SiO2 has dominated Many alternative titanium precursors have been studied but
thus far, but is likely to be phased out in the near future. The an ideal solution for the TiN process has not yet been found.
DRAM capacitors will be three dimensional, thus requiring Simultaneously, ALD chemistry has been developed for TaN
good conformality, but permittivity values above 200 will still and WNx materials, which are more demanding to prepare
be required, and thus the candidate materials are different than TiN since they require stronger reduction. In the
from those explored for MOSFET gate dielectrics. The most deposition of TaN a three-precursor process (TaCl5/Zn/NH3)
extensively studied candidate has been (Ba,Sr)TiO3. Due to using Zn as a reducing agent has given the best results.[15] The
the high conformal requirements of DRAM applications, use of zinc, however, is not accepted in microelectronics
ALD is a very promising method, although ternary and applications, and therefore alternative reducing agents, such
quaternary compounds are very challenging for chemical as trimethylaluminum,[16] dimethylhydrazine,[17] and tert-bu-
thin-film deposition techniques. Totally new ALD chemistry tylamine[18] have been studied with varying success. Plasma
has been developed for SrTiO3 and BaTiO3 using strontium enhancement offers new possibilities for the ALD of TaN and
and barium cyclopentadienyl compounds, [Ti(OiPr)4], and other transition-metal nitrides. TaN has been deposited using
water as precursors.[13] A permittivity of 180 was measured for tert-butylimidotris(diethylamido)tantalum, [(Et2N)3Ta=
SrTiO3 and 165 for BaTiO3 when films thicker than 200 nm NtBu], and hydrogen radicals as precursors.[19] The process
were post-deposition annealed, but when the film thickness takes place at low temperature (260 8C), has a good growth
was decreased to 50 nm, the permittivity decreased to only 100. rate (0.8 </cycle), but needs long hydrogen plasma exposure
times (30 s). Another plasma-enhanced ALD process for TaN
uses TaCl5 together with hydrogen and nitrogen plasma.[20]
4.3. Transition-Metal Nitrides The N/Ta ratio was controlled from 0.3 to 1.4 by varying the
nitrogen partial pressure, which indicated that the process was
Transition-metal nitrides, such as TiN and TaN find not completely self-limited. However, the films had a low
potential use both as metallization barriers and as gate resistivity of 350 mW cm. WN is an alternative barrier material,
metals. Metallization barriers are needed on one hand to which has been deposited from WF6 and NH3 with a rate of
avoid Cu diffusion into the surrounding insulators and the 0.42 </cycle at 350 8C.[14] In a high-vacuum chamber and with
silicon substrate and, on the other hand, to prevent Cu longer exposure times, the same process resulted in a much
contamination by elements diffusing from the insulators higher deposition rate of 2.55 </cycle.[21] Some copper pitting
(Figure 5). Metal gates (Figure 4), in turn, will be needed to was observed also in this process, however.[14] Recently, a new
eliminate the EOT-increasing effect of the depletion layer process utilizing WF6, NH3, and B(C2H5)3 was reported for
capacitance in the presently used polysilicon gate electrodes. depositing WCxNy (atomic composition: W 57 %, C 30 %, N
The most studied ALD nitride process has been that where 13 %).[22] A resistivity of 600–900 mW cm was measured for 7-
TiN is deposited from TiCl4 and NH3. Films with low nm films of this material. Unlike TiN and WNx, WCxNy
resistivity (< 200 mW cm) and excellent conformality are barriers deposited by ALD do not show Cu pitting voids in
obtained with this process at 400 8C (Figure 2 b).[14] The dual-damascene (Figure 6) copper metallization nor passiva-

Angew. Chem. Int. Ed. 2003, 42, 5548 –5554 www.angewandte.org  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim 5551
Angewandte
Chemie M. Leskel and M. Ritala

[Ru(C5H5)2] and [CH3C5H4Pt(CH3)3] and oxygen.[24] A key


feature of the growth mechanism is that oxygen atoms remain
adsorbed on the Ru and Pt surfaces after the oxygen pulse and
the following purge sequence. When the organometallic
compounds are exposed on the surface, the oxygen atoms
oxidize part of the hydrocarbon ligands to carbon oxides and
water. The remaining ligands and hydrocarbon fragments are
oxidized during the next oxygen pulse, which also reforms the
adsorbed oxygen-atom layer. The films were pure and had
resistivities of 10–20 mW cm. It is quite apparent that this kind
of ALD chemistry is applicable to all noble metals capable of
catalyzing the dissociation of molecular oxygen.
The use of radicals has introduced many new possibilities
for metal ALD, as compared with just thermally activated
processes. Titanium and tantalum, for example, are such
electropositive elements that their deposition without addi-
tional activation is apparently impossible at reasonable
temperatures. But by using highly reactive hydrogen radicals
generated in a remote plasma discharge these metals have
been deposited from the corresponding chlorides.[25] Reason-
able deposition rates, resistivities, and step coverages have
been reported for these metal films, and the barrier perform-
Figure 6. Dual-damascene process flow for the fabrication of copper ance in separating copper and silicon was better than that of
interconnects. This approach was developed because the dry etching sputtered tantalum with a similar thickness. Even aluminum
of copper is difficult because of a lack of suitable volatile copper com- has been deposited at low temperatures with a good growth
pounds. In the damascene process, copper patterning into wires and rate (1.5 </cycle) by a hydrogen-plasma-enhanced ALD
via plugs is realized by dry etching appropriate trench and via patterns process using trimethylaluminum as an aluminum precur-
into the dielectric film, then filling these with copper, and finally re-
sor.[26] Nickel films have been deposited indirectly by first
moving the excess copper by chemical mechanical polishing (CMP).
making the oxide and reducing that with hydrogen[27] or
hydrogen radicals.[28]
tion blistering, and is the best ALD barrier material reported Despite some initial reports[29] on copper ALD this metal
so far. has remained quite problematic. Recently, it was shown that
copper seed layers may be formed indirectly by first deposit-
ing a copper oxide film using [Cu(thd)2]/O3 (thd = 2,2,6,6-
4.4. Metal Films tetramethyl-3,5-heptanedionate) as precursors and then re-
ducing CuO to metallic copper with alcohols, aldehydes, or
An interest in metal ALD has several motivations: 1) Cu carboxylic acids.[30] It has also been shown that copper may be
interconnects and W plugs, or at least Cu seed layers for Cu deposited from [Cu(acac)2] (acac = acetylacetonate) using
electrodeposition and W seeds for W CVD, 2) Ti and Ta for hydrogen radicals from an upstream microwave plasma
Cu interconnect barriers, 3) noble metals for ferroelectric discharge.[31] The films deposited on glass were quite rough,
random access memory (FRAM) and DRAM capacitor however, and had resistivities of 14 mW cm when 30-nm thick.
electrodes, and 4) high- and low-workfunction metals for
dual-gate MOSFETs. Metals have been quite problematic for
ALD techniques; most trials to employ metal CVD chemistry 5. Recent Discoveries
in ALD have been unsuccessful. The reasons for these
difficulties are thought to be related to a lack of an The increasing interest in ALD over the last few years has
appropriate mechanism by which metal precursors can adsorb resulted in some interesting new innovations. The results
on metal surfaces that do not contain reactive sites, such as show clearly that the key issue in ALD is proper chemistry.
hydroxyl groups in the oxide processes, and the low reactivity With smart thermal-activated chemistry, it has been possible
of the common reducing agent H2. George et al.[23] demon- to develop new processes and new film materials grown by
strated that tungsten may be deposited from WF6 and Si2H6. ALD. A good example of this development is the discovery of
Based on detailed mechanistic studies, the overall reaction Gordon et al., who deposited SiO2 with a small amount of
was suggested to be that which is displayed in Equation (1). Al2O3 at an exceptionally high growth rate (12 nm/cycle) from
tris(tert-butoxy)silanol activated by trimethylaluminum.[32] A
WF6 þ Si2 H6 ! W þ 2 SiHF3 þ 2 H2 ð1Þ low growth temperature (250 8C) was employed and yielded
materials with complete conformality in trenches with an
Amorphous films, free of silicon and fluorine, could be aspect ratio of 70:1. The proposed mechanism relies on a
deposited at a rate of 2.5 </cycle. The noble metals Ru and Pt polymerization reaction where silanol molecules insert into
have been deposited from the organometallic precursors AlO bonds. The chains that are formed may then crosslink

5552  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim www.angewandte.org Angew. Chem. Int. Ed. 2003, 42, 5548 –5554
Angewandte
Atomic Layer Deposition Chemie

via the decomposition of tert-butyl groups and the elimination but as there are no other viable alternatives, ALD is and will
of isobutene and tert-butanol. Though this deposition rate is remain an important method for deposition on porous
too high for gate dielectric applications, the process may find materials and holes.
applications for ALD-grown SiO2 in spacers (Figure 4) and Selective ALD is a very attractive concept but also very
trench insulators between transistors, multilayer optical challenging. With self-assembled monolayers (SAMs) as
filters, planar waveguides, protective layers, and micro- passivation agents, ZrO2 was recently deposited selectively
electromechanical system (MEMS) devices. for Cu/ZrO2/Si capacitors.[38] The patterning of spin-coated
The deposition of tungsten films from tungsten hexa- octadecyl tetrachlorosilane (OTS) was achieved by micro-
fluoride and disilane is another example of the new smart contact printing.
chemistry.[23] Non-noble metals are difficult to prepare in
thermally activated processes, but it is possible with a suitable
combination of precursors. The new chemistry introduced for 6. The Limitations of ALD
high-k gate oxides that utilizes metal alkoxides as oxygen
sources is also showing the importance of chemistry in ALD The major limitation of ALD is its slowness; usually only a
processes.[11] fraction of a monolayer is deposited in one cycle. Typically
The use of a third component in an ALD cycle of binary deposition rates are 100–300 nm h1. Therefore it is not very
compounds opens up many possibilities. The use of bases to practical to grow micrometer-thick films by ALD. The slow
catalyze the reaction SiCl4 + 2 H2O!SiO2 + 4 HCl, and growth rate can be compensated by processing large batches
reductive pulses in the preparation of nitride films represent of substrates in one process, as is done in TFEL production. In
some existing examples.[15, 33] The third component may microelectronics, however, single-wafer processing is prefer-
introduce a new element into the film, as is in the serendip- red. Fortunately the films needed for future-generation ICs
itous case of WCxNy, where improved barrier properties and are very thin and thus the slowness of ALD is not such an
process integration were obtained. important issue.
Ternary and quaternary compounds needed for the Although the selection of film materials grown by ALD is
preparation of ferroelectric oxides are apparently the greatest wide,[5] many technologically important materials (Si, Ge,
challenge for ALD. There are two major issues: Ferroelectric Si3N4, several multicomponent oxides, certain metals) cannot
oxides contain several cations whose stoichiometry must be currently be deposited by ALD in a cost-effective way. Only a
accurately controlled. Second, for some of these elemental small number of groups have been studying new ALD
cations, such as lead, bismuth, and also to some extent precursors and processes and this has limited the develop-
strontium, there is no good ALD binary oxide process ment of the technique.
available. One solution for controlling the cation stoichiom- ALD is a chemical technique and thus there is always a
etry is to employ bimetallic complexes where the cations are risk of residues being left from the precursors. The impurity
present in the same ratio as in the desired film. SrTa2O6 has content of the films depends on the completeness of the
been deposited from such a precursor ([SrTa2(OEt)10- reactions. In typical oxide processes where metal halides or
(dmae)2]; dmae = N,N-dimethylaminoethoxide) using either alkyl compounds are used together with water as precursors,
water[34] or oxygen plasma[35] as the other precursor. Current- impurities found in the films are at the 0.1–1 atom % level.
ly, the addition of bismuth to this film is being studied with an The carrier gas is also an important source of impurities, as
aim to obtain the ferroelectric SrBi2Ta2O9. A large amount of has been exemplified, for example, with oxygen-sensitive AlN
work is still required for controlled ALD processes for films. In physical vapor deposition (PVD) methods the
ferroelectrics. environment is much cleaner due to the high vacuum used
Radical enhancement has opened new possibilities for and the lack of precursor compounds with unwanted ele-
developing ALD processes for materials which have re- ments.
mained outside the capabilities of thermally activated Because of their unique impurity background, ALD-
chemistry. Illustrative examples of this are the successful grown materials may have different properties than those
depositions of titanium, tantalum, and aluminum metals. made by PVD, for example. This has been seen in transition-
Besides materials completely new to ALD, radical enhance- metal nitride films, where small variations in composition
ment will also offer alternative, apparently lower temperature markedly affects the electrical properties.[8] Also microstruc-
routes for those materials which have so far been deposited by ture and morphology of ALD films may differ from PVD
traditional ALD processes. Radical enhancement is also films. Therefore the ALD materials have to be characterized
likely to assist in keeping precursor chemistry relatively thoroughly before use. Post-deposition treatments, particu-
simple, however, one obvious drawback of the radical larly high-temperature annealing under varied atmospheres,
enhanced processes is the need for a more complicated form an important part of this research as they may have both
reactor design. beneficial and adverse effects on purity and microstructure.
High-surface-area substrates have been a subject of ALD
studies because of their importance in heterogeneous catal-
ysis, and because they offer plenty of materials for detailed 7. Future Aspects
chemical characterization.[36] Some good results have been
obtained from depositions on porous silicon, for example.[37] Despite the achievements made over recent years, many
Slow precursor transportation makes the processes very slow, challenges still remain for the future development of ALD in

Angew. Chem. Int. Ed. 2003, 42, 5548 –5554 www.angewandte.org  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim 5553
Angewandte
Chemie M. Leskel and M. Ritala

microelectronics applications. Basic research on precursor [16] P. AlKn, M. Juppo, M. Ritala, T. Sajavaara, J. Keinonen, M.
chemistry and film deposition will remain important, espe- LeskelH, J. Electrochem. Soc. 2001, 148, G566 – G571; M. Juppo,
cially when expanding the application of ALD to new P. AlKn, M. Ritala, M. LeskelH, Chem. Vap. Deposition 2001, 7,
211 – 217.
materials and devices, for example, to ferroelectric oxides
[17] M. Juppo, M. Ritala, M. LeskelH, J. Electrochem. Soc. 2000, 147,
for nonvolatile memories. Integration of the ALD processes 3377 – 3381.
and materials to the overall process flow forms another [18] M. Juppo, P. AlKn, M. Ritala, T. Sajavaara, J. Keinonen, M.
important area of the research, and this should be properly LeskelH, Electrochem. Solid-State Lett. 2002, 5, C4 – C6; P. AlKn,
addressed during the early stages of the process development. M. Juppo, M. Ritala, T. Sajavaara, J. Keinonen, M. LeskelH, J.
The intense research into using ALD in microelectronics Mater. Res. 2002, 17, 107 – 114.
[19] J.-S. Park, H.-S. Park, S.-W. Kang, J. Electrochem. Soc. 2002, 149,
has brought the technique wider publicity. As the general
C28 – C30.
awareness of ALD has increased, an interest in its usage in [20] H. Kim, A. J. Kellock, S. M. Rossnagel, J. Appl. Phys. 2002, 92,
other potential application areas has also increased. Such 7080 – 7085.
areas include insulator layers in magnetic write–read heads, [21] J. W. Klaus, S. J. Ferro, S. M. George, J. Electrochem. Soc. 2000,
MEMS, and a number of demanding applications in optics 147, 1175 – 1181.
and protective coatings. It is evident that in the future, [22] S. Smith, W.-M. Li, K.-E. Elers, K. Pfeiffer, Microelectron. Eng.
research into ALD will expand further. 2002, 64, 247 – 253.
[23] J. W. Klaus, S. J. Ferro, S. M. George, Thin Solid Films 2000, 360,
145 – 153.
Dr. David Gilmer (Motorola, Austin) is thanked for Figures 4 [24] T. Aaltonen, P. AlKn, M. Ritala, M. LeskelH, Chem. Vap.
and 5. Funding from the Academy of Finland and the Finnish Deposition 2003, 9, 45 – 49; T. Aaltonen, P. AlKn, M. Ritala, M.
Technology Development Agency Tekes is gratefully acknowl- LeskelH, Chem. Mater. 2003, 15, 1924 – 1928.
edged. [25] S. M. Rossnagel, A. Sherman, F. Turner, J. Vac. Sci. Technol. B
2000, 18, 2016 – 2020; H. Kim, C. Cabral, Jr., C. Lavoie, S. M.
Received: April 1, 2003 [M1652] Rossnagel, J. Vac. Sci. Technol. B 2002, 20, 1321 – 1326.
[26] J. Lee, S.-W. Kang, Electrochem. Solid-State Lett. 2002, 5, C91 –
C93.
[1] T. Suntola, J. Antson, U.S. Patent 4,058,430, 1977. [27] M. Utriainen, M. KrLger-Laukkanen, L.-S. Johansson, L.
[2] M. LeskelH, W.-M. Li, M. Ritala, Semicond. Semimetals 1999, 64, NiinistL, Appl. Surf. Sci. 2000, 157, 151 – 158.
413 – 487. [28] J. Chae, H.-S. Park, S. Kang, Electrochem. Solid-State Lett. 2002,
[3] http://www.planar.com. 5, C64 – C66.
[4] Atomic Layer Epitaxy (Eds.: T. Suntola, M. Simpson) Blackie, [29] P. MMrtensson, J.-O. Carlsson, J. Electrochem. Soc. 1998, 145,
Glasgow, 1990, p. 182. 2926 – 2931.
[5] M. Ritala, M. LeskelH in Handbook of Thin Film Materials, [30] J. Kostamo, V. Saanila, M. Tuominen, S. Haukka, K.-E. Elers, M.
Vol. 1 (Ed.: H. S. Nalwa), Academic Press, San Diego, 2001, Soininen, W.-M. Li, M. Leinikka, S. Kaipio, H. Huotari, AVS
pp. 103 – 156. Topical Conference on Atomic Layer Deposition—ALD2002,
[6] M. LeskelH, M. Ritala, J. Phys. IV 1999, 9(8), 837 – 852; M. (August 2002, Seoul), Abstracts p. 21.
LeskelH, M. Ritala, Thin Solid Films 2002, 409, 138 – 146. [31] A. Niskanen, M. Ritala, M. LeskelH, unpublished results.
[7] T. Suntola, Mater. Sci. Rep. 1989, 4, 261 – 312. [32] D. Hausmann, J. Becker, S. Wang, R. G. Gordon, Science 2002,
[8] A. Hand, Semicond. Int. 2003, 26(May), 46 – 51. 298, 402 – 406.
[9] G. D. Wilk, R. M. Wallace, J. M. Anthony, J. Appl. Phys. 2001, [33] J. W. Klaus, O. Sneh, S. M. George, Science 1997, 278, 1934 –
89, 5243 – 5275. 1936.
[10] E. P. Gusev, E. Cartier, D. A. Buchanan, M. Gribelyuk, M. [34] M. VehkamHki, M. Ritala, M. LeskelH, A. C. Jones, H. O. Davies,
Copel, H. Okorn-Schmidt, C. D'Emic, Microelectron. Eng. 2001, E. Rauhala, T. Sajavaara, J. Keinonen, J. Electrochem. Soc.,
59, 341 – 349; M. Copel, M. Gribelyuk, E. Gusev, Appl. Phys. submitted.
Lett. 2000, 76, 436 – 438. [35] W.-J. Lee, I.-K. You, S.-O. Ryu, B.-G. Yu, K.-I. Cho, S.-G. Yoon,
[11] M. Ritala, K. Kukli, A. Rahtu, P. I. RHisHnen, M. LeskelH, T. C.-S. Lee, Jpn. J. Appl. Phys. Part 1 2001, 40, 6941 – 6944.
Sajavaara, J. Keinonen, Science 2000, 288, 319 – 321. [36] S. Haukka, E.-L. Lakomaa, T. Suntola, Stud. Surf. Sci. Catal.
[12] A. Rahtu, M. Ritala, Langmuir 2002, 18, 10 046 – 10 048. 1998, 120, 715 – 750.
[13] M. VehkamHki, T. HatanpHH, T. HHnninen, M. Ritala, M. [37] C. Ducso, N. Q. Khanh, Z. Hovarth, I. Barsony, M. Utriainen, S.
LeskelH, Electrochem. Solid-State Lett. 1999, 2, 504 – 506; M. Lehto, M. Nieminen, L. NiinistL, J. Electrochem. Soc. 1996, 143,
VehkamHki, T. HHnninen, M. Ritala, M. LeskelH, T. Sajavaara, E. 683 – 687.
Rauhala, J. Keinonen, Chem. Vap. Deposition 2001, 7, 75 – 80. [38] D. Jeong, J. Park, N. Park, H.-J. Yang, J.-G. Lee, H. Shin, M.-M.
[14] K.-E. Elers, V. Saanila, P. J. Soininen, W.-M. Li, J. T. Kostamo, S. Sung, J. Kim, AVS 4th International Conference on Micro-
Haukka, J. Juhanoja, W. A. Besling, Chem. Vap. Deposition electronics and Interfaces (March 2003, Santa Clara) Abstracts
2002, 8, 149 – 153. p. 225.
[15] M. Ritala, P. Kalsi, D. RiihelH, K. Kukli, M. LeskelH, J. Jokinen,
Chem. Mater. 1999, 11, 1712 – 1718.

5554  2003 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim www.angewandte.org Angew. Chem. Int. Ed. 2003, 42, 5548 –5554

You might also like