Zeze 2002 Reactive Ion Etching of Quartz and

Download as pdf or txt
Download as pdf or txt
You are on page 1of 7

Reactive ion etching of quartz and Pyrex for

microelectronic applications
Cite as: Journal of Applied Physics 92, 3624 (2002); https://doi.org/10.1063/1.1503167
Submitted: 28 March 2002 . Accepted: 01 July 2002 . Published Online: 18 September 2002

D. A. Zeze, R. D. Forrest, J. D. Carey, D. C. Cox, I. D. Robertson, B. L. Weiss, and S. R. P. Silva

ARTICLES YOU MAY BE INTERESTED IN

Characterization of reactive ion etching of glass and its applications in integrated optics
Journal of Vacuum Science & Technology A 9, 2709 (1991); https://doi.org/10.1116/1.577229

Plasma etching of Si and SiO2—The effect of oxygen additions to CF4 plasmas


Journal of Applied Physics 49, 3796 (1978); https://doi.org/10.1063/1.325382

Smooth surface glass etching by deep reactive ion etching with and Xe gases
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures
Processing, Measurement, and Phenomena 21, 2545 (2003); https://doi.org/10.1116/1.1624272

Journal of Applied Physics 92, 3624 (2002); https://doi.org/10.1063/1.1503167 92, 3624

© 2002 American Institute of Physics.


JOURNAL OF APPLIED PHYSICS VOLUME 92, NUMBER 7 1 OCTOBER 2002

Reactive ion etching of quartz and Pyrex for microelectronic applications


D. A. Zeze,a) R. D. Forrest, J. D. Carey, D. C. Cox, I. D. Robertson, B. L. Weiss,
and S. R. P. Silva
School of Electronics, Computing and Mathematics, University of Surrey, Guildford GU2 7XH,
United Kingdom
共Received 28 March 2002; accepted for publication 1 July 2002兲
The reactive ion etching of quartz and Pyrex substrates was carried out using CF4 /Ar and CF4 /O2
gas mixtures in a combined radio frequency 共rf兲/microwave 共␮w兲 plasma. It was observed that the
etch rate and the surface morphology of the etched regions depended on the gas mixture 共CF4 /Ar
or CF4 /O2 ), the relative concentration of CF4 in the gas mixture, the rf power 共and the associated
self-induced bias兲 and microwave power. An etch rate of 95 nm/min for quartz was achieved. For
samples covered with a thin metal layer, ex situ high resolution scanning electron microscopy and
atomic force microscopy imaging indicated that, during etching, surface roughness is produced on
the surface beneath the thin metallic mask. Near vertical sidewalls with a taper angle greater than
80° and smooth etched surfaces at the nanometric scale were fabricated by carefully controlling the
etching parameters and the masking technique. A simulation of the electrostatic field distribution
was carried out to understand the etching process using these masks for the fabrication of high
definition features. © 2002 American Institute of Physics. 关DOI: 10.1063/1.1503167兴

I. INTRODUCTION nisms is dominant which in turn depends on the etching sys-


tem and process parameters. There are a number of different
The microfabrication of glass structures using plasma types of plasma sources, such as those based on direct cur-
etching is a promising emerging technology which can be rent discharge, radio frequency 共rf兲 sources 共capacitively
used in a variety of areas, such as optoelectronics, coupled or inductively coupled兲 and microwave 共␮w兲
millimeter-wave technology, microelectromechanical sys- sources 共electron cyclotron resonance, surfatron, etc.兲.4 In
tems 共MEMS兲, capillary chips and diffraction gratings.1 Be- addition, in the RIE process different types of reactive gases
cause glass is a relatively cheap suitable substrate for good such as CF4 , SF6 , CHF3 and chlorine-based gases can be
ion-exchange waveguides, it is often used in integrated op- used. These reactive gases are often mixed to user-defined
tics devices. For integrated sensors,2 the ability to fabricate concentrations with argon, oxygen or hydrogen to enhance
structures with near vertical sidewalls and reduced surface the etching properties of the plasma.
roughness is a key factor. In this regard, the control of sur- In this article we report on the etching of features in
face roughness is especially important for optical and micro- quartz and Corning 7740 共Pyrex兲 using a combined rf micro-
wave devices since surface roughness can induce light scat- wave etching system 共rf/␮w RIE兲 analyzed with surface pro-
tering and signal loss which ultimately degrades device filometry, scanning electron microscopy 共SEM兲 and atomic
performance.3 The fabrication of suitable structures can be force microscopy 共AFM兲 techniques. The etch rate, surface
achieved by optimizing the etching process parameters. In topography, taper angle and surface roughness of the etched
addition, the range and degree of control of the process pa- structures are investigated as a function of the etching pa-
rameters offered by a particular etching system determine its rameters. We also investigate the effects of different masking
suitability for a given application. For the fabrication of such techniques on the etched features. The simulation of the edge
high precision devices, dry etching, because of its aniso- profile indicates how masking can be modified to achieve the
tropic properties, is commonly preferred to wet etching required well-defined device structures.
which is generally isotropic and can induce undesirable un-
dercutting. II. EXPERIMENT
Dry etching occurs when the ion and neutral species pro- The rf/␮w RIE system was designed to be able to both
duced in a plasma discharge interact with the substrate and it deposit thin films by plasma enhanced chemical vapor depo-
can be characterized by two different processes. These are sition 共PECVD兲 and to reactively ion etch features in
sputter etching, where the etching is due to the kinetics of the samples. A schematic of the system is shown in Fig. 1. The
ion and neutral species produced in the plasma, and reactive sample is placed on a 11.5 cm diameter driven electrode
ion etching 共RIE兲, where it is the chemical reaction between 共sample holder兲. After suitable vacuum is achieved
the ions and the substrate that results in the etching. The etch (⬃10⫺7 Torr), process gases are introduced into the cham-
rate, shape and morphology of the etched features are depen- ber using mass flow controllers. The plasma is then ignited
dent on the extent to which one of these dry etch mecha- using a tunable capacitively coupled rf source powered by a
ENI HF-300 supply before the ␮w radiation is coupled into
a兲
Corresponding author; electronic mail: [email protected] the chamber 共supplied by a magnetron powered by a Muegge

0021-8979/2002/92(7)/3624/6/$19.00 3624 © 2002 American Institute of Physics


J. Appl. Phys., Vol. 92, No. 7, 1 October 2002 Zeze et al. 3625

FIG. 1. Schematic of the radio frequency combined microwave reactive ion


etching system.

supply兲. The plasma is pulled through the microwave cavity


towards the sample under the influence of the negative self-
bias produced on the substrate table by the rf signal. To mini-
mize the rf and microwave reflected power during the depo-
sition or etching process, the system is tuned using an
inductive matching unit for the rf source and a longitudinal
coarse and three-stud fine tuner for the microwave source.
The RIE of quartz and Pyrex samples was carried out
using high purity CF4 /Ar and CF4 /O2 gas mixtures in the FIG. 2. Etch rate of fused quartz and silicon 具100典 as a function of the
rf/␮w-RIE system. The substrates 共approximately 1 cm2 ) microwave power at 20% CF4 in the chamber 共a兲 and CF4 composition in
the gas mixture for a microwave power of 600 W 共b兲.
used are commercially available Pyrex 共borosilicate glass,
Corning 7740 standard, provided by Corning International,
Wiesbaden, Germany兲 and fused quartz 关very fine 共VF兲
grade, provided by Heraeus, Byfleet, England兴 which were III. RESULTS AND DISCUSSION
cleaned using acetone, methanol, isopropanol and de-ionized
A. Etch rate and system calibration
water prior to etching. Subsequently, the mask layers were
prepared by either evaporating a thin Ni metal film, patterned An initial calibration of the rf/␮w-RIE system for a fixed
using standard photolithographic techniques, or by attaching microwave power of 300 W showed that 30–35 mTorr, 20%
a silicon wafer to the sample using vacuum adhesive pads. CF4 共in Ar兲 and 320– 400 V were the optimum values of
Prior to etching, the chamber was evacuated to a base pres- process pressure, gas mixture and negative self-bias, respec-
sure of ⬃10⫺7 Torr. The microwave power levels were var- tively. These operating conditions resulted in etch rates of
ied between 0 and 1200 W for the 2.45 GHz source. The rf about 45 nm/min for a silicon wafer 共具100典, p type兲 and 30
power level was also varied between 0 and 300 W for the nm/min for quartz. This latter value is similar to that reported
13.56 MHz rf generator, corresponding to a negative self- by Leech5 for etching quartz in a rf CF4 plasma with a nega-
bias of 0–500 V. In order to more efficiently control device tive self-bias voltage in the range of 320–360 V. Note that,
fabrication, the system was calibrated by systematically under given processing conditions, the etch rate of Pyrex
varying the process gas flow rates, process pressure 共5– 60 specimens was similar to that of quartz. The effects of in-
mTorr兲, gas mixture and the rf and ␮w power levels. During creasing the microwave power level and the percentage of
the etching process, the sample holder was water cooled to CF4 in the gas mixture are shown in Fig. 2 for both silicon
keep the sample near room temperature to prevent any ther- and quartz. In Fig. 2共a兲, the etch rates of Si and quartz are
mally induced effects on the sample. A K-type thermocouple seen to increase up to 130 and 100 nm/min, respectively, as
was incorporated into the process chamber to monitor the the power level is increased to 850 W. The effects of the
sample holder temperature before and after processing. varying the CF4 content in the gas mixture were also inves-
After etching any remaining mask material was removed tigated for a fixed microwave power of 600 W and are shown
by wet chemical etching. The processed samples were ana- in Fig. 2共b兲. The etch rate of Si increases to 172 nm/min as
lyzed using a surface profilometer 共Sloan Technology Dektak the concentration of CF4 in the mixture is raised to 84% and
IIA兲 with resolution better than 1 nm to measure the etch saturates thereafter, whereas the maximum etch rate of
depth. A Hitachi S4000 cold field emission gun SEM oper- quartz, 95 nm/min, does not vary significantly as the CF4
ating at 5 kV was used to study the surface morphology of content in the gas mixture is varied from 0% to 100% for a
the specimens and secondary electron signals were used to constant microwave power of 600 W. For the RIE system
evaluate the etch profiles. Ambient AFM measurements were described above, the etch rate of quartz using ⫺340 V self-
performed using a Digital Instruments Nanoscope IIIA oper- bias is 95 nm/min is more than twice that reported by Leech5
ating in tapping mode to quantitatively evaluate the etch pro- for similar self-bias voltages in a CF4 rf plasma source.
file and surface roughness. However, higher etch rates up to ⬃1 ␮ m/min, have been
3626 J. Appl. Phys., Vol. 92, No. 7, 1 October 2002 Zeze et al.

achieved elsewhere6 using an inductively coupled plasma


共ICP兲. The high etch rate produced by an ICP system is at-
tributed to the greater plasma density and other parameters,
such as plasma potential and ion energy spread. In an ICP
system, the ion density is ⬎1011 ions/cm3 and can reach
1013 ions/cm3 . 7,8 In turn, although rf coupled systems can
theoretically reach 1012 ions/cm3 , only about
10 11 3
10 – 10 ions/cm are measured. In the system in this
study, the etching chamber used is designed to incorporate a
load lock with in situ scanning tunneling microscopy facili-
ties and the volume of the entire system vessel and the mi-
crowave cavity are ⬃1 and ⬃0.01 m3 , respectively. This
may affect the confinement and reduce the density of the
plasma. Under the same processing conditions, the etch rate
for a quartz sample increased from 30 to 40 nm/min when an
internal side shielding wall was inserted into the microwave
cavity, thereby reducing the diameter of the cylindrical cav- FIG. 3. SEM characteristics of Pyrex etched using a CF4 /O2 plasma 共a兲, 共c兲
ity from 15 to 11.5 cm. and a CF4 /Ar plasma 共b兲, 共d兲. The images are shown on 30 and 1 ␮m scales
for 共a兲, 共c兲 and 共c兲, 共d兲, respectively.
In order to further investigate the etch rate of the rf/␮w-
RIE system, the etching characteristics of CF4 /Ar were com-
pared with those of CF4 /O2 gas mixtures. The results indi- ent gas mixtures used. The masked 共unetched兲 region in Fig.
cate that, under the same experimental conditions 共power 3共d兲 exhibits an estimated surface roughness of 200 nm
levels, gas flows, process pressure兲 which were optimized for whereas the sample in Fig. 3共c兲 exhibits a smooth unetched
CF4 /Ar, the etch rate using a CF4 /O2 plasma is at least 1.5 area. The etched area in Fig. 3共c兲 gives a root mean square
times higher than that for CF4 /Ar for all the materials stud- 共rms兲 roughness ⬃50 nm from the AFM measurements
ied here. This is comparable to results reported elsewhere.9 shown in Table I.
The surface topography of the etched samples was stud-
ied in detail using AFM. Typical surface maps of the etched
B. Surface roughness and masked areas for the sample processed with the CF4 /O2
The Pyrex samples were masked by evaporating a thin plasma are shown in Figs. 4共a兲 and 4共b兲, respectively. The
(⬃150 nm) Ni layer and patterned using conventional pho- analysis of the sample etched using CF4 /O2 gives rms sur-
tolithography. The RIE process was set up to etch 1–3 ␮m, face roughness values of 34 and 49 nm for the masked and
using either CF4 /O2 or CF4 /Ar plasma to study the resultant etched surfaces of the Pyrex samples, respectively. The rms
surface roughness of the etched surfaces while all other ex- surface roughnesses of the Pyrex samples after RIE with dif-
perimental conditions were kept constant. A detailed SEM ferent gas mixtures and masks are given in Table I. The
and AFM study of the etched profiles combined with the results indicate that the low rms roughness of the Pyrex
surface profilometry data was used to evaluate qualitatively sample before etching 共rms roughness of ⬃9 nm) is in-
and quantitatively the surface roughness and the quality of creased after etching through a thin (⬃150 nm) conventional
the sidewalls. SEM images of Pyrex samples etched in Ni mask. It is reasonable to believe that the wet etch which
CF4 /O2 and in CF4 /Ar plasma are shown in Fig. 3. On a 30 removes the remaining mask material plays a role in increas-
␮m scale, a comparison of Fig. 3共a兲 with Fig. 3共b兲 indicates ing the roughness of the masked regions. However, since all
that the masked region of the specimen etched using the the samples were processed under the same wet etching con-
CF4 /Ar 关Fig. 3共b兲兴 exhibits a higher surface roughness than ditions, it is unlikely that the wet etch 共removal兲 of the mask
the masked region subject to a CF4 /O2 etch. The rough- material is responsible for roughening of the surface below
nesses of the etched and masked areas are very similar to the mask. If it contributes to the roughening, then the contri-
those for the sample etched with the CF4 /O2 关Fig. 3共a兲兴 bution is expected to be similar for all the specimens regard-
whereas the masked area of the CF4 /Ar etched sample is less of the dry etching process under which they have been
considerably rougher than the etched area 关Fig. 3共b兲兴. One processed prior to wet removal of the mask material. This
can also observe that the neighboring region between the roughness induced below the mask that occurs in parallel
etched and masked areas 共interface兲 shows a degree of
roughness that is higher than that of the etched areas. This is
TABLE I. AFM rms surface roughness 共in nm兲 of Pyrex substrates etched
indicative of the difficulty of fully controlling the etching using different gas mixtures for different mask materials.
process and is believed to result from the reflections and
multiple scattering of species onto the sidewall. The differ- Surface roughness 共nm兲
Etchant gas Mask
ence in the electrical field distribution in the regions neigh- mixture material Etched Masked
boring the edges cannot be discounted either in this particu-
CF4 /O2 Ni 49 34
lar case 共as discussed later兲. Higher magnification images 共1
CF4 /Ar Ni 50 149
␮m scale兲 of the specimens shown in Figs. 3共c兲 and 3共d兲 CF4 /Ar Si wafer 136 9
underscore the difference in surface roughness for the differ-
J. Appl. Phys., Vol. 92, No. 7, 1 October 2002 Zeze et al. 3627

FIG. 5. Etch profiles of Pyrex for a specimen covered with a thin metal
layer 共a兲, 共b兲 and for a specimen with a dielectric layer coating on the metal
mask 共c兲, 共d兲.

the fabrication of multichip optoelectronic module 共MOM兲


structures, the surface roughness plays a significant role
since the level of scattering and microwave losses, which are
related to the surface roughness, modify the optical and mi-
crowave properties of the structure. As reported by Berg and
Pang,10 the surface damage, reflectivity, sidewall damage,
defect density, thermal load etc. that occur during the etching
process can degrade device characteristics. The selectivity
factor of the masking materials can affect the surface rough-
ness of the etched areas, since materials with a relatively
higher etch rate will tend to result in the redeposition11 of
more nonvolatile materials than masks with a relatively low
etch rate. For example, the etch rate of a silicon wafer is
much higher than that of Ni. In our case, for the sample
masked by Si, more nonvolatile materials may be redepos-
ited onto the etched area, thereby inducing a higher degree of
roughness as indicated in Table I 共136 compared to ⬃50 nm
for the sample coated with a nickel layer兲.
FIG. 4. AFM images of a Pyrex sample showing the rms surface roughness
induced by the reactive ion etching process on the etched area 共a兲 and C. Taper angle and edge profile
beneath the masked region 共b兲.
Two sets of Pyrex samples 共labeled S1 and S2兲 coated
with approximately 150 nm of Ni were patterned using pho-
with the etching of the exposed areas is more pronounced tolithographic techniques and AZ4330a photoresist which
using the CF4 /Ar plasma than the CF4 /O2 plasma. There- produces a ⬃3 ␮ m thick film. After patterning the nickel, the
fore, these results are thought to originate from the kinetic resist layer was removed from sample S1 using acetone
properties of the ion and neutral species impacting the rela- whereas for sample S2 the photoresist covering the nickel
tively thin mask thereby producing craters in the sample be- was not removed. Both samples were processed simulta-
low the metal mask, a purely ‘‘ballistic’’ or ‘‘physical sput- neously in the RIE system using the same plasma conditions.
tering effect.’’ Since the argon ions are much heavier than the The AFM edge profiles of these samples are shown in Fig. 5.
oxygen ions, this effect is expected to be more marked in a From these profiles and the data collected from the deep
CF4 /Ar plasma than in a CF4 /O2 plasma, as observed. etched structures 共5–15 ␮m兲, the taper angle was measured
The data in Table I also show that surface roughness to be between 80° and 86° for both samples, which is desir-
values as low as 49 nm can be achieved on the etched sur- able for device manufacture. The taper angles obtained here
faces. For 3–5 ␮m deep structures devices processed in the are comparable to those of 79° – 88° reported in the
rf/␮w-RIE system, the surface rms roughness was below 150 literature.6 Also, for sample S2, with the photoresist coating
nm. This is significantly less than the rms roughness value of 关Fig. 5共c兲兴, the etched area is relatively rough, with a rms
1 ␮m for similar features etched in Pyrex that have been value of 82 nm, compared to 49 nm for the sample without
reported in the literature.6 The rms roughness was also mea- the photoresist covering the metal mask. Before removing
sured 共surface profilometry and SEM兲 to be ⬃200 nm for 15 the excess photoresist and the Ni mask, solid residues were
and 21 ␮m deep structures, indicating that the roughness observed on the etched areas using an optical microscope.
induced using the RIE process does not scale linearly with This is probably due to nonvolatile materials being released
the etch depth. This is particularly important for deep etch- from the photoresist and redepositing onto the surface being
ing, such as that used for MEMS devices. For example, in etched during the process as already demonstrated
3628 J. Appl. Phys., Vol. 92, No. 7, 1 October 2002 Zeze et al.

elsewhere.12 This effect of materials redepositing randomly


onto the surface being etched could reduce the etch rate and
induce a higher degree of roughness that compromises the
uniformity of the etched surfaces.
A comparison of the pictures and scan profiles shown in
Fig. 5 shows interesting differences between the profiles at
the boundary between the etched and unetched regions. In
Figs. 5共c兲 and 5共d兲, sample S2 共with the photoresist coating
covering the Ni mask兲 exhibits a sharp change between the
unetched surface and the etch wall, resulting in near vertical
sidewalls. In contrast, in Figs. 5共a兲 and 5共b兲, sample S1,
without the photoresist coating on the mask, has a graded
boundary between the sidewall and masked area. This ero-
sion of the corner, with an angle of approximately 45° in the
plot, can be seen clearly in both the surface maps and the
section profiles. Note that similar features with rounded cor-
ners were observed on silica structures by Bazylenko and
FIG. 6. Phenomenological etching model showing the etching mechanism
Gross13 although the process conditions 共hollow cathode dis- for samples coated with a thin metal mask 共a兲–共c兲 and samples with a
charge plasma source using a mixture of CF4 /CHF3 , Ar or dielectric layer on the top of the metallic mask 共d兲–共f兲.
O2 ) used were different from those in the current study. It
appears that the presence of the layer of photoresist on the
metal mask is responsible for a better edge profile under the
conditions described in this article. This is particularly im- local electric field and comparing it to the macroscopically
portant because it could be the basis of a cost-effective applied electric field. The ratio between these two quantities
method of fabricating 0–5 ␮m deep devices with sharp edges is the field enhancement factor, ␤, for the two identically
and near vertical walls using RIE. geometric square substrates of metal and a dielectric with
The question of how or if the thickness of the photoresist ␧ r ⫽5. It was found that at the corner of the dielectric sub-
influences the shape of the edge profile, now under investi- strate the maximum value of ␤ obtained was 1.25, whereas
gation, is not yet fully understood. However, in the present the field enhancement at the corner of the metallic substrate
work, we have anticipated that photoresist as a dielectric is 1.75. Enhancements above 1 at the corner can be attributed
material would allow a more uniform distribution of the elec- to the apex of the corners and the higher value in the metallic
trical field on the surface of the sample during the etching case can be attributed to the higher conductivity of the ma-
process and prevent erosion of the edges. The possible pres- terial and lower field penetration into the metal. Conse-
ence of thin native oxide or of that produced at the surface of quently the ion yield will be larger at the edges of the me-
the nickel layer by interaction with oxygen plasma (CF4 /O2 ) tallic mask than at the dielectric mask. This causes the edges
can affect the conductivity of the mask material during the of the masks to be etched away, with this effect being more
etching process. This oxide, to some degree, may affect the pronounced in the case of the metallic masks.
roughness induced beneath the mask by virtue of creating an The agreement between the experimental observation
artificial dielectric layer on the top of the nickel, but further and the simulation, with regard to erosion of the edge of the
study is required before a conclusion can be drawn. How- etched walls where only a simple thin metal mask is used,
ever, the conductivity should remain significantly high com- allows us to propose the following phenomenological model
pared to that of photoresist since clear differences are ob- of metal mask erosion during a RIE process. For a thin,
served between the features of specimens etched with and uncoated metal mask there is higher field enhancement dur-
without photoresist covering the nickel mask 共Fig. 5兲. There- ing the RIE process at the exposed edges of the mask that
fore, in the simulation below, we have discounted the reduc- induces erosion of the metal mask at these points 关Fig. 6共a兲兴.
tion of the conductivity of nickel induced by the plasma The mask will slowly be etched back, progressively exposing
treatment so as to model an ideal case that explains the more of the substrate to the ion flux. The result of this pro-
present experimental results. cess is that the edge of the etched wall will have an eroded
appearance, as shown in Figs. 6共b兲 and 6共c兲 and not an ideal
perpendicular profile. One way of addressing this effect is to
D. Simulation and masking model
spin coat an additional layer of dielectric onto the metallic
The above results and discussion show that the masking mask which would lead to a more uniform distribution of the
techniques employed here during RIE are important factors electrical field at the surface of the specimen 关Fig. 6共d兲兴. This
that significantly influence the profile of the etched features. would prevent the mask from being etched back while the
In order to quantitatively understand this effect in greater photoresist coating is still present, resulting in a better edge
detail we have simulated the electric field distribution around profile 关Figs. 6共e兲 and 6共f兲兴. The redeposition of nonvolatile
the edges of the mask material by solving either the Laplace material that occurs during the etching process could com-
equation 共for metallic substrates兲 or the Poisson equation for promise the etch rate, sharpness and roughness of the final
dielectric substrates. The model consists of estimating the etched surface and is the subject of further study.
J. Appl. Phys., Vol. 92, No. 7, 1 October 2002 Zeze et al. 3629

IV. CONCLUSION involving use of a dielectric coated metal mask was proposed
and shown to overcome this problem for deep etching up to
The etch rate of Pyrex 共95 nm/min兲 in the rf/␮w-RIE
15 ␮m. This technique resulted in the fabrication of struc-
system studied here is high compared to that published using
tures with improved sidewalls and highly defined edges.
conventional RIE systems and is sufficient for the fabrication
of features with depths up to 15 ␮m. The etching is depen-
ACKNOWLEDGMENTS
dent on many process parameters, such as the rf induced
self-bias, microwave power, process pressure, gas composi- The authors wish to acknowledge the financial support
tion, etc. The most dramatic effect was found by substituting of the UK Engineering and Physical Sciences Research
CF4 /O2 for CF4 /Ar as the feed gas. In almost identical ex- Council 共EPSRC兲. This work was part of a project in col-
perimental conditions, the etch rate of a CF4 /O2 plasma is laboration with the University of Kent, Canterbury, UK.
1.5 times higher than that of a CF4 /Ar plasma. Also, CF4 /O2
1
plasma produces a smoother etched surface, has a higher etch C. Peirrat, T. Siegrist, J. De Marco, L. Harriot, and S. Vaida, J. Vac. Sci.
rate and avoids roughening of the masked areas, which is Technol. A 14, 63 共1996兲.
2
M. Esashi, Microsyst. Technol. 1, 2 共1994兲.
observed when using CF4 /Ar for the material used here. 3
K. D. Skeldon, J. Mackintosh, M. von Gradowski, S. Thieux, and R. Lee,
The observed roughening of the sample through a thin J. Opt. A, Pure Appl. Opt. 3, 183 共2001兲.
metal mask for the CF4 /Ar gas mixture is believed to be due 4
N. St. J. Braithwaite, Plasma Sources Sci. Technol. 9, 517 共2000兲.
to the Ar ions having sufficient kinetic energy to cause cra-
5
P. W. Leech, Vacuum 55, 191 共1999兲.
6
X. Li, T. Abe, and M. Esashi, Sens. Actuators A 87, 139 共2001兲.
tering or etching of the substrate below the mask. AFM 7
S. Rauf and M. Kushner, IEEE Trans. Semicond. Manuf. 11, 486 共1998兲.
analysis of the reactive ion etched metal masked Pyrex 8
H. Ito and N. Sakudo, Ion Implantation Technology–96, IEEE, 1997, p.
samples showed that there was erosion of the edge profile 291.
9
between the unetched surface and the etched wall. A simula- R. D’Agostino, F. Cramarossa, S. De Benedictis, and G. Ferraro, J. Appl.
Phys. 52, 1259 共1981兲.
tion was used to show an enhancement of the electrostatic 10
E. W. Berg and S. W. Pang, J. Vac. Sci. Technol. B 16, 3359 共1998兲.
field at the edges of the thin metallic mask which was less 11
Z.-H. Liu, N. M. D. Brown, and A. McKinley, Appl. Surf. Sci. 108, 319
significant for the dielectric coated mask. Therefore, it was 共1997兲.
12
D. X. Ma, T. R. Webb, A. Zhao, Z. Huang, D. Tajima, and P. K. Loewen-
suggested that this enhanced field would cause the edge of
hardt, Proceedings of the 11th ISPP Conference 共The Electrochem. Soci-
the mask to be preferentially etched. A phenomenological ety, Pennington, NJ, 1996兲, p. 250.
model was proposed to explain this and a simple technique 13
M. V. Bazylenko and M. Gross, J. Vac. Sci. Technol. A 14, 2994 共1996兲.

You might also like