Demosthenous Integrated Circuits For Medical Ultrasound Applications Imaging and Beyond

Download as pdf or txt
Download as pdf or txt
You are on page 1of 21

838 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO.

5, OCTOBER 2021

Integrated Circuits for Medical Ultrasound


Applications: Imaging and Beyond
Yaohua Zhang , Student Member, IEEE, and Andreas Demosthenous , Fellow, IEEE

Abstract—Medical ultrasound has become a crucial part of “The Theory of Sound” [2] and the Curie brothers (Pierre and
modern society and continues to play a vital role in the diagnosis Jacques) who discovered the piezoelectric effect in 1880. The
and treatment of illnesses. Over the past decades, the develop- scope of this review paper is limited to ultrasound advances from
ment of medical ultrasound has seen extraordinary progress as
a result of the tremendous research advances in microelectronics, the 1950s onward since earlier developments were concentrated
transducer technology and signal processing algorithms. However, on sonar instead of medical applications. The interested reader
medical ultrasound still faces many challenges including power- can refer to [3], [4] for a detailed review of the history of
efficient driving of transducers, low-noise recording of ultrasound ultrasound.
echoes, effective beamforming in a non-linear, high-attenuation The 1950s was a high point and had a far-reaching influence
medium (human tissues) and reduced overall form factor. This
paper provides a comprehensive review of the design of integrated in the development of medical ultrasound. Two of the greatest
circuits for medical ultrasound applications. The most important milestones in medical ultrasound were achieved in this decade.
and ubiquitous modules in a medical ultrasound system are ad- In 1953, Inge Edler and Carl Hellmuth Hertz performed the
dressed, i) transducer driving circuit, ii) low-noise amplifier, iii) first successful echocardiogram in an attempt to diagnose mitral
beamforming circuit and iv) analog-digital converter. Within each stenosis. Ian Donald, John Macvicar and Tom Brown published
ultrasound module, some representative research highlights are
described followed by a comparison of the state-of-the-art. This their seminal paper “Investigation of Abdominal Masses by
paper concludes with a discussion and recommendations for future Pulsed Ultrasound” in 1958 [5] and henceforth revolutionised
research directions. the field of obstetrics and gynaecology with ultrasound diag-
Index Terms—Analog-digital converter, beamforming,
nostics. These breakthroughs demonstrated the immense value
capacitive micromachined ultrasonic transducer (CMUT), of ultrasound imaging and established imaging as the dominant
low-noise amplifier, piezoelectric transducer, pulser, review, research direction in medical ultrasound.1
ultrasound integrated circuit (IC). Although the breakthroughs in ultrasound imaging in the
1950s were very impressive, it faced many poor performance
I. INTRODUCTION issues like slow image acquisition, poor image quality, bulky
equipment and operator dependence. Therefore, in the subse-
LTRASOUND is defined as sound with frequencies
U greater than or equal to 20 kHz, and is consequently be-
yond the upper limit of the human hearing range [1]. Ultrasound
quent decades, research efforts were directed toward three inter-
dependent tracks of developing i) smaller and better performing
ultrasound transducers, ii) ultrasound imaging integrated circuits
has many useful properties and medical ultrasound technology (ICs) to improve portability and performance, and iii) advanced
has become an indispensable feature of modern society. In order signal processing algorithms to increase the visual clarity of
to appreciate the importance of medical ultrasound and gain an ultrasound images. For the sake of brevity, some important,
understanding of its current and emerging research directions, pioneering works in tracks i) and ii) that are of particular inter-
it is appropriate to start by reviewing its history. est to the microelectronics design community are highlighted.
The history of ultrasound can be traced back to the late 19th Firstly, the work in [6], [7] pioneered the development of med-
century when major discoveries, both theoretical and experimen- ical ultrasound imaging ICs to process real-time images from
tal were made. Notable pioneers of that age include John William multiple phased arrays. The development of ultrasound ICs is a
Strutt (also known as the Lord Rayleigh) who laid down the key step toward the miniaturisation and integration of ultrasound
theoretical foundations of the study of ultrasound with his book systems and leverages on the exponential progress in the CMOS
industry (Moore’s law). Secondly, outstanding contributions to
Manuscript received May 7, 2021; revised August 12, 2021 and September the development of a new class of ultrasound transducers –
22, 2021; accepted September 24, 2021. Date of publication October 19, 2021; the capacitive micromachined ultrasound transducers (CMUTs)
date of current version December 9, 2021. This work was supported in part by
the European Union’s Horizon 2020 Research and Innovation Program under can be seen in [8]–[10]. CMUT technology is a game changer
Grant 899822. This paper was recommended by Associate Editor Dr. K.-T. Tang. and presents many advantages over traditional piezoelectric
(Corresponding author: Andreas Demosthenous.) transducers including greater bandwidth, ease of fabrication of
The authors are with the Department of Electronic and Electrical Engi-
neering, University College London, WC1E 7JE London, U.K. (e-mail: yao- large arrays and better integration with CMOS circuits [11].
[email protected]; [email protected]).
Color versions of one or more figures in this article are available at 1 Another important research area in medical ultrasound is the development
https://doi.org/10.1109/TBCAS.2021.3120886. of therapeutic ultrasound including high intensity ultrasound to thermally ablate
Digital Object Identifier 10.1109/TBCAS.2021.3120886 tissues/cells.

This work is licensed under a Creative Commons Attribution 4.0 License. For more information, see https://creativecommons.org/licenses/by/4.0/
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 839

This paper provides a comprehensive review of integrated


circuit designs for medical ultrasound systems with emphasis
on the core modules, i) transducer driving circuit, ii) LNA,
iii) beamformer and iv) ADC. Although there are many excellent
review papers published on the transducer [15]–[17] and signal
processing [18], [19] aspects of medical ultrasound, there are
not many review papers published on the hardware aspect.
Fig. 1. Ultrasound system architecture. Therefore, this paper aims to fill this gap in the literature.
Section II presents a brief overview of the basics of medical
ultrasound technology. Section III introduces the main classes
Looking back at the history of medical ultrasound of ultrasound transducers and elaborates on their respective
development, it is evident that medical ultrasound has been and equivalent circuit models. Sections IV to VII are dedicated to
continues to be an active area of research. The reason for this is the analysis of the core ultrasound modules (transducer driver,
twofold. Firstly, ultrasound is relatively safe and does not induce receiver, beamformer, ADC). The T/R switch, LNA and TGC
ionisation in human cells, unlike computed tomography and that constitute the ultrasound receiver are discussed in Section V.
other methods that exploit the electromagnetic spectrum [12]. Recommendations for future directions and challenges are pro-
Secondly, the advent of two enabling technologies, CMOS and vided in Section VIII and concluding remarks are drawn in
CMUT has paved the way for the trend in miniaturisation of Section IX.
ultrasound systems. With miniaturisation, there are many bene-
fits that can be reaped including improved reliability, portability
II. BASICS OF MEDICAL ULTRASOUND
and reduced cost. The value of miniaturising medical ultrasound
has long been recognised by industry. One prominent exam- A. A Brief Description of Waves
ple is Butterfly Network, Inc. that aims to revolutionise ultra- Ultrasound or in general, acoustic wave is a type of mechan-
sound imaging by producing hand-held, smartphone-connected ical wave, which is associated with the transfer of energy from
ultrasound probes in contrast to conventional cart-based sys- one point to another but not with the transfer of mass [20].
tems [13]. In the context of medical ultrasound, ultrasound waves are
A general hardware architecture for ultrasound systems is normally assumed to be longitudinal. This is because in most
shown in Fig. 1. It is helpful to have a system level understanding cases soft tissues can be approximated as a fluidic material which
in order to better appreciate the relationship between individual does not support the propagation of shear (transverse) waves.
modules. On the transmit (TX) side, the TX beamformer circuit However, it is still possible for low frequency shear waves to
generates the delay pattern (time domain) and complex weights exist in soft tissues [1]. This property is exploited in a special
(amplitude domain) based on the desired transmitted ultrasound ultrasonic imaging technique – elastography (see [21], [22]). For
beam characteristics. The outputs of the TX beamformer are the remainder of this paper, ultrasound waves will be considered
amplified into several tens of Volt by the transducer driving as longitudinal.
circuit. The signal waveform that drives the transducer elements Ultrasound waves can also be classified as plane or circular
can have different shapes e.g. square pulse, sine wave and waves. Plane waves have uniform amplitude and planes of con-
Gaussian pulse. stant phase perpendicular to the propagation direction. Circular
Note that when targeting implantable (non-portable) opera- waves propagate symmetrically around a reference point or
tion, for instance intravascular imaging, the transducer driving around a reference line [1]. The shape of ultrasound waves is
circuit can sometimes be replaced by high-voltage switches largely determined by the transducer’s properties. For instance,
that route high-voltage transmit pulses generated by an external if the ratio between a disk-shaped transducer’s diameter to the
imaging system to the transducer elements [14]. This helps to ultrasound wavelength is decreased, the ultrasound wave will
reduce the power dissipation of the ultrasound IC significantly. tend to exhibit more spherical wave characteristics [1].
On the other hand, for non-implantable and portable operation The wave equation describes the ultrasound wave phe-
as in [13], the power dissipation requirement of the IC is more nomenon succinctly and is given by (1) for the 3-D case. u is
relaxed compared to implantable operation. Nevertheless, the IC the wave function, t is time, x, y, z are spatial coordinates and c
in portable applications should still be power-efficient because is the wave velocity.
the available power is limited (by battery life).
On the receive (RX) side, there is a transmit/receive (T/R) 1 ∂2u ∂2u ∂2u ∂2u
switch to protect the low-voltage RX circuitry from the high TX 2 2
= + 2 + 2 = ∇2 u. (1)
c ∂t ∂x2 ∂y ∂z
voltage pulses. It is desirable for the low-noise amplifier (LNA)
to provide some form of time-gain compensation (TGC) when
B. Transmission and Reflection
receiving the ultrasound echoes. The RX beamformer generates
the required delays and complex weights for the received echoes, Assume an acoustic wave is travelling through a material
a complementary operation to the TX beamformer. Finally, the medium. A pressure gradient is formed in this medium and
analog-digital converter (ADC) performs the necessary signal induces motion and strain on the particles of that medium [1].
conversion to allow for post-processing. In this case, the pressure gradient (P ) and the corresponding
840 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

particle velocity (U ) are analogous to voltage and current re- TABLE I


COMPARISON OF ULTRASOUND TRANSDUCERS
spectively. The acoustic impedance is defined in (2).
P
Zacoustic  . (2)
U
Note that the acoustic impedance is a function of pressure
which is related to the amplitude, power and intensity of the
acoustic wave. Therefore, it is convenient to use the acoustic
impedance to construct the acoustic counterparts to the well-
known Fresnel coefficients. The pressure reflection, transmis-
sion, intensity reflection, transmission coefficients are defined
as (3) - (6) respectively where the angles of incidence (θI ) and
transmission (θT ) and the acoustic impedances (Z1,2 ) of two
different media have their usual meaning [1]. The acoustic wave
travels from medium 1 to medium 2.
Fig. 2. Structure of a PZT [1].
PR Z2 cos θI − Z1 cos θT
R = . (3)
PI Z2 cos θI + Z1 cos θT
PT 2Z2 cos θI
T  = . (4) bones [1]. The penetration depth versus resolution is a funda-
PI Z2 cos θI + Z1 cos θT mental trade-off in ultrasound systems.
IR P 2 2Z1
Γ = R 2 = R2 . (5)
II 2Z1 PI III. ULTRASOUND TRANSDUCERS
IT P 2 2Z1 Z1 2 There are three main classes of ultrasound transducers,
Υ = T 2 = T . (6) i) piezoelectric materials, ii) CMUTs, and iii) piezoelectric
II 2Z2 PI Z2
micromachined ultrasonic transducers (PMUTs). PMUTs offer
There are two extreme scenarios that are of interest. Firstly, several advantages over CMUTs, for example, PMUTs do not
if Z2  Z1 , then R, Γ → 1. This means that the reflected wave need a large voltage bias, making integration with low voltage
has a negligible decrease in amplitude. Secondly, if Z1  Z2 , CMOS electronics easier [16]. Some examples of work that
then R → −1. Likewise, this means that the reflected wave has make use of PMUTs can be seen in [23]–[25]. Nevertheless,
a phase shift of π radians relative to the incident wave but a compared to piezoelectric materials and CMUTs, PMUTs have
negligible decrease in amplitude. A common example of the not been widely adopted yet due to fabrication difficulties,
second scenario is the presence of air bubbles between the skin performance issues and the lack of accurate design/modeling
and the ultrasound transducer (no gel applied) which results tools [16]. Therefore, PMUTs will not be discussed in this paper.
in strong reflections and poor imaging quality. Poor imaging The reader is referred to [16] for more details. A comparison of
quality due to largely dissimilar acoustic impedances can be ultrasound transducers can be found in Table I.
avoided by including an acoustic impedance matching layer.
Its purpose is to facilitate the transmission of ultrasound waves A. Piezoelectric Ultrasonic Transducer
through the target medium. This layer can be thought of as an
intermediary layer between the source (ultrasound transducer) Piezoelectric transducers (PZTs) are the conventional type of
and the target (human tissue). ultrasonic transducers, with a long history that dates back to the
late 19th century. The working principle of a PZT is based on the
C. Attenuation piezoelectric effect, in which an applied mechanical stress to a
piezoelectric material generates an electric field [1]. The inverse
The acoustic wave travelling through a medium inevitably is also true - applying an electric field to a piezoelectric material
suffers from attenuation. This attenuation follows an exponential generates a mechanical strain. Common piezoelectric materials
relation and can be described in (7) where A(x) is the wave include quartz crystals, Rochelle salt, polyvinylidene difluoride
amplitude as a function of distance (x), A0 is the initial reference as well as lead zirconate titanate which was first formulated by
amplitude, α is the attenuation coefficient. Jaffe in the 1950s [26] and is the most popular choice today.
A(x) = A0 exp(−αx). (7) The sandwich structure of a typical PZT is shown in Fig. 2.
The impedance matching layer [27], [28] is necessary for ef-
In the context of medical ultrasound, α is a function of ficient energy transmission while the backing layer provides
frequency and the attenuation increases with increasing fre- damping to shorten the pulse duration in ringing-prone, high
quency [1]. Ultrasound waves with higher frequency (smaller quality factor PZTs [29]. In some applications, it may also be
wavelength) have greater sensing resolution but suffer from necessary to design external impedance matching networks [30],
greater attenuation which limits its penetration depth in target [31].
tissues, and vice versa. Some common attenuation values are There has been a substantial body of research dedicated
0.5 dB/cm/MHz for soft tissues and 10-20 dB/cm/MHz for to the modeling and characterisation of PZTs. The models
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 841

Fig. 4. BVD model of a PZT [34].

where ρ is density, ω is angular frequency, β33 S


is dielectric
impermeability, and c33 is elastic stiffness. vt is acoustic wave
D D

velocity, h33 is piezoelectric constant [32]. l, w and t are the


transducer dimensions as shown in Fig. 3. On the electrical
port, C0 represents the clamped capacitance between the two
electrodes on the transducer. The electrical port is coupled to the
acoustic port by a transformer. The acoustic port is represented
by a section of a transmission line with characteristic impedance
Fig. 3. KLM model of a thickness mode PZT [32].
and velocity Z0 and v respectively. The transmission line is a
neat representation of the inevitable time delay incurred when
acoustic wave signals travel from one side of the transducer to
the other [37].
evolved over the years, from classical models proposed by The equivalent circuit can be further simplified as in the
Mason, Krimholtz-Leedom-Matthei (KLM), Butterworth-Van BVD model (Fig. 4), which is a band-pass filter highlighting the
Dyke (BVD) [32]–[34], to more software-based models [35], resonant nature of PZTs. In the BVD model, the electrical part is
[36] that employ finite element methods (FEM). represented by C0 , the capacitance of the transducer. The acous-
Early models aimed to represent the piezoelectric effect, tic/mechanical part is represented by R1 , L1 , C1 where L1 , C1
an electromechanical phenomenon in a compact and friendly model the resonant behaviour and R1 models the dissipative
form to electrical engineers. By drawing on the close analo- loss. The values of R1 , L1 , C1 are selected so that the resonant
gies between electrical and mechanical systems, equivalent frequency and Q factor of this RLC circuit are numerically
circuits were constructed and greatly aided the understanding equal to that of the mechanical resonance of the PZT [37].
of PZTs. For instance, it is intuitive to see the analogies be- The component values at resonance in the BVD model can be
tween voltage-current and force-velocity, whereas the analogies deduced from its admittance, Y (ω) as in (9).
between resistance-capacitance-inductance and friction-spring-
mass can be seen from their respective governing differential jω 2 C1 R1 C0 − (ωC0 )(ω 2 L1 C1 − 1) + ωC1
Y (ω) = . (9)
equations. R1 ωC1 + j(ω 2 L1 C1 − 1)
PZT characteristics depend on the type of vibration (compres-
sion and shear) it is subjected to [37]. For simplicity, assume the The magnitude of Y (ω) is the greatest (smallest) at series (par-
transducer is a thin plate and is vibrating in a compressional allel) resonance. Therefore, at series resonance, the imaginary
thickness mode. In this case, a popular equivalent circuit is the part of the denominator in (9) is zero and the series resonance
KLM model proposed in 1970 and depicted in Fig. 3. The KLM frequency ωs is given by
model is an improvement on the Mason model, which involved
1
a negative capacitance (unphysical) element. Mason introduced ωs = √ , (10)
the transformer to model the electromechanical coupling in a L1 C 1
PZT. The transformer is also used in the KLM model [32]. 1
L1 = . (11)
The parameters of the KLM model in Fig. 3 are given by ωs2 C1

⎪ C0 = lw/ (β33 s t) , At ωs , (9) reduces to

⎪   12



⎪ v = vtD = cD33 /ρ , 1

⎨ Y (ωs ) = + jωs C0 . (12)
Z0 = ρlwvtD , R1
  (8)

⎪ φ = (1/2M ) cosec tω/2vtD ,

⎪   R1 and C0 can be deduced from the real and imaginary parts

⎪ X1 = Z0 M 2 sin tω/vtD ,

⎪ of (12) respectively. Similarly, at parallel resonance (ω = ωp ),

M = h33 / (ωZ0 ) , the magnitude of the admittance is at a minimum, and by setting
842 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

Fig. 5. Cross section of a CMUT [11]. Fig. 6. CMUT equivalent circuit model [8].

the real part of the numerator of (9) to zero, yields



 2  ωp2
C 1 = C 0 ω p L1 C 1 − 1 = C 0 −1 . (13)
ωs2

Note that the component values given in (10) - (13) are fre-
quency dependent and are only valid near resonance. Therefore,
the valid range of the BVD model is limited and the BVD model
is best used in initial approximations or iteratively.
Fig. 7. Simplified CMUT equivalent circuit model [8].

B. Capacitive Micromachined Ultrasonic Transducer


CMUT technology was developed to address some of the
drawbacks of PZTs. Compared to conventional PZT, CMUT depends on the frequency of the wave, the bias voltage and the
technology offers the major advantages of increased bandwidth capacitance of the CMUT device [15].
of operation, ease of fabrication of large arrays, reduced temper- In early work, the CMUT model [8], [45] was derived theo-
ature sensitivity and better integration with CMOS electronics retically from first principles and was largely based on Mason’s
using through-wafer interconnect vias [38]–[40] or monolithic work on electromechanical transducers [33]. This type of CMUT
CMUT-CMOS integration [41], [42]. CMUT technology has model is a two port network with the electrical domain on one
been in development for more than three decades. Several port and the acoustic domain on the other. It was necessary to
pioneering works on the application of micromachining tech- make some simplifying assumptions (to be explained later) to
niques to the fabrication of capacitive ultrasonic transducers construct such a model; otherwise, the mathematical equations
were reported in the late 20th century [43]–[45], whereas the would be too involved. The two port network CMUT model is
concept of capacitive acoustic transducer can be traced back to shown in Fig. 6 and its complete derivation can be found in [8].
the 1940s [33]. As shown in Fig. 6, the electromechanical coupling that
The basic operating principle of a CMUT is rather intuitive is at the crux of the CMUT is represented succinctly by the
and can be inferred from its structure as shown in Fig. 5. A transformer with a transformer ratio n. The equation for the
CMUT comprises a capacitor cell that has a movable mem- current I is the sum of an electrical component caused by the
brane positioned over a vacuum gap. A metal layer on top of electric source and a mechanical component that arises from
this membrane serves as the top electrode, whereas the silicon the motion of the membrane. The mechanical component in I
substrate serves as the bottom electrode. The insulating layer is weighted by n which ensures dimensional consistency. The
prevents the shorting of the two electrodes and the passivation mechanical load impedance is represented by the membrane
layer provides protection. The CMUT is dc-biased which results impedance Zm and the mechanical impedance in the target
in the top electrode being attracted toward the bottom electrode medium is represented by Za . This is a small signal model
by electrostatic force. The stiffness of the top plate results that is valid for a receiving CMUT and even for a transmitting
in a mechanical restoring force. By applying an ac-voltage CMUT as long as the membrane displacement does not reach the
to the CMUT, ultrasound waves can be generated from the collapse point and the bias voltage does not result in severe spring
movement of the membrane. The vacuum gap is necessary to softening [8]. This model assumes the absence of any parasitic
prevent mechanical loading of the bottom side of the moving electrical elements in the device and air bubbles beneath the
membrane [8]. On the other hand, if the top plate is subjected to membrane. As computational capabilities increased, however,
impinging ultrasound waves, the incoming pressure will cause a CMUT models became more accurate and were able to account
displacement on the top plate and change the capacitance. This for non-ideal effects with the help of FEM [46], [47].
change in capacitance under a constant dc-bias voltage in turn In most cases where the CMUT is not air-loaded, i.e. immer-
generates an electrical current signal that can be recorded and sion contexts, Za is usually much larger than Zm . In this context,
amplified [15]. The amplitude of the electrical current signal the equivalent circuit can be further simplified to the commonly
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 843

TABLE II
COMPARISON OF ULTRASOUND TRANSDUCER DRIVING CIRCUITS

a
Unipolar, 3-level pulser.
b
Linear amplifier.
c
Bipolar, 3-level pulser.
d
Unipolar, 7-level pulser.
e
Charge-recycling pulser.
f
Energy-replenishing pulser.
g
Assuming 3-cycle burst and pulse repetition frequency of 4 kHz.
h
Butterworth-Van Dyke model (3.87 kΩ + 0.68 mH + 1.93 pF)//25.2 pF.
i
Continuous operation.

used RC-parallel circuit shown in Fig. 7. The equivalent resis- efficiency is its pulse shape which influences the energy spec-
tance and capacitance are given by trum of the transmitted pulse, the amount of acoustic energy

4 being converted and the dynamic power consumption in the
Req = Za (V02lt +l

a)
2 4 S , pulser [50]. Ideally, the energy spectrum of the transmitted
DC 0 (14)
C = 0lt0+l
S
a
, pulse should be concentrated within the effective bandwidth of
the transducer’s transfer function for optimal response. There
where lt is the membrane thickness, la is the separation between can be advantages in transmitting pulses with different shapes
the bottom electrode and the membrane,
is the dielectric other than conventional digital square wave pulses, for example,
constant of the membrane material, VDC is the dc voltage applied continuous sine or Gaussian-modulated sinusoidal waves. In this
between the top and bottom electrodes, and S is the area of the section, the two main classes of pulsers, arbitrary waveform
membrane [8]. pulsers and square-wave pulsers are discussed. A comparison
of the state-of-the-art can be found in Table II.
IV. TRANSDUCER DRIVER CIRCUIT
The transducer driver circuit design can be classified into A. Arbitrary Waveform Pulsers
continuous-wave and pulsed-wave systems. Continuous-wave A linear amplifier is designed to produce an output that is an
ultrasound systems are normally reserved for specific medical accurate, scaled copy of the input but with increased power level.
ultrasound applications such as continuous-wave Doppler wave Linear amplifiers can take a variety of waveforms as input and are
imaging and certain therapies. There are several commercial generally used to output arbitrary excitation waveforms for ultra-
continuous-wave ICs [48], [49]. However, in the microelectron- sound transducers [51]–[54]. Compared to square wave pulsers,
ics research community, there are much more efforts dedicated to linear amplifiers are more complex and less power efficient [55].
the design of pulsed-wave systems. Therefore, this paper focuses Nevertheless, linear amplifiers are attractive because of their
on transducer driver circuits for pulsed-wave applications, also capability to generate complex and arbitrary waveforms as well
known as pulsers. as low harmonic distortion [54]. Note that, low second-order
A pulser delivers short bursts of electrical energy to the harmonic distortion (HD2) from the transmitter is especially
transducer elements. In order to increase the penetration depth valuable as it allows for tissue harmonic imaging (THI), an
of ultrasound waves, the pulser is typically expected to drive alternative ultrasound imaging method accidentally invented in
the transducer with voltage pulses of large amplitudes that are 1997 with the benefits of reduced reverberation noise, improved
several tens of Volt, to more than 100 V. This requirement typi- border delineation and increased contrast resolution2 [56]. Typ-
cally necessitates the use of high-voltage transistors. However, ically, THI requires the transmitted signal to have less than
high-voltage transistors tend to be costly and occupy a larger −40 dB HD2 [53]. The difficulty in designing the linear amplifier
die area, which complicates the design for area-constrained lies in simultaneously achieving large signal swing, low HD2
applications like intravascular imaging. and wide bandwidth with high-voltage transistors which are
The pulser is typically the most power-hungry block in
the ultrasound front-end. Regardless of implantable or wear- 2 In THI, the ultrasonic beam is transmitted in the fundamental frequency,
able/portable applications, it is crucial to design the pulser for however, the image is constructed based on the second-order harmonic infor-
high energy efficiency. Closely related to the pulser energy mation of the received signal.
844 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

Fig. 9. Differential linear amplifier architecture [53].

Fig. 8. Transimpedance amplifier with a class B output buffer [51].

inherently slow [52]. It is also very challenging to implement


such a linear amplifier as an IC, whereas discrete, PCB-based
linear amplifiers for ultrasound imaging are relatively easier to
implement [57], [58].
Linear amplifiers designed for medical ultrasound applica-
tions are typically class AB or class B. A general architecture
consists of a multi-stage approach. It is usually more practical
to have a low-voltage supply stage that uses standard MOSFETs
followed by a separate high-voltage supply stage that uses high-
voltage transistors like double-diffused MOS (DMOS). The low- Fig. 10. Basic high-voltage level shifter [31], [64].
voltage gain stage can be realised as a two-stage Miller op-amp
(voltage amplifier) [53], a transconductance amplifier [51] or a
current amplifier [54]. By way of example, the transconductance even-order harmonic distortion. The advantage of the differential
amplifier in [51] uses bipolar junction transistors at the input design is reflected in its superior HD2 performance (−56 dBc)
stage for maximum gm /Ib and cascoded MOSFETs to boost and increased output signal swing (180 Vpp ). However, the
the output impedance and raise current flow into the subsequent drawback is the need for a bulky off-chip transformer to convert
transimpedance amplifier stage. It achieves a transconductance differential signals to single-ended signals.
gain of 60 mS and IQuiescent of 4.5 mA.
Unlike the linear amplifiers in [51], [53] which use voltage
B. Square Wave Pulsers
feedback, the low-voltage gain stage in [54] is a current ampli-
fier because the overall linear amplifier uses current feedback. 1) Level Shifter: In order to generate large square wave volt-
The advantage of using current feedback is that the amplifier ages from control signals, the use of a level shifter or a level
is not restricted by a constant gain-bandwidth unlike voltage shifter followed by an output stage (typically class D for high
feedback amplifiers. By selecting the appropriate resistors in power efficiency) is arguably the most intuitive and popular
the feedback loop, the current feedback amplifier can achieve a approach as seen from the numerous published designs [31],
high bandwidth over a wide range of gains. As a result of using [38]–[40], [64], [66]–[73].
current feedback, the design in [54] achieves high bandwidth A basic implementation of a high-voltage level shifter [31],
(over 20 MHz) and slew rate (12 V/ns) yielding good distortion [64] is shown in Fig. 10. It uses only a few transistors and
performance (−43 dBc) at a relatively low power level (20 mW). could be preferable in area-constrained applications. However,
The primary objective of the subsequent high-voltage gain the drawback is that the gate control of the output PMOS device
stage is to maximise the output signal swing. An example design (M2 ) and M3 is not ideal and it is very likely that on an input
is shown in Fig. 8. This transimpedance amplifier provides high ‘1’ to ‘0’ transition, M2 will not be driven into the cut-off region
gain and uses high-voltage DMOS apart from the input transis- completely [74]. As a result, the output voltage will be a small
tors which are thin-oxide transistors to reduce input impedance. positive dc offset instead of 0 V ideally.
The transimpedance amplifier has a balanced topology to ac- In order to overcome the drawbacks of the basic level shifter,
commodate the positive and negative current waveforms at node the circuit in Fig. 11 was proposed in [65] and has been widely
A [51]. To avoid gain degradation at the load (transducer), it is used in many ultrasound ICs [38]–[40], [67], [68]. The level
necessary to have an output buffer. The output buffer can be shifter is implemented with two cross-coupled branches each
designed as class B [51] or class AB [52]–[54]. consisting of a high-voltage common source NMOS (M1 , M2 )
An alternative linear amplifier architecture is shown in Fig. 9. connected to a diode-connected PMOS load (M4 , M5 ) in par-
It illustrates a differential design that inherently cancels out allel with a PMOS transistor (M3 , M6 ) that pulls nodes A
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 845

Fig. 11. Level shifter and output buffer circuit [65].

or B to VDDH . The gate control voltage of M8 swings from Fig. 12. High-voltage (positive) output stage with embedded T/R switch [71].
VDDH − VDD to VDDH and the pull-up transistors (M3 , M6 )
help to ensure that the output PMOS can be completely turned
off when required. Within each branch, the common source
NMOS and the diode-connected PMOS load are designed for shifter has a much lower power dissipation. It also has a smaller
VDDH − VA = VDD = VIN . M1 and M4 are referred to as a propagation delay.
“voltage mirror” [65]. Thirdly, the topology in Fig. 11 can only produce unipolar
Although the topology in Fig. 11 is effective, there are several pulses, rendering it inapplicable to ultrasound systems that
drawbacks that have been addressed by recent work. Firstly, the require bipolar pulses. However, by arranging multiple level
circuit requires high-voltage transistors which are costly and shifters and output stages, it is possible to generate bipolar
have a larger die area, parasitic capacitance and on-resistance pulses as evidenced in [71], [80]–[84]. The design in [71] is
compared to standard CMOS transistors. The designs in [69], elaborated here to explain how bipolar, return-to-zero pulses
[75] attempt to circumvent the use of high-voltage transistors can be generated with the help of conventional level shifters and
by stacking standard thin-oxide 1.8 V or thick-oxide 5 V CMOS a novel high-voltage output stage.
transistors only. The high-voltage output stage is no longer the In Fig. 12, M1 and M4 are turned on to generate a high output
simple two high-voltage transistor implementation in Fig. 11 but voltage (VDDH ), while M1 and M3 are turned on to return to
is composed of stacked standard CMOS transistors to support a zero. M2 acts as the floating gate driver of M1 . M2 charges and
high voltage as well as a dynamic gate biasing circuit modified discharges the gate of M1 with the help of parasitic capacitances
from that in [76] for a smooth push-pull operation. CGS1 , CSU B1 and CDS2 . The low-voltage MOSFET M0 acts as
The level shifter is also more complex and employs stacked the embedded T/R switch. An identical, complementary design
transistors and dynamic gate biasing. The use of stacked standard to that shown in Fig. 12 generates the negative-going pulse and
CMOS transistors could potentially apply stress to the reverse completes the 60 Vpp bipolar, return-to-zero pulser.
diode between the n-well and the p-substrate, resulting in a po- In summary, the level shifter with output stage approach is
tential long-term reliability hazard. Therefore, extra precaution the most widely used when designing square wave pulsers to
must be taken to ensure that the stacked-transistor design is safe drive ultrasound transducers. Undeniably, innovations in the
and reliable over the working voltage range and across process design of level shifters and output stages have improved the
corners. The IC in [69] occupies a very small area (0.022 mm2 ) pulser’s performance. However, the pulsers in this category still
but has a rather small output voltage (9.8 - 12.8 V) compared to suffer from one common drawback; the large f CV 2 power
the several tens of Volt that can be delivered with high-voltage wasted at the ultrasonic transducer load, which can be especially
transistors. capacitive. The following category of ultrasound pulsers aims
Secondly, a major disadvantage of the topology in Fig. 11 to overcome this drawback.
is the significant static power dissipation in the level shifter. 2) Multi-Level Pulse-Shaping: Considering the significantly
More specifically, the presence of continuous power dissipation higher capacitive load that PMUT/CMUT presents, pulsers for
in the voltage mirrors regardless of the input voltage level driving them have to adopt techniques to reduce the f CV 2
is a significant wastage of power. Several high-voltage level power dissipation. The stepwise charging technique [85], [86]
shifters have been proposed with significantly reduced power has been successfully applied in several designs [25], [59], [61],
dissipation [74], [77], [78]. For instance, in [78], the proposed [87]–[89]. The working principle of the stepwise charging or
level shifter was designed for wearable medical ultrasound adiabatic switching technique is based on the following ob-
therapeutic applications and improves on the design in [79] by servation. With the switching frequency, load capacitance and
modifying the level-triggered level shifter to be pulse-triggered. voltage swing fixed, decreasing the average voltage drop V̄
With a pulse-triggered approach, power is mostly consumed across the load capacitance is the only way to decrease the power
during the short trigger pulses. Thus, this pulse-triggered level dissipation [85].
846 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

Fig. 15. Working principle of a pulser designed specifically for a PMUT


load [25], [89]. (a) Charging phase. (b) Redistribution phase.

over the conventional two-level waveform. This discrepancy is


Fig. 13. (a) Multiple voltage supplies that are switched successively to charge a result of the power dissipation in the high-voltage MOSFET
CL [86]. (b) Alternative implementation with large tank capacitors CT [86].
switches, which are large and capacitive. This self-loading effect
erodes most of the power savings from having more voltage
levels. In this design, three-level pulsing was determined to
provide the greatest power efficiency improvement.
Although the design in Fig. 14 reduces power dissipation,
there remains two areas of improvement, namely the use of
off-chip capacitors and the relatively modest reduction in power
dissipation (38%). Recently, several pulsers have been proposed
that improve on the design in Fig. 14 [25], [61], [89]. In [61], a
7-level (including the ground level) ultrasound pulser that drives
a PMUT load was presented. This design adopts a modular
supply multiplying approach that enables a high-voltage output
pulse several times the supply voltage (5 V) to be generated.
Fig. 14. Three-level pulser and output voltage waveform [59].
Essentially, each module is similar to a switched-capacitor cell,
in which a storage capacitor is either in the charging or transfer-
ring mode. By connecting several of these modules in series
Fig. 13(a) shows the basic stepwise charging technique for
and introducing the appropriate time delays, a high-voltage
CL using N uniformly distributed voltage supplies that are
multi-level output waveform can be achieved. In this case, each
connected to CL in a successive, ascending order to charge CL to
inter-level step is equal to the supply voltage, with a total of 6
VN , i.e. connect V1 , disconnect V1 , connect V2 , disconnect V2 ...
intended steps. In comparison to the design in Fig. 14, the mod-
connect VN . The discharging of CL is done in a descending order
ular supply multiplying pulser is able to introduce more levels
from VN −1 to V1 and then the switch 0 is closed, grounding the
in the output waveform before the self-loading effect becomes
output. For each charging step, the energy dissipated is given by
non-negligible. Thus, the design in [61] is able to reap a greater
V V 1 V2 percentage saving in power dissipation. Two prototypes intended
Estep = QV̄ = CL · = CL 2 . (15)
N 2N 2 N for a load of 55 pF and 1 nF were fabricated. For the 55 pF
For a total of N charging steps, the energy dissipation is load prototype, an on-chip design was presented that integrates
3 nF metal-insulator-metal capacitors as the storage capacitors.
1 V2 Econventional A 58% power dissipation reduction relative to f CL V 2 was
EN steps = N · Estep = CL = . (16)
2 N N achieved. The 1 nF load prototype resorted to 60 nF external
The overall energy dissipation taking into account both charg- capacitor for the storage capacitors. Nevertheless, a peak power
ing and discharging will be twice that in (16). The overall power reduction of 75.4% relative to f CL V 2 was achieved. This is one
dissipation will be smaller by a factor of N than the conventional of the highest power reductions relative to f CL V 2 reported so
case (no stepwise charging/discharging) because the average far.
voltage drop across each switch is N times smaller [85]. A new type of ultrasound pulser designed specifically for a
One of the first designs that applied the stepwise charging bimorph PMUT load was reported in [25], [89]. Its working
technique to ultrasound systems is depicted in Fig. 14. This principle is shown in Fig. 15. The PMUT is modeled as an
design uses a dc-dc converter with large off-chip capacitors to equivalent capacitor in which the outer and inner electrodes
generate the required voltage levels (0, 15, 30 V, N = 2) and of the PMUT correspond to the top and bottom plates of the
four high-voltage transistors to switch to these voltage levels. equivalent capacitor. Initially, the top plate of the PMUT capac-
While the theoretical power dissipation improvement is 50%, the itor is charged to a potential of VDDH while its bottom plate
measured results showed a 38% power dissipation improvement is grounded. The piezoelectric membrane is deformed. During
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 847

TABLE III
COMPARISON OF ULTRASOUND LNA

the redistribution phase, the ground and supply connections are


opened and the top and bottom plates are shorted together3 . The
piezoelectric membrane return to its straight form. Assuming the
plates (electrodes) have identical size and negligible leakage, the
charge on the capacitor is evenly redistributed on both plates and
the potential on both plates will equalise to a value of VDDH /2
with respect to ground [89]. Hence, in the next charging (dis-
charging) phase, each electrode only charges (discharges) from
VDDH /2 to VDDH (ground). The voltage step is decreased by
half, which could lead to a power saving. The measured results
in [25], [89] show a power reduction of 32.8% and 42.6% relative
to f CL V 2 respectively.
Fig. 16. Closed-loop TIA employing negative feedback [97] (a) General
architecture. (b) Typical single-ended implementation.
V. ULTRASOUND RECEIVER CIRCUIT
The receiver circuit directly affects the subsequent back-end
processing, and for this reason, is often the performance bot-
tleneck in ultrasound systems. A complete ultrasound receiver A. Low-Noise Amplifier
architecture typically comprises of i) a LNA to amplify the In ultrasound systems, the LNA can be implemented in a num-
weak echo signals to allow for subsequent beamforming and ber of ways to support different transducers and applications.
analog-digital conversion, ii) a TGC circuit to support the large The LNA has been realised as a charge-based amplifier [91],
input signal dynamic range and iii) a T/R switch to protect the transconductance amplifier [92] and current amplifier [14], [93]
low-voltage receiver circuit from the high-voltage TX pulses. (modified from the transimpedance amplifier (TIA) in [94]). The
The LNA and the TGC circuit may be separate modules or com- charge amplifier circuit with a floating node charge adaptation
bined. The designer of an ultrasound receiver circuit faces many circuit in [91] achieved high signal-to-noise ratio (SNR) and
trade-offs e.g. bandwidth, distortion, noise, power and area. The low-power performance for CMUTs. However, its bandwidth
challenge in designing an ultrasound receiver is in achieving was limited to the kHz range, which is insufficient for the
very low noise and a large dynamic range simultaneously [29]. MHz range required for typical ultrasound medical imaging
In this section, the analysis of the receiver circuitry is divided applications. On the other hand, the use of a current amplifier
into three parts according to its three constituent elements. In or transconductance amplifier is largely architecture-dependent.
the first part, circuit topologies that implement low-noise ampli- For example, in [93] the LNA was implemented as a current
fication are examined. The second part introduces the concept amplifier to be compatible with the subsequent beamforming
of TGC and highlights various circuit topologies that realise stage that was designed in current-mode. In [14], the output
TGC. T/R switch designs are explored in the third part. This signal needs to be a current given that the IC was designed for
section concludes with a discussion of figure-of-merit (FoM) an intra-vascular ultrasound probe with only one cable available.
and Table III that summarises the state-of-the-art. Given the fact that an ultrasound transducer element produces
a current signal in response to impinging ultrasound waves,
3 Although the PMUT is typically modeled as a capacitor, the reader should be
a TIA that performs current-to-voltage conversion is the most
aware of a slight discrepancy with this approach here. The shorting of the top and
bottom plates of a charged capacitor cannot be adequately explained by ordinary popular choice for the LNA in ultrasound systems. TIAs are also
circuit theory as it seemingly violates the law of conservation of energy. This popular in other biomedical applications such as biosensing and
is a variant of the well-discussed two capacitor paradox (see [90] for a detailed blood pressure monitoring with photoplethysmography [95],
treatment). Nevertheless, this does not detract from the ability of this pulser to
reduce its power dissipation by shorting the outer and inner electrodes of the [96]. Fig. 16(a) shows the basic TIA. The closed-loop amplifier
bimorph PMUT load. adopts shunt-shunt feedback in which the negative feedback
848 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

network senses a voltage at the output and returns a current


back to the input. The shunt-shunt feedback helps to decrease
the input and output resistances, making a more ideal TIA. A
typical implementation of this amplifier for ultrasound systems
is shown in Fig. 16(b), which consists of a common-source gain
stage followed by a source follower and resistive feedback. The
closed-loop transimpedance gain, RT of the circuit in Fig. 16(b)
is given by (17). The input-referred noise current is given by
(18). The topology in Fig. 16(b) is very popular and has inspired
many variants. For instance, RD was replaced with an active load
in [38]–[40], [42], [66] and RF was replaced with a pseudo-MOS
resistor to save chip area in [42], [75]. The design in [75] also
employed a resistor network to bias the body of M1 (forward
body bias technique) to reduce the threshold voltage, supply Fig. 17. Low power, low noise, single-ended to differential TIA [98].
voltage and consequently, the power consumption.

gm1 RD
RT = RF . (17)
1 + gm1 RD

2 4kT 4kT γ 1 γ
In,in = + 2 + 2 + 2 R2 .
RF RF gm1 gm1 RD gm2 gm1 D
(18)

where k is the Boltzmann constant, T is temperature in Kelvin,


and γ is the excess noise coefficient. As a single-ended imple-
mentation, the circuit in Fig. 16(b) has the advantages of small
area and power consumption. These advantages are very useful
in probe-based ultrasound imaging applications. A single-ended
circuit would also be acceptable where distortion concerns are
less critical. However, the single-ended amplifier inevitably
faces a number of problems including poor supply rejection and
supply-dependent biasing [97].
To address these problems, differential amplifiers have been
proposed to reap benefits such as suppression of common-mode Fig. 18. Concept of TGC [99]. (a) RX output without TGC. (b) RX output
noise, power supply noise and even-order distortion. In [59], with TGC. (c) Large dynamic range without TGC. (d) Reduced dynamic range
with TGC.
a differential input, single-ended output amplifier (two-stage
Miller op-amp followed by source follower) was designed.
The amplifier was optimised for trade-offs between bandwidth,
noise and power dissipation by carefully sizing its transistors weaker signals could have insufficient amplification. In ultra-
in order for the location of its poles to coincide with the target sound imaging, the former case shows up as a bright speck while
bandwidth [59]. the latter manifests as an indistinguishable feature. Therefore, it
A singled-ended input, differential output amplifier was de- is necessary to augment the LNA with some form of automatic
signed in [98] and depicted in Fig. 17. At its core, the TIA gain control in which weak signals that take a longer time to
comprises a common-gate stage and a common-source amplifier. arrive are amplified with a larger gain whereas stronger signals
The novelty of this design lies in its use of negative feedback. are amplified with a smaller gain to achieve a relatively flat
With negative feedback at the common-gate stage, the power amplitude response. This automatic gain control is termed time-
consumption is reduced, whereas RF provides a noise cancelling gain compensation (TGC) in the context of ultrasound. Ideally,
scheme at the differential outputs for the common-source tran- the TGC network should also reduce the overall signal dynamic
sistor [98]. range (Fig. 18) to relax the circuit requirements for later stages,
especially if there is subsequent analog-digital conversion.
Furthermore, the TGC network should exhibit an exponen-
B. LNA With Time-Gain Compensation tially varying gain (gain increases linearly in dB with time) to
For ultrasound receivers, a single LNA with a fixed gain is compensate for the exponential attenuation of ultrasound waves
insufficient when handling a large input signal dynamic range. in human tissues (see Section II). This is challenging to achieve
The echo signals that originate from deep tissues take a longer in CMOS technology because the MOSFET is a square-law
time to reach the receiver and will be more heavily attenuated device. On the other hand, it is easier to design dB-linear circuits
than echo signals from nearby tissues. With a fixed-gain LNA, with BJTs. Consequently, the CMOS circuits that implement
the strong echo signals could saturate the amplifier, whereas the the linear-in-dB TGC are approximations at best. These circuits
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 849

the performance of inter-connected modules, ii) low operating


bandwidth due to the close-loop configuration, and iii) switching
artifacts in the ultrasound image from one discrete gain step to
the next [99].
2) Variable Gain Amplifiers: The disadvantages of PGAs
have prompted designers to adopt amplifiers with variable gain
control in applications where a continuous gain transition is
desirable. VGAs normally have an dB-linear gain that can be
set with an analog control signal, typically a control voltage. In
general, the design of ultrasound VGAs is more challenging than
that of PGAs. There is a relatively small number of ultrasound
VGAs published. However, there are many VGAs designed
for communication systems which provide a good theoretical
foundation for the design of ultrasound VGAs. Thus, this section
takes a slight detour into communication system VGAs in order
to better elaborate on ultrasound VGAs.
In order to achieve this dB-linear gain, VGAs can be broadly
classified into two categories; amplifiers based on exponential
approximation functions and amplifiers with interpolation be-
tween discrete gain steps [99]. In the first category, amplifiers
achieve dB-linear gain by using the inherent linear and quadratic
characteristics of MOSFETs to implement exponential approxi-
Fig. 19. Circuits that perform TGC [99]. (a) PGA with resistive or capacitive mation functions e.g. the Padé approximation or the Taylor series
feedback network. (b) VGA with variable transconductance [112]. (c) VGA with expansion up to second order terms. The Padé approximation is
linear terms [113]. (d) VGA using an interpolated ladder attenuator [114].
given in (19). For −0.32 ≤ x ≤ 0.32, the relative error of (19) is
less than 5% [115]. This shows that the dB-linear range of VGAs
using the Padé approximation is very limited. Thus, many VGAs
can be largely classified into two categories; amplifiers with have to be cascaded in order to extend this range. This would,
discrete gain steps, also known as programmable gain amplifier however, incur power, chip area and bandwidth penalties.
(PGA), and amplifiers with continuous gain control, also known 1+x
as variable gain amplifiers (VGA) [99]. f (x) = e2x ≈ . (19)
1−x
1) Programmable Gain Amplifier: The most straightforward
and popular approach for performing the TGC function in The Taylor series expansion of an exponential function is
ultrasound systems is to use a digitally-programmable resis- given by (20). The relative error of (19) is less than 5% [115] for
tive feedback network [100]–[106] or capacitive feedback net- −0.575 ≤ x ≤ 0.815, a slight improvement compared to (19).
work [107]–[109] to approximate the exponentially varying However, in order to realise the terms in (20), special circuit
gain with discrete gain steps. This TGC network is shown in blocks e.g. a linear V-I converter and a current square circuit,
Fig. 19(a). The discrete gain steps can also be distributed among are required, which increase the design complexity [113].
multiple amplifier stages. For example, if the LNA and the 1
PGA are kept separate, then the LNA can implement coarse f (x) = ex ≈ 1 + x + x2 . (20)
2
gain steps while the subsequent PGA implements fine gain
The limited linear input gain range of the Padé and Taylor
steps [107], [110]. Typical implementations of the PGA include
series approximations have spurred designers to use other ap-
inverter-based amplifier, current-reuse operational transconduc-
proximation functions [112], [113], [116], [117]. An example
tance amplifier and cascoded flipped-voltage follower. Inter-
approximation function from [112] is presented in (21), where a
estingly, Kelvin switches have been used to mitigate the gain
and k are constants. A plot of f (x) against x shows that for k less
inaccuracy due to the on-resistances of the switches in the
than 1, the dB-linear range of (21) increases substantially and
feedback network [100], [102], [110].
peaks at k = 0.12 [112]. The circuit implementation is shown
The benefits of the PGA include ease of control and more
in Fig. 19(b). By varying the bias currents of the differential pair
importantly, the accurate definition of gain steps with the ratios
and the diode-connected load as a function of the control voltage,
of feedback resistances or capacitances that are insensitive to
a variable transconductance and a non-linear transfer function
process and temperature variations [111]. However, the inability
that follows the form given in (21) can be obtained [112]. Despite
to scale is the main drawback of this topology. For a closer
not following (21) exactly, an improved variable gain amplifier
approximation to the ideal exponential characteristic, more
for ultrasound imaging that also varies the bias current has been
discrete gain steps are required by adding more resistors or
proposed recently [118].
capacitors. This method is impractical as it would increase the
chip area significantly. Other limitations include i) the changing k + (1 + ax)2
f (x) = e2ax ≈ . (21)
input and output impedances of the PGA that could complicate k + (1 − ax)2
850 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

various T/R switches have been proposed to address this prob-


lem. These T/R switches (Fig. 20) can be classified into four
categories, Zener diode bias approach [120], [121], floating latch
approach [122], [123], level shifter approach [124]–[127] and
dynamic gate-source shunt approach [128].

VI. BEAMFORMER
The primary function of the beamformer is to establish direc-
tivity in the transmitted or received ultrasound beam by manip-
ulating the spatial distribution of the pressure field amplitude
in the target volume [129]. For instance, on the TX side, the
beamformer should drive the pulsers in order for the ultrasound
beam emanating from the transducers to be steered toward a
certain direction and/or be focused at a specific depth. On the
RX side, the beamformer performs the complement function.
Echo signals from a specific direction and/or focal depth are
selectively amplified and summed whereas other echo signals
Fig. 20. T/R switches [128]. (a) Zener diode bias [120], [121]. (b) Floating are filtered out. In essence, beamforming relies on the controlled
latch [122], [123]. (c) Level shifter [124]. (d) Dynamic gate-source shunt [128]. constructive and destructive interference of ultrasound waves
to achieve the desired effect. In this section, an overview of
beamforming is given to provide the necessary theoretical back-
ground. Subsequently, analog and digital ultrasound beamform-
In the second category, amplifiers with interpolation between
ing circuits are discussed. A comparison of the state-of-the-art
discrete gain steps [99], [114], [119] can be seen as a compromise
can be found in Table IV.
between the PGA and the approximation-based VGA. An exam-
ple of this type of amplifier was first reported in [114] and shown
in Fig. 19(d). The input signal is attenuated by the resistor ladder A. Beamforming Overview
network (R-2R) in discrete steps. The attenuated input signal The mathematical treatment of beamforming is rather in-
is then applied to an amplifier with multiple input stages. The volved. The reader is referred to [130] for a complete derivation.
novelty of this design lies in gradually changing the bias currents In this section, a simple and intuitive explanation of beamform-
of these input stages via a current steering mechanism which ing is presented to help the reader understand what beamforming
would effectively lead to interpolation between the discrete is and how it can be achieved.
gain steps imposed by the ladder network [114]. This interpo- Consider a phased array of ultrasound transducer elements
lation amplifier has influenced subsequent designs. Recently, a where each element can be driven and have its response recorded
current-interpolation TIA that uses a capacitive ladder network separately. In the TX mode, if each element is driven identically,
to avoid the additional noise associated with a resistor ladder i.e. identical electrical pulses drive the elements at the same time,
was proposed [99]. However, a disadvantage of this category of then each element acts as a point source emitting a spherical
VGA is that it requires a substantial portion of the die area to be wave [130]. These spherical waves combine and propagate
reserved for the passive component feedback network [118]. along the horizontal axis [Fig. 21(a)]. However, if relative time
delays between the driving pulses were applied, then the phased
array would steer the ultrasound beam in different directions
C. Transmit/Receive Switch
[Fig. 21(b)]. By using more complex time delays, beam fo-
The T/R switch plays a crucial role in protecting the sensitive cusing on top of beam steering can be achieved [Fig. 21(c)].
receiver circuit from the high-voltage TX pulses. Several T/R Furthermore, individual amplitude weights could be given to the
switch designs with varying complexity have been proposed transducer elements on either TX or RX modes. This is known
for ultrasound systems. The simplest T/R switch in ultrasound as apodisation [Fig. 21(d)] and is commonly used to reduce the
ICs is a high-voltage NMOS [38], [66]. With careful sizing, effect of side lobes in the ultrasound beam pattern [130].
the on-resistance and capacitance of this high-voltage MOSFET Relative time delays can also be used during RX beam-
can be set within tolerable margins. However, the presence of forming. For instance, by applying relative time delays to the
body diodes in high-voltage MOSFETs means that a single electrical signals generated by impinging ultrasound waves, the
high-voltage transistor is insufficient if the TX pulse contains electrical signals can be time-aligned and then summed to result
both positive and negative voltages. Thus, two back-to-back in one large output response. Effectively, the phased array can
connected high-voltage transistors are normally used to provide be viewed as a single large transducer that is oriented to face
bi-directional isolation as seen in Fig. 20. the incoming wave at normal incidence [130]. Ultrasound RX
The two most important attributes of the T/R switch are beamforming is illustrated for two cases in Fig. 22.
the ability to provide good, effective isolation and a low on- The ultrasound beamformer circuit can be divided into analog
resistance for better SNR and power efficiency. To this end, and digital implementations. The two crucial circuit elements
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 851

TABLE IV
COMPARISON OF ULTRASOUND BEAMFORMERS

a
Calculated using the maximum delay/unit delay.
b
The total power/delay dynamic range.
c
The total area/delay dynamic range.

Fig. 22. RX beamforming concept [130]. (a) Variable time delays when
receiving a wave travelling at an angle. (b) Variable time delays when receiving
a curved wave front.

Fig. 21. TX beamforming concept [130]. (a) No beamforming. (b) TX beam


steering. (c) TX beam focusing. (d) Amplitude weights are given to the driving
pulses (apodisation).
Fig. 23. Analog beamformer [29].

in the beamformer circuit are the variable delay cell and the
adder. In an analog beamformer, the variable delay cell can be
implemented as a cascaded delay cell or an analog memory
cell [131], whereas the summer can often be designed as a
summing op-amp. In a digital beamformer, the variable delay
and adder can be implemented with FIFO registers. The analog
beamformer (Fig. 23) only requires one high speed, high resolu-
tion ADC, a significant advantage in terms of power dissipation
and area over digital beamformers. However, poor matching
between channels remains the most significant limitation of Fig. 24. Digital beamformer [29].
analog beamformers [29]. In a digital beamformer (Fig. 24),
852 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

over a wider frequency range [93].


1 − sTd
H(s) = e−sTd ≈ . (22)
1 + sTd
gm1 gm4
Iout CA−I CA−II 1 − sCB−I /gm6
= 2 . (23)
Iin s + s CgA−II
m4
+ CgA−I
m1 gm4
CA−II 1 + sCB−I /gm6
Fig. 25. Analog delay elements [131]. (a) Cascaded delay cell. (b) Analog The other category of analog delay cells [Fig. 25(b)] employs
memory cell delay.
analog memory elements [131]–[133], [138]–[141]. By control-
ling the time difference between the sampling and read-out in-
stances, the signal can be delayed accordingly. Different analog
memory cells have been proposed e.g. a switch-current memory
cell [139], bucket-brigade device [140], analog RAM [132] and
sample-and-hold (switch-capacitors) [141].

C. Digital Beamformer
TX beamformers are typically implemented with digital con-
trol logic. For instance, [60] uses shift registers and a global
counter, whereas [63], [73] use a delay-locked loop to generate
TX pulses with well-defined timing and phases. The digital TX
beamformer in [63] is also one of the few designs that generates
TX pulses with both programmable phases and amplitudes. The
sixteen phase delays enable beam focusing and steering while
Fig. 26. Current mirror all-pass filter for delay implementation [93].
the four scalable amplitude levels provide apodisation to reduce
side lobes.
On the other hand, the challenges with designing digital
every channel contains an ADC which allows for the subse-
ultrasound RX beamformers are very different compared to
quent beamforming operations to be conducted entirely in the
those of analog RX beamformers. Many of the proposed digital
digital domain. Consequently, the main advantage of a digital
ultrasound RX beamformers are not designed for implantable
beamformer is its robustness and noise immunity.
applications and frequently involve the use of FPGAs and/or
commercial DSP chips [142]–[145]. With a digital beamformer,
B. Analog Beamformer the focus is not on realising variable delays but on implementing
The emphasis of this section is the most crucial module in advanced beamforming algorithms efficiently on the FPGA.
an analog beamformer, the delay element. More specifically, The design of ultrasound beamformers using FPGAs and/or
analog RX beamformers are discussed in this section. To the commercial ICs is beyond the scope of this review paper. The
best of the authors’ knowledge, all of the proposed TX ul- focus of this section is directed to the work in [146]–[149], which
trasound beamformers are implemented as digital blocks and are some of the few non-commercial, digital RX ultrasound
are discussed subsequently. The analog delay element used in beamforming ICs that have been published.
ultrasound RX beamformers can be broadly classified into two In [147], a 64-channel digital RX ultrasound beamformer with
categories; cascaded delay cell and analog memory cell as shown non-uniform ADCs was proposed. The novelty of this design
in Fig. 25 [131]. In the cascaded delay cell [Fig. 25(a)], the is that at each channel, the received signal is non-uniformly
input signal is applied through a chain of delay cells (taps) sampled by the ADC and only the necessary data for RX
and the output signal is taken after a certain number of delay beamforming is stored. A look-up table stores the non-uniform
cells depending on an external control signal. The amount of ADC sample times. This helps to shrink the FIFO memory size
the delay applied to the signal is thus dependent on the num- to 25% [147] compared to a conventional approach. This work is
ber of taps it goes through. This type of cascaded delay cell an important step toward miniaturising digital ultrasound beam-
has been implemented in a variety of ways e.g. an LC delay formers that can be deployed in area-constrained applications.
line [134], [135], a first-order, fully-differential RC all-pass In [146], an analog-digital hybrid RX beamformer was pro-
filter [136], a log-domain BiCMOS all-pass filter [137] and a posed as a compromise solution when interfacing with a large
current mirror all-pass filter [93]. By way of example, the current 2D CMUT array (64 × 128). It is impractical to wire all 8192
mirror all-pass filter delay cell is shown in Fig. 26. This current transducer elements to beamforming circuits. Therefore, sub-
mirror all-pass filter aims to approximate an ideal delay (22). array beamforming [150] is adopted in which the 2D array is
Two biquad current mirrors are cascaded together to form a divided into smaller sub-arrays (8 × 8), so that only 128 outputs
broadband all-pass filter with a transfer function given in (23). remain. The sub-array beamforming is split into two stages.
The resulting second-order low-pass filter as seen in (23) is The first stage uses analog beamformers and the second stage is
intended for bandwidth extension by exploiting the fact that a implemented in the digital domain. This two stage beamforming
second-order low-pass filter exhibits a flat amplitude response approach retains the advantages of performing beamforming
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 853

TABLE V
COMPARISON OF ULTRASOUND ADCS

a
Continuous-time low pass ΔΣ modulator.
b
Successive approximation register.
c
Discrete-time low pass ΔΣ modulator.
d
Continuous-time bandpass ΔΣ modulator.
e
Hybrid SAR/shared-single slope.
f
Dynamic bit-shared successive approximation register.
g
Including the datalink and LVDS drivers.
h
Analog front-end and ADC combined.
i
One channel including analog front-end, without beamforming.
j
Bit-sharing, minimum.

operations in the digital domain whilst reducing the number miniature 3D ultrasound probes was proposed in [108]. In this
of ADCs that consume significant chip area by using analog design, the digitisation was conducted in the charge domain,
beamformers in the first stage. instead of the conventional voltage domain. The digitisation
In [148], a VLSI implementation of a 10k-channel fully digital was achieved by comparing the signal charge with binary-scaled
3-D beamformer was presented. The entire 3-D delay-and-sum charge references generated from a pre-charged capacitor DAC
beamforming operation is integrated on-chip without the need array through a successive approximation algorithm. The ratio-
for off-chip memories. It is capable of producing 298.1 M nale for this is to eliminate intermediate ADC buffers in order
focal points per second which allows for the creation of a to reduce the power dissipation and area.
high-resolution volume. This is a marked improvement over its
analog counterparts and even conventional digital beamformers
which mainly performs beam steering. Nevertheless, this design
B. Pipeline ADC
is not intended for implantable or even wearable applications as
its power dissipation is too large. Pipeline ADCs have seen a surge in popularity for medium-
high sampling speed applications. Pipeline ADCs have decent
power and area performance, making them suitable for ultra-
VII. ANALOG-DIGITAL CONVERTERS
sound systems. To the best of the authors’ knowledge, there
ADCs designed for medical ultrasound systems are typically have only been two pipeline ADCs published for ultrasound
optimised for low power and compact area. These requirements systems [151], [152]. For instance, in [152], a 10 b pipeline ADC
are especially important for ultrasound imaging probes. Where was implemented in a 250 nm CMOS technology. In an attempt
possible, the size of the IC should be smaller than the ideal to deal with the growing number of channels, the ADC used two
half-wavelength pitch for the transducers used so as to reduce parallel multiplexing sample-and-hold stages to multiplex eight
side lobes and improve image quality. On the other hand, res- ultrasound channels. While pipeline ADCs have been applied
olution and speed considerations can be relaxed. Among the in commercial ultrasound ICs, there has been little research into
published ultrasound ADCs, successive-approximation register pipeline ADCs for medical ultrasound technologies recently.
(SAR), pipeline and delta-sigma architectures are the most pop-
ular. In this section, several noteworthy ultrasound ADCs are
highlighted. A comparison of the state-of-the-art can be found
C. Delta-Sigma ADC
in Table V.
Delta-sigma ADCs are typically used when it is especially
important to have low noise or good precision. Several delta-
A. SAR ADC sigma ADCs designs have been reported for ultrasound appli-
It is well-known that SAR ADCs are very often used for cations [107], [153], [154]. For instance, in [154], an element-
medium-to-high resolution applications with sample rates in matched delta-sigma ADC was proposed. The novelty of this
the order of a few megasamples per second. SAR ADCs have design lies in utilising the band-pass filter characteristic of PZT
low power consumption and occupy a relatively small chip to remove redundant A/D conversion hardware. In this way,
area, making them a good choice when designing ultrasound an entire delta-sigma ADC could be fitted under the area of
ADCs [108], [109], [147]. A novel SAR ADC designed for a transducer element.
854 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

VIII. RECOMMENDATIONS FOR FUTURE WORK valuable addition on top of conventional electromagnetic neuro-
Throughout the past few decades, it can be seen that the modulation methods. The design of ICs to target ultrasound neu-
advancements in medical ultrasound are largely driven by the romodulation remains to be explored further. Closely related to
advent of enabling technologies (CMUT and CMOS) and new the topic of neuromodulation is the use of ultrasound as a method
applications (e.g. fetal scan, intracardiac echocardiography). of wirelessly powering biomedical implants [164]–[166]. This
Therefore, in looking ahead to what will be important in future is an active field of research and should be explored in tandem
medical ultrasound research, it is worthwhile exploring new with IC designs for ultrasound neuromodulation.
technologies and applications.
Currently, there are three new technologies that can prove to IX. CONCLUSION
be a game changer in medical ultrasound. Firstly, there is the new This paper has described the design of ultrasound ICs. To the
type of ultrasound transducer, PMUT. A significant advantage best of the authors’ knowledge, this is the first comprehensive
of PMUTs over CMUTs is that PMUTs do not require a large dc review of IC design for medical ultrasound and beyond. In
bias voltage, making PMUTs more implant-friendly. However, this paper, a brief overview of the history and present situation
as mentioned previously, there has not been a definitive model of medical ultrasound research has been presented. Next, the
proposed for the PMUT, which has resulted in its low adoption basics of ultrasound and transducer modeling have been ex-
rate. Research into PMUT design, fabrication, modelling and amined to provide the reader with the necessary foundation.
applications [157], [158] is important and can generate innova- The bulk of this review paper centers on IC implementations
tions in biomedical IC design. for the ultrasound transducer driving circuit, receiver circuit,
Secondly, with Moore’s law having effectively reached its beamformer and ADC. A significant number of the ultrasound
limit, packaging technology has gained attention and popularity. circuits reviewed are part of complete ultrasound systems such
It is true that for most ultrasound ICs, the analog circuits do as in intracardiac and transesophageal echocardiography probes.
not need to use very small feature sizes. However, the point Several recommendations have been provided for future work.
to make here is that advancements in packaging technology
(e.g. chiplets) can pave the way toward better heterogeneous REFERENCES
integration. Improving the integration of CMOS circuits and
[1] H. Azhari, Basics of Biomedical Ultrasound for Engineers. Hoboken, NJ,
transducers can revolutionise the application space of medical USA: Wiley, 2010.
ultrasound. [2] J. W. Strutt, The Theory of Sound, Ser. Cambridge Library Collection
Thirdly, the exponential rise of artificial intelligence (AI) - Physical Sciences. Cambridge, U.K.: Cambridge Univ. Press, 2011,
vol. 1.
technology opens up new possibilities for ultrasound imaging [3] P. G. Newman and G. S. Rozycki, “The history of ultrasound,” Surg. Clin.
systems. Deep learning has already been applied to medical North Amer., vol. 78, no. 2, pp. 179–195, 1998.
ultrasound imaging [159], [160]. Ultrasound imaging quality [4] J. Woo, “A short history of the development of ultrasound in obstetrics
and gynecology,” Hist. Ultrasound Obstet. Gynecol., vol. 3, pp. 1–25,
is largely dependent on three broad factors: transducer quality, 2002.
image reconstruction algorithms, and IC performance. The IC [5] I. Donald, J. Macvicar, and T. Brown, “Investigation of abdominal masses
performance, more specifically the RX circuit has been typically by pulsed ultrasound,” Lancet, vol. 271, no. 7032, pp. 1188–1195, 1958.
[6] O. von Ramm and J. Castellucci, “Real-time 3D ultrasonic data acqui-
regarded as the bottleneck in ultrasound imaging quality. Early sition NSF/ERC NSF/ERC unit 2.1 A,” in Proc. 12th Annu. Int. Conf.
ultrasound imaging ICs contained only the most basic functions IEEE Eng. Med. Biol. Soc., 1990, pp. 668–669.
which constrained the imaging quality. Subsequent research into [7] J. Poulton, O. Von Ramm, and S. Smith, “Integrated circuits for 3-
D medical ultrasound imaging,” MCNC Tech. Bull., vol. 3, no. 4,
ultrasound imaging ICs faced a very challenging task of realising p. 6, 1987.
more advanced features such as continuous gain control and [8] I. Ladabaum, X. Jin, H. Soh, A. Atalar, and B. Khuri-Yakub, “Surface mi-
transducer element pitch-matching. These advanced integrated cromachined capacitive ultrasonic transducers,” IEEE Trans. Ultrason.,
Ferroelect., Freq. Control, vol. 45, no. 3, pp. 678–690, May 1998.
features had a direct positive impact on the imaging quality. [9] X. Jin, I. Ladabaum F. L. Degertekin, S. Calmes, and B. T. Khuri-Yakub,
For instance, in [99], the continuous gain control resulted in a “Fabrication and characterization of surface micromachined capacitive
clear image without saturation or blurring; in [108], the pitch- ultrasonic immersion transducers,” J. Microelectromech. Syst., vol. 8,
no. 1, pp. 100–114, 1999.
matched IC helps to improve imaging quality by reducing side [10] Y. Huang, A. Ergun, E. Haeggstrom, M. Badi, and B. Khuri-Yakub,
lobes greatly. Given that it is very challenging to design high- “Fabricating capacitive micromachined ultrasonic transducers with
performance ultrasound imaging IC, an interesting problem to wafer-bonding technology,” J. Microelectromech. Syst., vol. 12, no. 2,
pp. 128–137, 2003.
explore is if it is possible to relax the burden of IC design and [11] O. Oralkan et al., “Capacitive micromachined ultrasonic transduc-
compensate with improved signal processing algorithms. ers: Next-generation arrays for acoustic imaging?” IEEE Trans. Ul-
There are also new ultrasound applications being discovered. trason., Ferroelect., Freq. Control, vol. 49, no. 11, pp. 1596–1610,
Nov. 2002.
A prominent example is the discovery of ultrasound neuromod- [12] M. G. Andreassi, “The biological effects of diagnostic cardiac imaging on
ulation [161], [162] which opens the possibility for the use of chronically exposed physicians: The importance of being non-ionizing,”
ultrasound in more therapeutic applications. For decades, imag- Cardiovasc. Ultrasound, vol. 2, no. 1, p. 25, 2004.
[13] N. Sanchez et al., “An 8960-element ultrasound-on-chip for point-of-care
ing has dominated the medical ultrasound research arena with ultrasound,” in Proc. IEEE Int. Solid- State Circuits Conf., vol. 64, 2021,
therapy being the undercurrent. However, this situation could pp. 480–482.
change. Neuromodulation plays a greater role in our society for [14] D. M. van Willigen et al., “A transceiver ASIC for a single-cable 64-
Element intra-vascular ultrasound probe,” IEEE J. Solid-State Circuits,
ameliorating diseases [163] and ultrasound neuromodulation is a vol. 56, no. 10, pp. 3157–3166, Oct. 2021.
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 855

[15] B. T. Khuri-Yakub and Ömer Oralkan, “Capacitive micromachined ul- [41] J. Zahorian et al., “Monolithic CMUT-on-CMOS integration for intravas-
trasonic transducers for medical imaging and therapy,” J. Micromech. cular ultrasound applications,” IEEE Trans. Ultrason., Ferroelect., Freq.
Microeng., vol. 21, no. 5, Apr. 2011, Art. no. 054004. [Online]. Available: Control, vol. 58, no. 12, pp. 2659–2667, Dec. 2011.
https://doi.org/10.1088/0960-1317/21/5/054004 [42] G. Gurun, P. Hasler, and F. L. Degertekin, “Front-end receiver elec-
[16] Y. Qiu et al., “Piezoelectric micromachined ultrasound transducer tronics for high-frequency monolithic CMUT-on-CMOS imaging ar-
(PMUT) arrays for integrated sensing, actuation and imaging,” Sen- rays,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 58, no. 8,
sors, vol. 15, no. 4, pp. 8020–8041, 2015. [Online]. Available: https: pp. 1658–1668, Aug. 2011.
//www.mdpi.com/1424-8220/15/4/8020 [43] D. Hohm and G. Hess, “A subminiature condenser microphone with
[17] H. S. Gougheri, A. Dangi, S. R. Kothapalli, and M. Kiani, “A compre- silicon nitride membrane and silicon back plate,” J. Acoustical Soc. Amer.,
hensive study of ultrasound transducer characteristics in microscopic ul- vol. 85, no. 1, pp. 476–480, 1989.
trasound neuromodulation,” IEEE Trans. Biomed. Circuits Syst., vol. 13, [44] D. Schindel, D. Hutchins, L. Zou, and M. Sayer, “The design and charac-
no. 5, pp. 835–847, Oct. 2019. terization of micromachined air-coupled capacitance transducers,” IEEE
[18] Q. Huang and Z. Zeng, “A review on real-time 3D ultrasound imaging Trans. Ultrason., Ferroelect., Freq. Control, vol. 42, no. 1, pp. 42–50,
technology,” BioMed Res. Int., vol. 2017, 2017, Art. no. 6027029. Jan. 1995.
[19] L. J. Brattain, B. A. Telfer, M. Dhyani, J. R. Grajo, and A. E. Samir, [45] M. Haller and B. Khuri-Yakub, “A surface micromachined electrostatic
“Machine learning for medical ultrasound: Status, methods, and future ultrasonic air transducer,” IEEE Trans. Ultrason., Ferroelect., Freq. Con-
opportunities,” Abdominal Radiol., vol. 43, no. 4, pp. 786–799, 2018. trol, vol. 43, no. 1, pp. 1–6, Jan. 1996.
[20] D. C. Giancoli, Physics for Scientists and Engineers With Modern [46] A. Caronti, G. Caliano, A. Iula, and M. Pappalardo, “An accurate model
Physics. London, U.K.: Pearson Educ., 2008. for capacitive micromachined ultrasonic transducers,” IEEE Trans. Ul-
[21] J. Ophir et al., “Elastography: Ultrasonic estimation and imaging of the trason., Ferroelect., Freq. Control, vol. 49, no. 2, pp. 159–168, Feb. 2002.
elastic properties of tissues,” Proc. Inst. Mech. Engineers, Part H: J. Eng. [47] A. Lohfink and P.. Eccardt, “Linear and nonlinear equivalent circuit
Med., vol. 213, no. 3, pp. 203–233, 1999. modeling of CMUTs,” IEEE Trans. Ultrason., Ferroelect., Freq. Control,
[22] K. J. Parker, L. S. Taylor, S. Gracewski, and D. J. Rubens, “A unified vol. 52, no. 12, pp. 2163–2172, Dec. 2005.
view of imaging the elastic properties of tissue,” J. Acoustical Soc. Amer., [48] 8-Channel Ultra-Low-Phase-Noise Low-Power Continuous Wave Trans-
vol. 117, no. 5, pp. 2705–2712, 2005. mitter With Beamformer. Chandler, Arizona, USA: Microchip,
[23] D. E. Dausch, K. H. Gilchrist, J. B. Carlson, S. D. Hall, J. B. Castellucci, 2016. [Online]. Available: https://ww1.microchip.com/downloads/en/
and O. T. von Ramm, “In vivo real-time 3-D intracardiac echo using DeviceDoc/20005586B.pdf
PMUT arrays,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 61, [49] 4-Channel Low-Phase-Noise Low-Power Continuous Wave Transmitter.
no. 10, pp. 1754–1764, 2014. Chandler, Arizona, USA: Microchip, 2017. [Online]. Available: https:
[24] X. Jiang et al., “Monolithic ultrasound fingerprint sensor,” Microsyst. //ww1.microchip.com/downloads/en/DeviceDoc/20005810A.pdf
Nanoeng., vol. 3, no. 1, pp. 1–8, 2017. [50] J. Choi, S. Youn, J. Y. Hwang, S. Ha, C. Kim, and M. Je, “Energy-efficient
[25] J. Lee et al., “A 36-channel auto-calibrated front-end ASIC for a pMUT- high-voltage pulsers for ultrasound transducers,” IEEE Trans. Circuits
based miniaturized 3-D ultrasound system,” IEEE J. Solid-State Circuits, Syst. II: Exp. Briefs, vol. 68, no. 1, pp. 19–23, Jan. 2021.
vol. 56, no. 6, pp. 1910–1923, Jun. 2021. [51] D. Bianchi, F. Quaglia, A. Mazzanti, and F. Svelto, “Analysis and
[26] H. Jaffe, “Piezoelectric ceramics,” J. Amer. Ceram. Soc., vol. 41, no. 11, design of a high voltage integrated class-B amplifier for ultra-sound
pp. 494–498, 1958. transducers,” IEEE Trans. Circuits Syst. I: Regular Papers, vol. 61, no. 7,
[27] T. E. G. Alvarez-Arenas, “Acoustic impedance matching of piezoelectric pp. 1942–1951, Jul. 2014.
transducers to the air,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, [52] Z. Gao, P. Gui, and R. Jordanger, “An integrated high-voltage low-
vol. 51, no. 5, pp. 624–633, May 2004. distortion current-feedback linear power amplifier for ultrasound trans-
[28] M. Toda and M. Thompson, “Novel multi-layer polymer-metal struc- mitters using digital predistortion and dynamic current biasing tech-
tures for use in ultrasonic transducer impedance matching and backing niques,” IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 61, no. 6,
absorber applications,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, pp. 373–377, Jun. 2014.
vol. 57, no. 12, pp. 2818–2827, Dec. 2010. [53] K. Sun et al., “A 180-Vpp integrated linear amplifier for ultrasonic
[29] E. Brunner, “Ultrasound system considerations and their impact on front- imaging applications in a high-voltage CMOS SOI technology,” IEEE
end components,” Analog Devices, vol. 36, pp. 1–19, 2002. Trans. Circuits Syst. II: Exp. Briefs, vol. 62, no. 2, pp. 149–153, Feb.
[30] H. Huang and D. Paramo, “Broadband electrical impedance matching for 2015.
piezoelectric ultrasound transducers,” IEEE Trans. Ultrason., Ferroelect., [54] D. Ghisu, A. Gambero, M. Terenzi, G. Ricotti, A. Moroni, and
Freq. Control, vol. 58, no. 12, pp. 2699–2707, Dec. 2011. S. Rossi, “180Vpp output voltage, 24MHz bandwidth, low power class
[31] W. T. Ang, C. Scurtescu, W. Hoy, T. El-Bialy, Y. Y. Tsui, and J. Chen, AB current-feedback high voltage amplifier for ultrasound transmitters,”
“Design and implementation of therapeutic ultrasound generating circuit in Proc. IEEE Custom Integr. Circuits Conf., 2018, pp. 1–4.
for dental tissue formation and tooth-root healing,” IEEE Trans. Biomed. [55] B. Haider, “Power drive circuits for diagnostic medical ultrasound,” in
Circuits Syst., vol. 4, no. 1, pp. 49–61, Feb. 2010. Proc. IEEE Int. Symp. Power Semicond. Devices, 2006, pp. 1–8.
[32] R. Krimholtz, D. A. Leedom, and G. L. Matthaei, “New equivalent [56] M. Averkiou, D. Roundhill, and J. Powers, “A new imaging technique
circuits for elementary piezoelectric transducers,” Electron. Lett., vol. 6, based on the nonlinear properties of tissues,” in Proc. IEEE Ultrason.
no. 13, pp. 398–399, 1970. Symp. Int. Symp., vol. 2, 1997, pp. 1561–1566.
[33] W. P. Mason, Electromechanical Transducers and Wave Filters. New [57] J.-Y. Lu and J. L. Waugaman, “Development of a linear power am-
York, NY, USA: Van Nostrand, 1942. plifier for high frame rate imaging system [biomedical ultrasound
[34] K. Van Dyke, “The piezo-electric resonator and its equivalent network,” imaging applications],” in Proc. IEEE Ultrason. Symp., vol. 2, 2004,
Proc. Inst. Radio Eng., vol. 16, no. 6, pp. 742–764, 1928. pp. 1413–1416.
[35] R. Lerch, “Finite element analysis of piezoelectric transducers,” in Proc. [58] J. Park, C. Hu, and K. K. Shung, “Linear power amplifier for high
IEEE Ultrason. Symp., 1988, pp. 643–654. frequency ultrasound coded excitation imaging,” in Proc. IEEE Int.
[36] R. Lerch, H. Landes, and H. T. Kaarmann, “Finite element modeling Ultrason. Symp., 2010, pp. 1809–1812.
of the pulse-echo behavior of ultrasound transducers,” in Proc. IEEE [59] K. Chen, H. Lee, A. P. Chandrakasan, and C. G. Sodini, “Ultrasonic imag-
Ultrason. Symp., vol. 2, 1994, pp. 1021–1025. ing transceiver design for CMUT: A three-level 30-Vpp pulse-shaping
[37] M. Redwood, “Transient performance of a piezoelectric transducer,” J. pulser with improved efficiency and a noise-optimized receiver,” IEEE J.
Acoustical Soc. Amer., vol. 33, no. 4, pp. 527–536, 1961. Solid-State Circuits, vol. 48, no. 11, pp. 2734–2745, Nov. 2013.
[38] I. O. Wygant et al., “Integration of 2D CMUT arrays with front-end [60] M. Tan et al., “A 64-channel transmit beamformer with ±30-V bipolar
electronics for volumetric ultrasound imaging,” IEEE Trans. Ultrason., high-voltage pulsers for catheter-based ultrasound probes,” IEEE J. Solid-
Ferroelect., Freq. Control, vol. 55, no. 2, pp. 327–342, Feb. 2008. State Circuits, vol. 55, no. 7, pp. 1796–1806, Jul. 2020.
[39] I. O. Wygant et al., “An integrated circuit with transmit beamforming [61] K.-J. Choi, H. G. Yeo, H. Choi, and D.-W. Jee, “A 28.7 V modular
flip-chip bonded to a 2-D CMUT array for 3-D ultrasound imaging,” supply multiplying pulser with 75.4% power reduction relative to CV2 f,”
IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 56, no. 10, IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 68, no. 3, pp. 858–862,
pp. 2145–2156, Oct. 2009. Mar. 2021.
[40] A. Bhuyan et al., “Integrated circuits for volumetric ultrasound imaging [62] J. Choi et al., “An energy-replenishing ultrasound pulser with 0.25CV2 f
with 2-D CMUT arrays,” IEEE Trans. Biomed. Circuits Syst., vol. 7, no. 6, dynamic power consumption,” in Proc. IEEE Int. Solid-State Circuits
pp. 796–804, Dec. 2013. Conf., vol. 64, 2021, pp. 486–488.
856 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

[63] C. Seok, F. Y. Yamaner, M. Sahin, and Ö. Oralkan, “A wearable ultrasonic [86] L. J. Svensson and J. G. Koller, “Driving a capacitive load without
neurostimulator - Part I: A 1D CMUT phased array system for chronic dissipating fCV2 ,” in Proc. IEEE Symp. Low Power Electron., 1994,
implantation in small animals,” IEEE Trans. Biomed. Circuits Syst., pp. 100–101.
vol. 15, no. 4, pp. 692–704, Aug. 2021. [87] G. Jung, C. Tekes, A. Pirouz, F. L. Degertekin, and M. Ghovanloo,
[64] P. Behnamfar, R. Molavi, and S. Mirabbasi, “Transceiver design “Supply-doubled pulse-shaping high voltage pulser for CMUT arrays,”
for CMUT-based super-resolution ultrasound imaging,” IEEE Trans. IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 65, no. 3, pp. 306–310,
Biomed. Circuits Syst., vol. 10, no. 2, pp. 383–393, Apr. 2016. Mar. 2018.
[65] M. Declerq, M. Schubert, and F. Clement, “5 V-to-75 V CMOS output [88] K.-J. Choi and D.-W. Jee, “High-efficiency, 6.6-29 V pulse driver using
interface circuits,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. charge redistribution,” Electron. Lett., vol. 54, no. 12, pp. 746–748,
Papers, 1993, pp. 162–163. 2018.
[66] A. Nikoozadeh et al., “Forward-looking intracardiac ultrasound imaging [89] J. Tillak, S. Akhbari, N. Shah, L. Radakovic, L. Lin, and J. Yoo, “A
using a 1-D CMUT array integrated with custom front-end electron- 2.34 µJ/scan acoustic power scalable charge-redistribution pMUT inter-
ics,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 55, no. 12, face system with on-chip aberration compensation for portable ultrasonic
pp. 2651–2660, Dec. 2008. applications,” in Proc. IEEE Asian Solid-State Circuits Conf., 2016,
[67] R. Chebli and M. Sawan, “Fully integrated high-voltage front-end inter- pp. 189–192.
face for ultrasonic sensing applications,” IEEE Trans. Circuits Syst. I: [90] R. C. Levine, “Apparent nonconservation of energy in the discharge of
Regular Papers, vol. 54, no. 1, pp. 179–190, Jan. 2007. an ideal capacitor,” IEEE Trans. Educ., vol. 10, no. 4, pp. 197–202, Dec.
[68] D. Zhao et al., “High-voltage pulser for ultrasound medical imaging 1967.
applications,” in Proc. Int. Symp. Integr. Circuits, 2011, pp. 408–411. [91] S. Y. Peng, M. S. Qureshi, P. E. Hasler, A. Basu, and F. L. Degertekin,
[69] H.-K. Cha, D. Zhao, J. H. Cheong, B. Guo, H. Yu, and M. Je, “A CMOS “A charge-based low-power high-SNR capacitive sensing interface cir-
high-voltage transmitter IC for ultrasound medical imaging applications,” cuit,” IEEE Trans. Circuits Syst. I: Regular Papers, vol. 55, no. 7,
IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 60, no. 6, pp. 316–320, pp. 1863–1872, Aug. 2008.
Jun. 2013. [92] M. Sautto et al., “A CMUT transceiver front-end with 100-V TX driver
[70] H.-Y. Tang et al., “Miniaturizing ultrasonic system for portable health and 1-mW low-noise capacitive feedback RX amplifier in BCD-SOI tech-
care and fitness,” IEEE Trans. Biomed. Circuits Syst., vol. 9, no. 6, nology,” in Proc. 40th Eur. Solid State Circuits Conf., 2014, pp. 407–410.
pp. 767–776, Dec. 2015. [93] G. Gurun, J. S. Zahorian, A. Sisman, M. Karaman, P. E. Hasler, and
[71] M. Tan et al., “An integrated programmable high-voltage bipolar F. L. Degertekin, “An analog integrated circuit beamformer for high-
pulser with embedded transmit/receive switch for miniature ultrasound frequency medical ultrasound imaging,” IEEE Trans. Biomed. Circuits
probes,” IEEE Solid-State Circuits Lett., vol. 2, no. 9, pp. 79–82, Syst., vol. 6, no. 5, pp. 454–467, Oct.
√ 2012.
Sep. 2019. [94] B. Razavi, “A 622 Mb/s 4.5 pA/ Hz CMOS transimpedance amplifier
[72] G. Jung et al., “A reduced-wire ICE catheter ASIC with Tx beamforming (for optical receiver front-end),” in Proc. IEEE Int. Solid-State Circuits
and Rx time-division multiplexing,” IEEE Trans. Biomed. Circuits Syst., Conf. Dig. Tech. Papers, 2000, pp. 162–163.
vol. 12, no. 6, pp. 1246–1255, Dec. 2018. [95] M. Crescentini, M. Bennati, M. Carminati, and M. Tartagni, “Noise
[73] T. Costa, C. Shi, K. Tien, J. Elloian, F. A. Cardoso, and K. L. Shepard, limits of CMOS current interfaces for biosensors: A review,” IEEE Trans.
“An integrated 2D ultrasound phased array transmitter in CMOS with Biomed. Circuits Syst., vol. 8, no. 2, pp. 278–292, Apr. 2014.
pixel pitch-matched beamforming,” IEEE Trans. Biomed. Circuits Syst., [96] G. Wang, M. Atef, and Y. Lian, “Towards a continuous non-invasive
vol. 15, no. 4, pp. 731–742, Aug. 2021. cuffless blood pressure monitoring system using PPG: Systems and
[74] J. Doutreloigne, H. De Smet, J. Van den Steen, and G. Van Doorse- circuits review,” IEEE Circuits Syst. Mag., vol. 18, no. 3, pp. 6–26,
laer, “Low-power high-voltage CMOS level-shifters for liquid crys- Jul.–Sep. 2018.
tal display drivers,” in Proc. 11th Int. Conf. Microelectron., 1999, [97] B. Razavi, Design of Integrated Circuits for Optical Communications.
pp. 213–216. Hoboken, NJ, USA: Wiley, 2012.
[75] A. Banuaji and H. Cha, “A 15-V bidirectional ultrasound interface analog [98] S. Firouz, E. N. Aghdam, and R. Jafarnejad, “A low power, low noise,
front-end IC for medical imaging using standard CMOS technology,” single-ended to differential TIA for ultrasound imaging probes,” IEEE
IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 61, no. 8, pp. 604–608, Trans. Circuits Syst. II: Exp. Briefs, vol. 68, no. 2, pp. 607–611, Feb. 2021.
Aug. 2014. [99] E. Kang et al., “A variable-gain low-noise transimpedance amplifier for
[76] B. Serneels, T. Piessens, M. Steyaert, and W. Dehaene, “A high-voltage miniature ultrasound probes,” IEEE J. Solid-State Circuits, vol. 55, no. 12,
output driver in a 2.5-V 0.25-µm CMOS technology,” IEEE J. Solid-State pp. 3157–3168, Dec. 2020.
Circuits, vol. 40, no. 3, pp. 576–583, Mar. 2005. [100] J. Yao et al., “Design of a low power time-gain-compensation amplifier
[77] M. Khorasani et al., “Low-power static and dynamic high-voltage CMOS for a 2D piezoelectric ultrasound transducer,” in Proc. IEEE Int. Ultrason.
level-shifter circuits,” in Proc. IEEE Int. Symp. Circuits Syst., 2008, Symp., 2010, pp. 841–844.
pp. 1946–1949. [101] Y. Wang, M. Koen, and D. Ma, “Low-noise CMOS TGC amplifier with
[78] X. Jiang, W. T. Ng, and J. Chen, “A miniaturized low-intensity ultra- adaptive gain control for ultrasound imaging receivers,” IEEE Trans.
sound device for wearable medical therapeutic applications,” IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 58, no. 1, pp. 26–30, Jan. 2011.
Biomed. Circuits Syst., vol. 13, no. 6, pp. 1372–1382, Dec. 2019. [102] Z. Yu et al., “Front-end receiver electronics for a matrix transducer for 3-D
[79] Y. Moghe, T. Lehmann, and T. Piessens, “Nanosecond delay floating transesophageal echocardiography,” IEEE Trans. Ultrason., Ferroelect.,
high voltage level shifters in a 0.35 µm HV-CMOS technology,” IEEE J. Freq. Control, vol. 59, no. 7, pp. 1500–1512, Jul. 2012.
Solid-State Circuits, vol. 46, no. 2, pp. 485–497, Feb. 2011. [103] C. Chen et al., “A prototype PZT matrix transducer with low-power inte-
[80] Y. Igarashi et al., “Single-chip 3072-element-channel transceiver/128- grated receive ASIC for 3-D transesophageal echocardiography,” IEEE
subarray-channel 2-D array IC with analog RX and all-digital TX beam- Trans. Ultrason., Ferroelect., Freq. Control, vol. 63, no. 1, pp. 47–59,
former for echocardiography,” IEEE J. Solid-State Circuits, vol. 54, no. 9, Jan. 2016.
pp. 2555–2567, Sep. 2019. [104] P. Wang and T. Ytterdal, “A 54- µW inverter-based low-noise single-
[81] C. Hsia, Y.-C. Huang, and C.-W. Lu, “Single-chip ultra high slew-rate ended to differential VGA for second harmonic ultrasound probes in
pulse generator for ultrasound scanner applications,” in Proc. IEEE Int. 65-nm CMOS,” IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 63, no. 7,
Ultrason. Symp., 2013, pp. 1556–1559. pp. 623–627, Jul. 2016.
[82] Y. Huang, C. Hsia, and G. Wu, “A high-voltage integrated bipolar pulser [105] H. Attarzadeh, Y. Xu, and T. Ytterdal, “A low-power high-dynamic-
for medical ultrasound scanner applications,” in Proc. IEEE Int. Conf. range receiver system for in-probe 3-D ultrasonic imaging,” IEEE Trans.
Consum. Electron., 2017, pp. 33–34. Biomed. Circuits Syst., vol. 11, no. 5, pp. 1053–1064, Oct. 2017.
[83] Y. Huang, K. Chen, K. Lu, J. Liou, and G. Wu, “A high-speed high-voltage [106] T. Kim, S. Shin, and S. Kim, “An 80.2 dB DR 23.25 mW/channel 8-
bipolar pulser for medical ultrasonic imaging applications,” in Proc. IEEE channel ultrasound receiver with a beamforming embedded SAR ADC,”
Int. Conf. Consum. Electron., 2018, pp. 1–2. IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 66, no. 9, pp. 1487–1491,
[84] A. L. Holen and T. Ytterdal, “A high-voltage cascode-connected three- Sep. 2019.
level pulse-generator for bio-medical ultrasound applications,” in Proc. [107] M. C. Chen et al., “A pixel pitch-matched ultrasound receiver for 3-
IEEE Int. Symp. Circuits Syst., 2019, pp. 1–5. D photoacoustic imaging with integrated delta-sigma beamformer in
[85] L. Svensson et al., “Adiabatic charging without inductors,” USC, ISI 28-nm UTBB FD-SOI,” IEEE J. Solid-State Circuits, vol. 52, no. 11,
Tech. Rep. ACMOS-TR-3, 1993. pp. 2843–2856, Nov. 2017.
ZHANG AND DEMOSTHENOUS: ICS FOR MEDICAL ULTRASOUND APPLICATIONS: IMAGING AND BEYOND 857

[108] C. Chen et al., “A pitch-matched front-end ASIC with integrated subarray [130] L. W. Schmerr Jr., Fundamentals of Ultrasonic Phased Arrays. Berlin,
beamforming ADC for miniature 3-D ultrasound probes,” IEEE J. Solid- Germany: Springer, 2014, vol. 215.
State Circuits, vol. 53, no. 11, pp. 3050–3064, Nov. 2018. [131] J. Um et al., “A single-chip 32-channel analog beamformer with 4-ns
[109] M. Tan et al., “A front-end ASIC with high-voltage transmit switching delay resolution and 768-ns maximum delay range for ultrasound medical
and receive digitization for 3-D forward-looking intravascular ultrasound imaging with a linear array transducer,” IEEE Trans. Biomed. Circuits
imaging,” IEEE J. Solid-State Circuits, vol. 53, no. 8, pp. 2284–2297, Syst., vol. 9, no. 1, pp. 138–151, Feb. 2015.
Aug. 2018. [132] S. Sharma and T. Ytterdal, “In-probe ultrasound beamformer utilizing
[110] C. Chen et al., “A front-end ASIC with receive sub-array beamform- switched-current analog RAM,” IEEE Trans. Circuits Syst. II: Exp.
ing integrated with a 32 × 32 PZT matrix transducer for 3-D trans- Briefs, vol. 62, no. 6, pp. 517–521, Jun. 2015.
esophageal echocardiography,” IEEE J. Solid-State Circuits, vol. 52, [133] J. Jeong, J. An, S. Jung, S. Hong, and O. Kwon, “A low-power analog
no. 4, pp. 994–1006, Apr. 2017. delay line using a current-splitting method for 3-D ultrasound imaging
[111] H. Nguyen, H. Nguyen, J. Lee, and S. Lee, “A binary-weighted switch- systems,” IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 65, no. 7,
ing and reconfiguration-based programmable gain amplifier,” IEEE pp. 829–833, Jul. 2018.
Trans. Circuits Syst. II: Exp. Briefs, vol. 56, no. 9, pp. 699–703, Sep. [134] C. Maslak and H. Samuel, “Acoustic imaging apparatus,” U.S. Patent,
2009. 4140022, Palo Alto, CA, Feb. 1979. [Online]. Available: https://www.
[112] Q.-H. Duong, Q. Le, C.-W. Kim, and S.-G. Lee, “A 95-dB linear low- freepatentsonline.com/4140022.html
power variable gain amplifier,” IEEE Trans. Circuits Syst. I: Regular [135] L. Zhang, C. Hu, J. T. Yen, and K. K. Shung, “Design of a 64 channel
Papers, vol. 53, no. 8, pp. 1648–1657, Aug. 2006. analog receive beamformer for high frequency linear arrays,” in Proc.
[113] I. Choi, H. Seo, and B. Kim, “Accurate dB-linear variable gain amplifier IEEE Int. Ultrason. Symp., 2010, pp. 1968–1971.
with gain error compensation,” IEEE J. Solid-State Circuits, vol. 48, no. 2, [136] J. R. Talman, S. L. Garverick, and G. R. Lockwood, “Integrated circuit for
pp. 456–464, Feb. 2013. high-frequency ultrasound annular array,” in Proc. IEEE Custom Integr.
[114] B. Gilbert, “A low-noise wideband variable-gain amplifier using an Circuits Conf., 2003, pp. 477–480.
interpolated ladder attenuator,” in Proc. IEEE Int. Solid-State Circuits [137] T. Halvorsrod, W. Luzi, and T. S. Lande, “A log-domain µbeamformer
Conf. Dig. Tech. Papers, 1991, pp. 280–281. for medical ultrasound imaging systems,” IEEE Trans. Circuits Syst. I:
[115] C.-C. Chang and S.-I. Liu, “Pseudo-exponential function for MOSFETs Regular Papers, vol. 52, no. 12, pp. 2563–2575, Dec. 2005.
in saturation,” IEEE Trans. Circuits Syst. II: Analog Digit. Signal Pro- [138] T. K. Song and J. F. Greenleaf, “Ultrasonic dynamic focusing us-
cess., vol. 47, no. 11, pp. 1318–1321, Nov. 2000. ing an analog FIFO and asynchronous sampling,” IEEE Trans. Ul-
[116] Q.-H. Duong, Le-Quan, and S.-G. Lee, “An all CMOS 84dB-linear low- trason., Ferroelect., Freq. Control, vol. 41, no. 3, pp. 326–332,
power variable gain amplifier,” in Proc. Dig. Tech. Papers 2005 Symp. May 1994.
VLSI Circuits, 2005, pp. 114–117. [139] B. Stefanelli, I. O’Connor, L. Quiquerez, A. Kaiser, and D. Billet, “An
[117] Q.-H. Duong and S.-G. Lee, “86 dB 1.4 mW 1.8 V 0.07 mm2 single-stage analog beam-forming circuit for ultrasound imaging using switched-
variable gain amplifier in 0.18 µm CMOS,” Electron. Lett., vol. 43, no. 1, current delay lines,” IEEE J. Solid-State Circuits, vol. 35, no. 2,
pp. 19–20, 2007. pp. 202–211, Feb. 2000.
[118] J.-Y. Um, “A compact variable gain amplifier with continuous time- [140] Y. Mo, T. Tanaka, S. Arita, A. Tsuchitani, K. Inoue, and Y. Suzuki,
gain compensation using systematic predistorted gain control,” IEEE “Pipelined delay-sum architecture based on bucket-brigade devices for
Trans. Circuits Syst. II: Exp. Briefs, to be published, doi: 10.1109/TC- on-chip ultrasound beamforming,” IEEE J. Solid-State Circuits, vol. 38,
SII.2021.3090424. no. 10, pp. 1754–1757, Oct. 2003.
[119] E. Brunner, “An ultra-low noise linear-in-dB variable gain amplifier for [141] Z. Yu, M. Pertijs, and G. Meijer, “Ultrasound beamformer using pipeline-
medical ultrasound applications,” in Proc. WESCON, 1995, p. 650, doi: operated S/H delay stages and charge-mode summation,” Electron. Lett.,
10.1109/WESCON.1995.485477. vol. 47, no. 18, pp. 1011–1012, 2011.
[120] L. Dufort and Mukherjee, “Digitally controlled high-voltage analog [142] J. A. Brown and G. R. Lockwood, “A digital beamformer for high-
switch array for medical ultrasound applications in thin-layer silicon- frequency annular arrays,” IEEE Trans. Ultrason., Ferroelect., Freq.
on-insulator process,” in Proc. IEEE Int. SOI Conf., 2002, pp. 78–79. Control, vol. 52, no. 8, pp. 1262–1269, Aug. 2005.
[121] F. Yamashita, J. Aizawa, and H. Honda, “A new compact, low on [143] C.-H. Hu, K. A. Snook, P.-J. Cao, and K. Kirk Shung, “High-frequency
resistance and high off isolation high voltage analog switch IC without ultrasound annular array imaging. Part II: Digital beamformer design
using high voltage power supplies for ultrasound imaging system,” in and imaging,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 53,
Proc. 28th Int. Symp. Power Semicond. Devices ICs, 2016, pp. 415–418. no. 2, pp. 309–316, Feb. 2006.
[122] K. Hara, J. Sakano, M. Mori, S. Tamano, R. Sinomura, and K. Yamazaki, [144] C.-H. Hu, X.-C. Xu, J. M. Cannata, J. T. Yen, and K. K. Shung,
“A new 80V 32×32ch low loss multiplexer LSI for a 3D ultrasound “Development of a real-time, high-frequency ultrasound digital beam-
imaging system,” in Proc. 17th Int. Symp. Power Semicond. Devices ICs, former for high-frequency linear array transducers,” IEEE Trans.
2005, pp. 359–362. Ultrason., Ferroelect., Freq. Control, vol. 53, no. 2, pp. 317–323,
[123] G. Ricotti and V. Bottarel, “HV floating switch matrix with parachute Feb. 2006.
safety driving for 3D echography systems,” in Proc. IEEE 44th Eur. Solid [145] G. Peyton, B. Farzaneh, H. Soleimani, M. G. Boutelle, and
State Circuits Conf., 2018, pp. 271–273. E. M. Drakakis, “Quadrature synthetic aperture beamforming front-end
[124] Y. Li, R. Wodnicki, N. Chandra, and N. Rao, “An integrated 90V switch for miniaturized ultrasound imaging,” IEEE Trans. Biomed. Circuits
array for medical ultrasound applications,” in Proc. IEEE Custom Integr. Syst., vol. 12, no. 4, pp. 871–883, Aug. 2018.
Circuits Conf., 2006, pp. 269–272. [146] J. Y. Um et al., “An analog-digital hybrid RX beamformer chip with
[125] S.-J. Jung, S.-K. Hong, and O.-K. Kwon, “Area-efficient high-voltage non-uniform sampling for ultrasound medical imaging with 2D CMUT
switch using floating control circuit for 3D ultrasound imaging systems,” array,” IEEE Trans. Biomed. Circuits Syst., vol. 8, no. 6, pp. 799–809,
Electron. Lett., vol. 50, no. 25, pp. 1900–1902, 2014. Dec. 2014.
[126] S. Dai, R. W. Knepper, and M. N. Horenstein, “A 300-V LDMOS analog- [147] Y. J. Kim et al., “A single-chip 64-channel ultrasound RX-beamformer
multiplexed driver for MEMS devices,” IEEE Trans. Circuits Syst. I: including analog front-end and an LUT for non-uniform ADC-sample-
Regular Papers, vol. 62, no. 12, pp. 2806–2816, Dec. 2015. clock generation,” IEEE Trans. Biomed. Circuits Syst., vol. 11, no. 1,
[127] H. Jung et al., “CMOS high-voltage analog 1-64 multiplexer/ pp. 87–97, Feb. 2017.
demultiplexer for integrated ultrasound guided breast needle biopsy,” [148] P. A. Hager, A. Bartolini, and L. Benini, “Ekho: A. 30.3 W, 10k-channel
IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 65, no. 8, fully digital integrated 3-D beamformer for medical ultrasound imaging
pp. 1334–1345, Aug. 2018. achieving 298 M focal points per second,” IEEE Trans. Very Large Scale
[128] S. Kajiyama et al., “T/R-switch composed of 3 high-voltage MOSFETs Integr. (VLSI) Syst., vol. 24, no. 5, pp. 1936–1949, May 2016.
with 12.1 µW consumption that can perform per-channel TX to RX [149] J. Kang et al., “A system-on-chip solution for point-of-care ultrasound
self-loopback AC tests for 3D ultrasound imaging with 3072-channel imaging systems: Architecture and ASIC implementation,” IEEE Trans.
transceiver,” in Proc. IEEE Asian Solid-State Circuits Conf., 2019, Biomed. Circuits Syst., vol. 10, no. 2, pp. 412–423, Apr. 2016.
pp. 305–308. [150] B. Savord and R. Solomon, “Fully sampled matrix transducer for real
[129] L. Demi, “Practical guide to ultrasound beam forming: Beam pattern and time 3D ultrasonic imaging,” in Proc. IEEE Symp. Ultrason., 2003, vol. 1,
image reconstruction analysis,” Appl. Sci., vol. 8, no. 9, p. 1544, 2018. pp. 945–953.
858 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 15, NO. 5, OCTOBER 2021

[151] K. Nakamura, M. Hotta, L. R. Carley, and D. J. Allsot, “An 85 mW, 10 Yaohua Zhang (Student Member, IEEE) received the
b, 40 Msample/s CMOS parallel-pipelined ADC,” IEEE J. Solid-State M.Eng. degree (with First Class Hons.) in electrical
Circuits, vol. 30, no. 3, pp. 173–183, Mar. 1995. and electronic engineering from Imperial College
[152] K. Kaviani, O. Oralkan, P. Khuri-Yakub, and B. A. Wooley, “A mul- London, London, U.K., in 2020. His master’s thesis
tichannel pipeline analog-to-digital converter for an integrated 3-D ul- was on the optimal design of CMOS potentiostats
trasound imaging system,” IEEE J. Solid-State Circuits, vol. 38, no. 7, for biomedical applications. He is a Research As-
pp. 1266–1270, Jul. 2003. sistant with the Bioelectronics Group, Department
[153] Y. Zhang, C. Chen, T. He, and G. C. Temes, “A continuous-time delta- of Electronic and Electrical Engineering, University
sigma modulator for biomedical ultrasound beamformer using digital College London, U.K., where he is also working
ELD compensation and FIR feedback,” IEEE Trans. Circuits Syst. I: toward the Ph.D. degree. His research is concerned
Regular Papers, vol. 62, no. 7, pp. 1689–1698, Jul. 2015. primarily with ultrasound integrated circuit design for
[154] M. D’Urbino et al., “An element-matched electromechanical ΔΣ ADC neuroprosthetics. His research interests include analog/biomedical IC design,
for ultrasound imaging,” IEEE J. Solid-State Circuits, vol. 53, no. 10, mixed-signal IC design, computer-aided design of integrated circuits, neuro-
pp. 2795–2805, Oct. 2018. morphic electronics, signal processing, and ultrasound transducers.
[155] T.-C. Cheng and T.-H. Tsai, “CMOS ultrasonic receiver with on-chip
analog-to-digital front end for high-resolution ultrasound imaging sys-
tems,” IEEE Sensors J., vol. 16, no. 20, pp. 7454–7463, Oct. 2016.
[156] J. Li et al., “A 1.54 mW/element 150 µm-pitch-matched receiver Andreas Demosthenous (Fellow, IEEE) received the
ASIC with element-level SAR/shared-single-slope hybrid ADCs for B.Eng. degree in electrical and electronic engineering
miniature 3D ultrasound probes,” in Proc. Symp. VLSI Circuits, 2019, from the University of Leicester, Leicester, U.K., the
pp. C220–C221. M.Sc. degree in telecommunications technology from
[157] Y. Kusano, I. Ishii, T. Kamiya, A. Teshigahara, G.-L. Luo, and Aston University, Birmingham, U.K., and the Ph.D.
D. A. Horsley, “High-SPL air-coupled piezoelectric micromachined degree in electronic and electrical engineering from
ultrasonic transducers based on 36% ScAlN thin-film,” IEEE Trans. University College London (UCL), London, U.K., in
Ultrason., Ferroelect., Freq. Control, vol. 66, no. 9, pp. 1488–1496, 1992, 1994, and 1998, respectively. He is currently a
Sep. 2019. Professor with the Department of Electronic and Elec-
[158] Z. Shao, Y. Peng, S. Pala, Y. Liang, and L. Lin, “3D ultrasonic object trical Engineering, UCL, and leads the Bioelectronics
detections with > 1 meter range,” in Proc. IEEE 34th Int. Conf. Micro Group. He has made outstanding contributions to
Electro Mech. Syst., 2021, pp. 386–389. improving safety and performance in integrated circuit design for active medical
[159] A. C. Luchies and B. C. Byram, “Deep neural networks for ultrasound devices, such as spinal cord and brain stimulators. He has numerous collabora-
beamforming,” IEEE Trans. Med. Imag., vol. 37, no. 9, pp. 2010–2021, tions for cross-disciplinary research, both within the U.K. and internationally.
Sep. 2018. He has authored more than 300 articles in journals and international conference
[160] B. Luijten et al., “Adaptive ultrasound beamforming using deep learning,” proceedings, several book chapters, and holds several patents. His research
IEEE Trans. Med. Imag., vol. 39, no. 12, pp. 3967–3978, Dec. 2020. interests include analog and mixed-signal integrated circuits for biomedical,
[161] W. Legon et al., “Transcranial focused ultrasound modulates the activity sensor, and signal processing applications.
of primary somatosensory cortex in humans,” Nature Neurosci., vol. 17, Dr. Demosthenous is a Fellow of the Institution of Engineering and Technol-
no. 2, pp. 322–329, 2014. ogy, and a Chartered Engineer. He was the co-recipient of a number of best paper
[162] W. J. Tyler, Y. Tufail, M. Finsterwald, M. L. Tauchmann, E. J. Olson, awards and has graduated many Ph.D. Students. He was an Associate Editor
and C. Majestic, “Remote excitation of neuronal circuits using low- from 2006 to 2007 and the Deputy Editor-in-Chief from 2014 to 2015 of the
intensity, low-frequency ultrasound,” PLoS ONE, vol. 3, no. 10, 2008, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, and an
Art. no. e3511. Associate Editor from 2008 to 2009 and the Editor-in-Chief from 2016 to 2019 of
[163] A. Demosthenous, “Advances in microelectronics for implantable med- the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS. He is
ical devices,” Adv. Electron., vol. 2014, 2014, Art. no. 981295. an Associate Editor of the IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND
[164] F. Mazzilli, C. Lafon, and C. Dehollain, “A 10.5 cm ultrasound link for SYSTEMS and is on the International Advisory Board of Physiological Measure-
deep implanted medical devices,” IEEE Trans. Biomed. Circuits Syst., ment. He has served on the technical committees of international conferences,
vol. 8, no. 5, pp. 738–750, Oct. 2014. including the European Solid-State Circuits Conference (ESSCIRC) and the
[165] M. Meng and M. Kiani, “Design and optimization of ultrasonic wire- International Symposium on Circuits and Systems (ISCAS).
less power transmission links for millimeter-sized biomedical implants,”
IEEE Trans. Biomed. Circuits Syst., vol. 11, no. 1, pp. 98–107, Feb. 2017.
[166] J. Charthad et al., “A mm-sized wireless implantable device for electrical
stimulation of peripheral nerves,” IEEE Trans. Biomed. Circuits Syst.,
vol. 12, no. 2, pp. 257–270, Apr. 2018.

You might also like