Network-on-Chip The Next Generation of System-on-C

Download as pdf or txt
Download as pdf or txt
You are on page 1of 51

Network-on-Chip

The
Next Generation
of System-on-Chip
Integration

Santanu Kundu
Santanu Chattopadhyay
Network-on-Chip
The Next Generation
of System-on-Chip
Integration
Network-on-Chip
The Next Generation
of System-on-Chip
Integration

Santanu Kundu
Santanu Chattopadhyay
CRC Press
Taylor & Francis Group
6000 Broken Sound Parkway NW, Suite 300
Boca Raton, FL 33487-2742
© 2015 by Taylor & Francis Group, LLC
CRC Press is an imprint of Taylor & Francis Group, an Informa business

No claim to original U.S. Government works


Version Date: 20141014

International Standard Book Number-13: 978-1-4665-6527-2 (eBook - PDF)

This book contains information obtained from authentic and highly regarded sources. Reasonable
efforts have been made to publish reliable data and information, but the author and publisher cannot
assume responsibility for the validity of all materials or the consequences of their use. The authors and
publishers have attempted to trace the copyright holders of all material reproduced in this publication
and apologize to copyright holders if permission to publish in this form has not been obtained. If any
copyright material has not been acknowledged please write and let us know so we may rectify in any
future reprint.

Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced,
transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or
hereafter invented, including photocopying, microfilming, and recording, or in any information stor-
age or retrieval system, without written permission from the publishers.

For permission to photocopy or use material electronically from this work, please access www.copy-
right.com (http://www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC), 222
Rosewood Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that pro-
vides licenses and registration for a variety of users. For organizations that have been granted a photo-
copy license by the CCC, a separate system of payment has been arranged.

Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are
used only for identification and explanation without intent to infringe.
Visit the Taylor & Francis Web site at
http://www.taylorandfrancis.com
and the CRC Press Web site at
http://www.crcpress.com
Contents

Preface.................................................................................................................... xiii
Authors................................................................................................................. xvii

1. Introduction......................................................................................................1
1.1 System-on-Chip Integration and Its Challenges............................... 1
1.2 SoC to Network-on-Chip: A Paradigm Shift..................................... 3
1.3 Research Issues in NoC Development................................................5
1.4 Existing NoC Examples........................................................................ 8
1.5 Summary............................................................................................... 10
References........................................................................................................ 10

2. Interconnection Networks in Network-on-Chip.................................... 13


2.1 Introduction.......................................................................................... 13
2.2 Network Topologies............................................................................. 14
2.2.1 Number of Edges.................................................................... 25
2.2.2 Average Distance.................................................................... 25
2.3 Switching Techniques......................................................................... 29
2.4 Routing Strategies................................................................................ 30
2.4.1 Routing-Dependent Deadlock.............................................. 31
2.4.1.1 Deterministic Routing in M × N MoT
Network................................................................33
2.4.2 Avoidance of Message-Dependent Deadlock..................... 41
2.5 Flow Control Protocol.........................................................................43
2.6 Quality-of-Service Support................................................................. 45
2.7 NI Module............................................................................................. 46
2.8 Summary............................................................................................... 48
References........................................................................................................ 48

3. Architecture Design of Network-on-Chip............................................... 53


3.1 Introduction.......................................................................................... 53
3.2 Switching Techniques and Packet Format....................................... 53
3.3 Asynchronous FIFO Design...............................................................54
3.4 GALS Style of Communication.......................................................... 57
3.5 Wormhole Router Architecture Design............................................ 57
3.5.1 Input Channel Module........................................................... 58
3.5.2 Output Channel Module....................................................... 58
3.6 VC Router Architecture Design.........................................................63
3.6.1 Input Channel Module...........................................................65
3.6.2 Output Links........................................................................... 66

v
vi Contents

3.6.2.1 VC Allocator............................................................. 66
3.6.2.2 Switch Allocator...................................................... 69
3.7 Adaptive Router Architecture Design.............................................. 70
3.8 Summary............................................................................................... 73
References........................................................................................................ 73

4. Evaluation of Network-on-Chip Architectures....................................... 75


4.1 Evaluation Methodologies of NoC.................................................... 75
4.1.1 Performance Metrics.............................................................. 78
4.1.2 Cost Metrics.............................................................................80
4.2 Traffic Modeling................................................................................... 81
4.3 Selection of Channel Width and Flit Size.........................................84
4.4 Simulation Results and Analysis of MoT Network
with WH Router...................................................................................84
4.4.1 Accepted Traffic versus Offered Load................................. 85
4.4.2 Throughput versus Locality Factor...................................... 85
4.4.3 Average Overall Latency at Different Locality Factors..... 86
4.4.4 Energy Consumption at Different Locality Factors........... 88
4.5 Impact of FIFO Size and Placement in Energy and
Performance of a Network.................................................................. 90
4.6 Performance and Cost Comparison of MoT with Other NoC
Structures Having WH Router under Self-Similar Traffic............. 93
4.6.1 Network Area Estimation...................................................... 94
4.6.2 Network Aspect Ratio............................................................ 96
4.6.3 Performance Comparison...................................................... 97
4.6.3.1 Accepted Traffic versus Offered Load................. 97
4.6.3.2 Throughput versus Locality Factor...................... 98
4.6.3.3 Average Overall Latency under
Localized Traffic................................................... 99
4.6.4 Comparison of Energy Consumption................................ 102
4.7 Simulation Results and Analysis of MoT
Network with Virtual Channel Router........................................... 103
4.7.1 Throughput versus Offered Load...................................... 104
4.7.2 Latency versus Offered Load.............................................. 104
4.7.3 Energy Consumption........................................................... 105
4.7.4 Area Required....................................................................... 108
4.8 Performance and Cost Comparison of MoT with Other
NoC Structures Having VC Router................................................. 109
4.8.1 Accepted Traffic versus Offered Load............................... 109
4.8.2 Throughput versus Locality Factor.................................... 109
4.8.3 Average Overall Latency under Localized Traffic........... 110
4.8.4 Energy Consumption........................................................... 111
4.8.5 Area Overhead...................................................................... 113
4.9 Limitations of Tree-Based Topologies............................................. 114
Contents vii

4.10 Summary............................................................................................. 115


References...................................................................................................... 116

5. Application Mapping on Network-on-Chip.......................................... 119


5.1 Introduction........................................................................................ 119
5.2 Mapping Problem.............................................................................. 120
5.3 ILP Formulation................................................................................. 123
5.3.1 Other ILP Formulations....................................................... 127
5.4 Constructive Heuristics for Application Mapping....................... 128
5.4.1 Binomial Merging Iteration................................................. 130
5.4.2 Topology Mapping and Traffic Surface Creation............. 131
5.4.3 Hardware Cost Optimization............................................. 132
5.5 Constructive Heuristics with Iterative Improvement................... 134
5.5.1 Initialization Phase............................................................... 134
5.5.2 Shortest Path Computation.................................................. 135
5.5.3 Iterative Improvement Phase.............................................. 136
5.5.4 Other Constructive Strategies............................................. 137
5.6 Mapping Using Discrete PSO.......................................................... 141
5.6.1 Particle Structure.................................................................. 141
5.6.2 Evolution of Generations..................................................... 142
5.6.3 Convergence of DPSO.......................................................... 143
5.6.4 Overall PSO Algorithm........................................................ 144
5.6.5 Augmentations to the DPSO............................................... 144
5.6.5.1 Multiple PSO.......................................................... 144
5.6.5.2 Initial Population Generation.............................. 145
5.6.6 Other Evolutionary Approaches......................................... 148
5.7 Summary............................................................................................. 150
References...................................................................................................... 150

6. Low-Power Techniques for Network-on-Chip...................................... 155


6.1 Introduction........................................................................................ 155
6.2 Standard Low-Power Methods for NoC Routers.......................... 158
6.2.1 Clock Gating.......................................................................... 158
6.2.2 Gate Level Power Optimization......................................... 159
6.2.3 Multivoltage Design............................................................. 160
6.2.3.1 Challenges in Multivoltage Design.................... 161
6.2.4 Multi-VT Design..................................................................... 164
6.2.5 Power Gating......................................................................... 165
6.3 Standard Low-Power Methods for NoC Links.............................. 166
6.3.1 Bus Energy Model................................................................. 167
6.3.2 Low-Power Coding............................................................... 168
6.3.3 On-Chip Serialization.......................................................... 170
6.3.4 Low-Swing Signaling........................................................... 171
viii Contents

6.4 System-Level Power Reduction........................................................ 172


6.4.1 Dynamic Voltage Scaling..................................................... 172
6.4.1.1 History-Based DVS................................................ 174
6.4.1.2 Hardware Implementation.................................. 178
6.4.1.3 Results and Discussions....................................... 179
6.4.2 Dynamic Frequency Scaling............................................... 179
6.4.2.1 History-Based DFS................................................ 181
6.4.2.2 DFS Algorithm....................................................... 183
6.4.2.3 Link Controller...................................................... 183
6.4.2.4 Results and Discussions....................................... 184
6.4.3 VFI Partitioning.................................................................... 185
6.4.4 Runtime Power Gating......................................................... 186
6.5 Summary............................................................................................. 188
References...................................................................................................... 188

7. Signal Integrity and Reliability of Network-on-Chip......................... 191


7.1 Introduction........................................................................................ 191
7.2 Sources of Faults in NoC Fabric....................................................... 193
7.2.1 Permanent Faults.................................................................. 194
7.2.2 Faults due to Aging Effects................................................. 194
7.2.2.1 Negative-Bias Temperature Instability.............. 194
7.2.2.2 Hot Carrier Injection............................................. 195
7.2.3 Transient Faults..................................................................... 195
7.2.3.1 Capacitive Crosstalk............................................. 195
7.2.3.2 Soft Errors............................................................... 199
7.2.3.3 Some Other Sources of Transient Faults............ 203
7.3 Permanent Fault Controlling Techniques....................................... 204
7.4 Transient Fault Controlling Techniques......................................... 205
7.4.1 Intra-Router Error Control................................................... 205
7.4.1.1 Soft Error Correction............................................ 206
7.4.2 Inter-Router Link Error Control......................................... 210
7.4.2.1 Capacitive Crosstalk Avoidance Techniques.......210
7.4.2.2 Error Detection and Retransmission.................. 216
7.4.2.3 Error Correction.................................................... 220
7.5 Unified Coding Framework.............................................................. 221
7.5.1 Joint CAC and LPC Scheme (CAC + LPC)........................222
7.5.2 Joint LPC and ECC Scheme (LPC + ECC).........................223
7.5.3 Joint CAC and ECC Scheme (CAC + ECC)........................ 224
7.5.4 Joint CAC, LPC, and ECC Scheme
(CAC + LPC + ECC)........................................................... 227
7.6 Energy and Reliability Trade-Off in Coding Technique.............. 227
7.7 Summary............................................................................................. 230
References...................................................................................................... 231
Contents ix

8. Testing of Network-on-Chip Architectures........................................... 235


8.1 Introduction........................................................................................ 235
8.2 Testing Communication Fabric........................................................ 236
8.2.1 Testing NoC Links................................................................ 237
8.2.2 Testing NoC Switches.......................................................... 238
8.2.3 Test Data Transport.............................................................. 239
8.2.4 Test Transport Time Minimization—A Graph
Theoretic Formulation.......................................................... 241
8.2.4.1 Unicast Test Scheduling....................................... 242
8.2.4.2 Multicast Test Scheduling.................................... 244
8.3 Testing Cores...................................................................................... 245
8.3.1 Core Wrapper Design........................................................... 246
8.3.2 ILP Formulation.................................................................... 250
8.3.3 Heuristic Algorithms........................................................... 253
8.3.4 PSO-Based Strategy.............................................................. 258
8.3.4.1 Particle Structure and Fitness............................. 258
8.3.4.2 Evolution of Generations...................................... 259
8.4 Summary............................................................................................. 260
References...................................................................................................... 260

9. Application-Specific Network-on-Chip Synthesis............................... 263


9.1 Introduction........................................................................................ 263
9.2 ASNoC Synthesis Problem............................................................... 264
9.3 Literature Survey............................................................................... 265
9.4 System-Level Floorplanning............................................................ 268
9.4.1 Variables................................................................................. 268
9.4.1.1 Independent Variables.......................................... 268
9.4.1.2 Dependent Variables............................................. 268
9.4.2 Objective Function................................................................ 269
9.4.3 Constraints............................................................................. 269
9.4.4 Constraints for Mesh Topology.......................................... 270
9.5 Custom Interconnection Topology and Route Generation.......... 271
9.5.1 Variables................................................................................. 272
9.5.1.1 Independent Variables.......................................... 272
9.5.1.2 Derived Variables.................................................. 273
9.5.2 Objective Function................................................................ 273
9.5.3 Constraints............................................................................. 274
9.6 ASNoC Synthesis with Flexible Router Placement....................... 277
9.6.1 ILP for Flexible Router Placement...................................... 278
9.6.1.1 Variables................................................................. 278
9.6.1.2 Objective Function................................................ 279
9.6.1.3 Constraints............................................................. 279
x Contents

9.6.2 PSO for Flexible Router Placement................................. 281


9.6.2.1 Particle Structure and Fitness Function...... 282
9.6.2.2 Local and Global Bests................................... 282
9.6.2.3 Evolution of Generation................................. 283
9.6.2.4 Swap Operator................................................. 283
9.6.2.5 Swap Sequence................................................ 283
9.7 Summary.............................................................................................284
References......................................................................................................284

10. Reconfigurable Network-on-Chip Design............................................. 289


10.1 Introduction...................................................................................... 289
10.2 Literature Review............................................................................. 290
10.3 Local Reconfiguration Approach................................................... 291
10.3.1 Routers................................................................................ 292
10.3.2 Multiplexers....................................................................... 293
10.3.3 Selection Logic.................................................................. 294
10.3.4 Area Overhead.................................................................. 294
10.3.5 Design Flow....................................................................... 296
10.3.5.1 Construction of CCG...................................... 298
10.3.5.2 Mapping of CCG............................................. 299
10.3.5.3 Configuration Generation.............................. 299
10.3.6 ILP-Based Approach......................................................... 299
10.3.6.1 Parameters and Variables..............................300
10.3.6.2 Objective Function..........................................300
10.3.6.3 Constraints.......................................................300
10.3.7 PSO Formulation............................................................... 301
10.3.7.1 Particle Formulation and Fitness Function.... 302
10.3.8 Iterative Reconfiguration................................................. 303
10.4 Topology Reconfiguration..............................................................304
10.4.1 Modification around Routers..........................................305
10.4.2 Reconfiguration Architecture......................................... 306
10.4.2.1 Application Mapping..................................... 307
10.4.2.2 Core-to-Network Mapping............................309
10.4.2.3 Topology and Route Generation................... 310
10.5 Link Reconfiguration....................................................................... 311
10.5.1 Estimating Channel Bandwidth Utilization................. 311
10.6 Summary........................................................................................... 312
References...................................................................................................... 314

11. Three-Dimensional Integration of Network-on-Chip......................... 317


11.1 Introduction...................................................................................... 317
11.2 3D Integration: Pros and Cons....................................................... 318
11.2.1 Opportunities of 3D Integration..................................... 319
11.2.2 Challenges of 3D Integration.......................................... 321
Contents xi

11.3 Design and Evaluation of 3D NoC Architecture......................... 323


11.3.1 3D Mesh-of-Tree Topology............................................... 326
11.3.1.1 Number of Directed Edges............................ 326
11.3.1.2 Average Distance............................................ 327
11.3.2 Performance and Cost Evaluation.................................. 331
11.3.2.1 Network Area Estimation.............................. 336
11.3.2.2 Network Aspect Ratio.................................... 339
11.3.3 Simulation Results with Self-Similar Traffic.................340
11.3.3.1 Accepted Traffic versus Offered Load.........340
11.3.3.2 Throughput versus Locality Factor.............. 341
11.3.3.3 Average Overall Latency under
Localized Traffic..............................................342
11.3.3.4 Energy Consumption.....................................345
11.3.4 Simulation Results with Application-Specific Traffic..... 349
11.4 Summary........................................................................................... 350
References...................................................................................................... 351

12. Conclusions and Future Trends................................................................ 353


12.1 Conclusions....................................................................................... 353
12.2 Future Trends................................................................................... 354
12.2.1 Photonic NoC.................................................................... 354
12.2.2 Wireless NoC.....................................................................354
12.3 Comparison between Alternatives................................................ 355
References...................................................................................................... 357
Preface

System-on-chip (SoC) is a paradigm for designing today’s integrated circuit


(IC) chips that put an entire system onto a single silicon floor (instead of
printed circuit boards containing a number of chips accomplishing the sys-
tem task). With the increasing number of cores integrated on such a chip,
on-chip communication efficiency has become one of the key factors in
determining the overall system performance and cost. The communication
medium used in most of the modern SoCs is a shared global bus. In spite of
its fairly simple structure, extensibility, and low area cost, at the system level,
it can be used for only up to tens of cores on a single chip. This restriction is
mainly due to the following reasons: nonscalable wire delay with technology
shrinking, nonscalable system performance with number of cores attached,
decrease in operating frequency with each additional core attached, high
power consumption in long wires, and so on. In many-core-based SoCs, the
major challenge that designers face today is to come up with a scalable, reus-
able, and high-performance communication backbone.
Network-on-chip (NoC) is an emerging alternative that overcomes the
above-mentioned bottlenecks for integrating a large number of cores on a
single SoC. NoC is a specific flavor of interconnection networks where the
cores communicate with each other using a router-based packet-switched
network. Interconnection networks have been studied for more than the past
two decades and a solid foundation of design techniques has been reported
in the literature. NoC is today becoming an emerging research and develop-
ment topic including hardware communication infrastructure design, soft-
ware and operating system services, computer aided design (CAD) tools for
NoC synthesis, NoC testing, and so on.
However, two-dimensional (2D) IC design has limited floorplanning
choices with increasing number of cores attached. An attractive solution to
this problem is the three-dimensional (3D) IC technology that stacks mul-
tiple layers of active silicon using special vertical interconnects, known as
through-silicon via (TSV). The introduction of 2D NoC in a 3D IC platform is
a gradual process and is known as 3D NoC. Although a number of 2D NoC
implementations have already been fabricated in industries (e.g., Intel, IBM,
Arteris, Tilera, etc.), research in 3D NoC is still in its infancy and demands
more concentration from academia and industries.
Aim and scope: This book aims to cover the important aspects of NoC
design: communication infrastructure design, communication methodology,
evaluation framework, mapping of applications onto NoC, and so on. Apart
from these, it also proposes to focus on other upcoming NoC issues, such
as low-power NoC design, signal integrity issues, NoC testing, synthesis,
reconfiguration, and 3D NoC design.

xiii
xiv Preface

Organization: The book consists of 12 chapters. The contents of various


chapters are as follows:

• Chapter 1 presents the evolution of NoC from SoC—its research and


developmental challenges.
• Chapter 2 discusses NoC protocols, elaborating flow control, avail-
able network topologies, routing mechanisms, fault tolerance,
­quality-of-service support, and the design of network interfaces.
• Chapter 3 presents the router design strategies followed in NoCs.
It elaborates on clocking strategies, first-in first-out (FIFO) design,
globally asynchronous and locally synchronous style of communi-
cation, router architecture design for both single- and virtual chan-
nel wormhole routers, adaptive router design, and so on.
• Chapter 4 describes the evaluation mechanism of NoC architec-
tures. After introducing the performance and cost metrics, it pres-
ents a detailed discussion on traffic modeling, simulator design, and
performance evaluation and comparison between different NoC
structures.
• Chapter 5 presents the application mapping strategies followed in
NoCs. Given an application task graph, several mapping strategies
have been developed to associate the intellectual properties (IPs)
carrying out these tasks with the routers. The chapter enumerates
various strategies such as integer linear programming, constructive
and iterative heuristics, and meta-search techniques for the mapping
problem.
• Chapter 6 reports on low-power design techniques specifically
followed in NoCs. These include various low-power approaches
adopted for NoC design, for example, low-power encoding, on-chip
serialization, low-swing signaling, static voltage scaling, dynamic
voltage scaling, dynamic frequency scaling, voltage–frequency
island partitioning, clock gating, and so on. This chapter also
includes energy–performance trade-offs.
• Chapter 7 discusses on the signal integrity and reliability issues of
NoC. As technology shrinks toward ultra-deep submicron level,
crosstalk, electromagnetic interference, synchronization failures,
and soft errors are the most important factors affecting the system
reliability. This chapter surveys different protection techniques that
have been adopted for NoC design until now. It also focuses on
energy–reliability trade-offs.
• Chapter 8 presents the details of NoC testing strategies reported so
far. NoC testing can be broadly classified into three subproblems:
testing the IP cores, testing the routers, and testing the links. It has a
detailed discussion on each of the three issues.
Preface xv

• Chapter 9 discusses the problem of synthesizing application-specific


NoCs. The NoC synthesis problem addresses the issue of evolving
the best possible NoC topology for a given application task graph. It
includes the issues such as topology generation, router placement,
and scheduling algorithm development on the designed topology.
• Chapter 10 deals with reconfigurable NoC design issues. The sub-
topics include using field programmable gate array (FPGA) for NoC
reconfiguration, designing a router architecture that aids in dynamic
change of interconnection pattern between the routers, reconfigu-
rable link design, and revisiting the application mapping problem
from the reconfiguration viewpoint.
• Chapter 11 highlights the limited floorplanning choices of 2D NoC
and also focuses on 3D NoC design, which is the amalgamation of
2D NoC and 3D IC. In 3D IC, multiple layers of active silicon are
stacked using special vertical interconnects, known as through-silicon
via. The actual benefit of 3D IC relies on the fact that the relatively
long wires (approximately in millimeters) of 2D IC can be replaced
by these TSVs whose lengths are about tens of microns. This chapter
explores the design space of integrating multiple cores onto different
silicon layers focusing on the performance and cost metrics.
• Finally, Chapter 12 presents the conclusions and enumerates the
directions for future research and development in the field of NoC.

Santanu Kundu
LSI India Research and Development Pvt. Ltd.
(An Avago Technologies Company)

Santanu Chattopadhyay
Indian Institute of Technology, Kharagpur
Authors

Santanu Kundu received his BTech degree in instrumentation ­engineering


from Vidyasagar University, Medinipur, West Bengal, India, in 2002.
Thereafter, he served in industry for a couple of years as an electronics
engineer and returned to academia for pursuing higher studies in 2004. He
received his MTech in instrumentation and electronics engineering from
Jadavpur University, Kolkata, West Bengal, India, in 2006. Immediately after
that he joined the electronics and electrical communication engineering
department at the Indian Institute of Technology, Kharagpur, West Bengal,
India, for pursuing a PhD with specialization in microelectronics and very
large scale integration (VLSI) design. He received his PhD degree in 2011.
Currently he is working as a system-on-chip (SoC) senior design engineer at
LSI India R&D Pvt. Ltd., Bangalore, Karnataka, India. His research interests
include network-on-chip architecture design in 2D and 3D environments,
performance and cost evaluation, signal integrity in nanometer regime,
fault-tolerant schemes, and power–performance–reliability trade-off.

Santanu Chattopadhyay received his BE in computer science and tech-


nology from Calcutta University (BE College), Kolkata, West Bengal, in 1990.
In 1992 and 1996, he received his MTech in computer and information
­technology and PhD in computer science and engineering, respectively, both
from the Indian Institute of Technology (IIT), Kharagpur, West Bengal, India.
Before joining the IIT, Kharagpur, he was a faculty member at BE College,
Howrah, West Bengal, India, and the IIT, Guwahati, Assam, India. He is cur-
rently a professor in the electronics and electrical communication engineer-
ing department at the IIT, Kharagpur. His research interests include CAD
tools for low-power circuit design and test, system-on-chip testing, and
network-on-chip design and test. He has more than hundred publications
in refereed international journals and conferences. He is the coauthor of the
book Additive Cellular Automata—Theory and Applications published by the
IEEE Computer Society Press in 1997. He has also written textbooks such as
Compiler Design, System Software, and Embedded System Design, all published
by PHI Learning, New Delhi, India. He is a member of the editorial board of
the journal IET Circuits, Devices and Systems.

xvii
1
Introduction

1.1 System-on-Chip Integration and Its Challenges


Continuous reduction in time to market, required by the multimedia and
consumer electronics commodities, makes full-custom design inappro-
priate. It has led to the design based on reuse of intellectual property (IP)
cores. With the growing complexity in consumer-embedded products, a
single-chip implementation integrating numerous IP cores performing vari-
ous functions and possibly operating at different clock frequencies is now
a well-established one. Such an implementation is conveniently known as
system-on-chip (SoC). Depending on application domains and versatility, SoC
can be classified into two categories: (1) general-purpose multiprocessor SoC
(MPSoC) and (2) application-specific SoC.
Improving the performance and efficiency of a traditional large unipro-
cessor architecture is no longer achievable, thus enhancing the demand
for parallel processing. This, in turn, has resulted in a revolution in micro-
processor architecture—chip multiprocessing (CMP) system. For boosting
up the performance of CMP-based systems, researchers have adopted SoC
platform to build a general-purpose MPSoC for supporting a wide range of
applications. This type of SoC is categorized by having a homogeneous set
of processing elements and storage arrays. Application-specific SoC, as the
name suggests, is dedicated to a specific application. This type of SoC, in
many cases, contains heterogeneous processing elements (e.g., processors,
controllers, and digital signal processors) and a number of domain-specific
hardware accelerators. This heterogeneity may lead to a specific traffic pat-
tern requirement. Hence, a prior knowledge of traffic pattern is required
when the system is designed.
Shared medium arbitrated bus is the commonly used communication back-
bone in modern SoCs. Although this architecture has the advantages of sim-
ple topology, extensibility, and low area cost, a shared bus allows only one
communication at a time that may block all other buses in the h ­ ierarchy. Thus,
bus-based SoC does not scale the system performance with the n ­ umber of
cores attached. Its bandwidth is also shared by all the cores (Grecu et al. 2004).

1
2 Network-on-Chip

Usage of segmented bus architecture where a shared bus is segmented to


multiple buses using bridges also suffers from the same problem of band-
width sharing. There is also a problem of distributing a synchronous clock
signal over the whole chip. In deep submicron (DSM) technologies, accord-
ing to the International Technology Roadmap for Semiconductors (ITRS)
report (ITRS 2001), the delay of local wires and logic gates reduces with
every process generation, whereas global wire delay increases exponen-
tially, or at best linearly, by inserting repeaters as shown in Figure 1.1. For a
relatively long bus, this delay is significant due to its high intrinsic parasitic
resistance and capacitance. As the IP blocks are connected to the bus, they
will add more capacitance to it, which may enhance the delay. In ultra-DSM
processes, it has been observed that long wires mostly fall in the critical
path of the design (Sylvester and Keutzer 2000; Kapur et al. 2002). The long
wires in DSM regime also introduce many signal integrity problems, such
as crosstalk noise, crosstalk delay, IR drop, and electromagnetic interference
(EMI). Moreover, the power consumption of the global wires along with
their drivers and repeaters can be a significant portion of the overall SoC
power budget. Therefore, in DSM technologies, on-chip communication
efficiency has become one of the key factors determining the overall system
performance and cost. The major challenge, SoC researchers face today, is
to come up with structured, scalable, reusable, and high-performance inter-
connection architectures.

100
Gate delay
(fan-out of 4)
Local
(scaled)
Global with
10
repeaters
Relative delay

Global without
repeaters

0.1
250 180 130 90 65 45 32
Process technology node (nm)
FIGURE 1.1
Projected relative delay for local and global wires and for logic gates at different technologies.
(Data from ITRS, International technology roadmap for semiconductors, Technical report,
International Technology Roadmap for Semiconductors, 2001.)
Introduction 3

1.2 SoC to Network-on-Chip: A Paradigm Shift


Several research groups from academia and industry have started to find
out the communication backbone of next-generation many-core-based SoCs
for supporting the new inter-core communication demands. Point-to-point
dedicated links can be a good alternative to global bus for a limited number
of cores in a SoC in terms of bandwidth, latency, and power consumption.
However, the number of links needed increases exponentially as the number
of cores increases. Thus, for a large system, it may create a routing problem
(Bjerregaard and Mahadevan 2006). A centralized crossbar switch overcomes
some of the limitations of the buses. Again, connecting large number of cores
to a single switch is not very effective as it is not ultimately scalable and,
thus, is an intermediate solution only (Bjerregaard and Mahadevan 2006).
At the system level, up to a certain number of cores on a single chip, the per-
formance of traditional bus-based SoCs are expected to be satisfactory. But
in a many-core regime, as the number of cores residing on a SoC increases
significantly, it has a profound effect in shifting the focus from computation
to communication.
To overcome the above-mentioned problems, several research groups have
started to investigate systematic platform-based approaches to design the
communication backbone of MPSoC. On-chip interconnection network is
one solution to integrate IPs in complex SoCs. Network-on-chip (NoC) has
emerged as the viable alternative for the design of modular and scalable
communication architectures. The IP cores communicate with each other via
the router-based network. A core is attached to a router through a network
interface (NI) module (Benini and Micheli 2002). The network is used for
packet-switched on-chip communication among routers, whereas the NIs
enable seamless communication between various cores and the network.
The need for global synchronization can thus disappear. NoC supports the
globally asynchronous locally synchronous (GALS) style for multicore communi-
cation in SoCs.
The concept of on-chip network has been borrowed from off-chip intercon-
nection networks where a single router is implemented per chip (Gratz et al.
2006). The bandwidth of off-chip networks is typically lower than that of
on-chip networks. Off-chip networks are constrained by bit width, as each
extra bit incurs one more pin. Also, the off-chip routers need to be connected
by explicit board traces. This affects the overall system latency and aggra-
vates the synchronization problem (Jerger and Peh 2009).
The introduction of on-chip networks in SoC design is an evolution of bus
interconnect technology. Figure 1.2 shows a NoC structure where heteroge-
neous IP cores (CPU, DSP, etc.) communicate with each other via a network
and NI modules. The function of NI is to isolate the computation from com-
munication. The network consists of switches (routers) and point-to-point
4 Network-on-Chip

CPU NI
NI DSP
DMA NI

Switch Switch

Switch
Switch

NoC Switch
Switch

NI MPEG
DRAM NI Accel NI

FIGURE 1.2
The NoC paradigm. (Data from Angiolini, F., NoC Architectures, n.d., http://www-micrel.deis​
.unibo.it/MPHS/slidecorso0607/nocsynth.pdf.)

communication links between them. Routers route the packets from the
source node to the destination node depending on the underlying network
topology and routing strategy. The length of the point-to-point links should
be small to reduce wire delay.
To mitigate the ever increasing design productivity gap and to meet the
time-to-market requirement, reuse of IP cores is widely used in SoC develop-
ment. Besides IP cores, the bus interface protocol can also be reused to inte-
grate the IPs. While reuse is one of the key challenges that IC design houses
try to address, reuse of IPs, NI, and communication infrastructure such as
routers, underlying network, and flow control protocols can be adopted
in the NoC paradigm. Although selection of network topology and router
architecture is purely application specific, reusing these in different appli-
cations will not give the optimal solution. Hence, the reusability is limited
to a particular type of applications. For example, the network topology and
router architecture used for mobile application cannot be same as those of
video processing application. For similar applications, the design and verifi-
cation effort due to reuse will be drastically reduced.
NoC is a specific flavor of interconnection networks and involves several
abstraction layers such as physical, data link, network, and transport layers
(Jantsch and Tenhunen 2003), which are described as follows:

• The physical layer determines the number and length of wires con-
necting resources and switches.
• The data link layer defines the protocol of communication between
a resource and a switch, and between the two switches. Both the
Introduction 5

physical and data link layers are dependent on the technology. Thus,
for each new technology, these layers are defined.
• The network layer defines how a packet is transmitted over the net-
work from an arbitrary sender to an arbitrary receiver directed by the
receiver’s network address. This layer is also technology dependent.
• The transport layer is technology independent. In this layer, message
size can be variable. This layer breaks the message into network
layer packets.

Interconnection networks have been studied for more than the past two
decades and a solid foundation of design techniques has been described in
several text books (Duato et al. 2003; Dally and Towles 2004). With increasing
communication demand, the introduction of interconnection network in SoC
design has paved the route to NoC research almost a decade ago. Mullins
(2009) has listed more than 400 related articles addressing all these aspects.
NoC is today becoming an emerging research topic including hardware com-
munication infrastructure, software and operating system services, CAD
tools for NoC synthesis, and so on.

1.3 Research Issues in NoC Development


The major research problems in NoC design can be broadly classified into
four different dimensions—communication infrastructure, communication
paradigm, evaluation framework, and application mapping—as addressed
in the works of Ogras et al. (2005) and Marculescu et al. (2009). This section
first highlights these issues briefly followed by other associated issues.
The first dimension of research is focused on choice of communication infra-
structure. The communication infrastructure design essentially points to
the design of underlying hardware acting as the backbone for the on-chip
communication network. Selection of network topology, design of router
architecture with proper buffer organization, determining inter-router link
width, clocking strategies, floorplanning, and layout design are the key
design aspects of this dimension. The routers are often connected in certain
topologies whose performance behaviors are well known to the distributed
system design community and suit well for on-chip realizations. Individual
routers are designed using some specific switching techniques, such as
wormhole and virtual cut-through. Flow control is performed via handshak-
ing signals between adjacent routers. The router’s buffer space minimization
and simplified buffer control mechanisms are two important features of the
NoC design, as they directly affect the overall area–power overheads and
network latency. To solve the problem of clock skew, the individual cores
6 Network-on-Chip

and routers are allowed to operate at their own clocks, giving rise to a GALS
scheme. Another important hardware aspect in designing a complete NoC
is the integration of cores with the routers. This needs the design of NI mod-
ules between the two.
The second dimension of research deals with the communication paradigm
on a given NoC platform. Once the infrastructure has been finalized, the next
important task is to design the communication methodology between the
cores via the established network. Routing policies, switching techniques,
congestion control, power and thermal management, and fault tolerance
and reliability issues are the main focus of this set. It, first of all, necessi-
tates the fixing of routing strategy. This is one of the very rich areas of
research in NoC design. It has profound effect on the performance of the
NoC as this chiefly determines the number of hops to be traversed in each
communi­ cation, congestion, traffic load distribution in different routers,
and so on. The domain is often complicated by the requirement to s­ upport
the ­quality-of-service (QoS). Arbitration of network resources in terms of
FIFOs and channels between the contending simultaneous communica-
tions is essential to ensure freedom from problems such as livelock and
deadlock. Like off-chip communications, on-chip communications also suf-
fer from capacitive crosstalk and electromagnetic radiations, corrupting the
data being transmitted. This makes it essential to adopt some fault-tolerant
schemes in the communication. As all designs are now invariably power
aware, the same is the requirement for NoC as well. It is required to judge
very critically the voltages and frequencies at which individual cores and
routers are made to operate to satisfy the overall performance requirement
with a minimum power budget.
The third dimension of research is paying attention to the design of an
evaluation framework for NoC by applying stochastic and application-specific
traffic. As the MPSoCs contain a large number of cores connected in some
topology via routers and interconnection links, it is mandatory to have a
clear idea about their performance before any investment is made in manu-
facturing the systems. The potential faults and drawbacks, if any, must be
identified at the design phase to avoid huge loss after getting the silicon
chips. Though many theoretical studies exist that can predict the behavior of
such a system, they are mostly for congestion-free environment and under
the assumption that all cores are equally active in producing traffic load to
the network. Both of these assumptions are highly optimistic for any prac-
tical design of moderate size. This necessitates the design of high-quality
NoC simulators to produce a behavior similar to that of the actual NoC. The
simulator should model the network at the granularity of individual hard-
ware blocks and wires in terms of functionality, delay, power, and so on.
In the absence of the actual traffic pattern for applications, often synthetic
traffic is used. This synthetic traffic should mimic the behavior of the actual
core that it corresponds to. With confidence gained after determining the
throughput, latency, and bandwidth of the network through simulation,
Introduction 7

the designer can quickly proceed to accurate estimation of area and power
­consumption of the network, as it can be a significant portion of the overall
SoC cost budget.
The fourth dimension of research is related to application mapping. Mapping
of cores with regular and irregular sizes onto an underlying NoC platform
to achieve the required performance for a specific application is the major
issue of this dimension. Performance and energy-aware task scheduling for
heterogeneous NoC is another important problem of this class of research.
Figure 1.3 summarizes the major dimensions of NoC research as discussed
above.
Another important aspect is NoC testing. In any system development
­process, testing occupies a major part of its turnaround time. The problem
is further complicated by the fact that the test volume becomes huge for
a NoC. It is necessary to apply test patterns to all the cores and get their
responses. The test patterns are to be transported from the system inputs
to the core inputs and the responses are to be carried through the network
from the core outputs to the system outputs. This gives rise to test schedule
optimization problems. The NoC infrastructure itself needs to be tested. The
power consumption during test is also a major concern.
While attempting to realize an application, or a set of applications, in
NoC, it is imperative to use a NoC infrastructure most suitable for the
application(s). This gives rise to the issue of application-specific NoC syn-
thesis. Unlike general standard topologies (such as mesh), NoC synthesis
approaches an attempt to derive the topology, routing policy, and so on to
obtain the best possible performance of the NoC implementation. While the
architecture may be synthesized for a single application, for a set of applica-
tions it is quite common to evolve a reconfigurable architecture. Depending
upon the communication needs of various applications running at different
points in time, a reconfigurable architecture can adapt itself to make it suit-
able for the currently running application. The reconfiguration may be in the
form of link reconfiguration, router port reconfiguration, buffer reconfigura-
tion, and so on.

NoC architecture design

Communication Communication Evaluation framework Application


infrastructure design methodology design design mapping

Network topology Routing strategies Interconnection


modeling
Switching techniques Quality of service
Flow control protocol Arbitration Traffic configuration
Buffering Fault-tolerant scheme NoC simulator
Clocking strategies Power minimization
Network interface techniques

FIGURE 1.3
Major NoC research dimensions.
8 Network-on-Chip

In the many-core era, integrating large number of cores on a two-dimensional


integrated circuit (2D IC) has limited the floor planning choice. Although the
size of an individual core is reduced up to a certain level due to technology
shrinking, chip sizes may become larger for incorporating huge number of
cores on a single silicon die. After the advent of three-dimensional (3D) IC
(Davis et al. 2005) that stacks multiple layers of active silicon using special ver-
tical interconnects, known as through-silicon vias (TSVs), the above-­mentioned
problem of long interconnects can be solved. The actual benefit of 3D IC relies
on the fact that the relatively long wires (approximately in millimeters) of 2D
IC can be replaced by these TSVs whose lengths are about tens of microns.
These shorter TSVs minimize the link delay and link energy consumption sig-
nificantly and at the same time more immunity to noise (Topol et al. 2006; Flic
and Bertozzi 2010). Due to increased connectivity, 3D ICs have the potential for
enhancing system performance, achieving better functionality, and producing
higher packaging density compared to their traditional 2D counterpart (Davis
et al. 2005). Combining these two emerging paradigms, NoC and 3D IC, a new
area of research, 3D NoC, has evolved (Pavlidis and Friedman 2007). In a 3D
NoC, an entire 2D NoC is divided into a number of blocks, and each block is
placed on a separate silicon layer. The 3D NoC research is still in its infancy
and needs attention of more researchers to exploit its full potential for using as
communication backbone for future many-core-based SoCs.

1.4 Existing NoC Examples


Several research groups from academia and industry have implemented NoC
to support MPSoC platform. Intel has introduced 80-core-based Teraflops
research chip (Vangal et al. 2008) where each core is placed inside a tile of
dimension 2 mm × 1.5 mm. The cores are connected in a 2D mesh topology
and support wormhole switching of 32-bit flit size with two virtual channels.
The routers have been implemented in 65-nm technology with five-stage pipe-
lining. The operating frequency of the router has been found to be 4.27 GHz
when implemented on a chip. IBM launched Cyclops-64 (C64), a peta-flop
supercomputer, built on a multicore system-on-a-chip technology. Each C64
chip has 80 custom-designed 64-bit processor cores, which are connected in
a 3D mesh fashion (Zhang et al. 2006). The routers have been implemented
using two virtual channels to support two service classes. It uses both input
and output queuing with seven-stage pipelining and operates at 533 MHz. It
can transfer bidirectional data in parallel. Tilera Inc. has introduced a 64-core-
based TILE64 processor (Wentzlaff et al. 2007). The routers are connected in an
8 × 8 2D mesh fashion and follow XY routing having a 32-bit link width with
no virtual channel. The routers are working at 1 GHz when implemented
on silicon in 90-nm technology having both input and output buffering. For
Introduction 9

supporting highly local traffic inside a node, Intel has introduced single-chip
cloud computer (SCC) having 48 cores (SCC 2010). Two cores are connected
with each router of a 6 × 4 2D mesh. The operating frequency of each core is
1 GHz, whereas the routers are targeted to work with 2 GHz in 45-nm technol-
ogy. The routers have been implemented with eight virtual channels and four-
cycle latency. The link width has been taken as 128 bits. ST Microelectronics
have implemented STNoC (Coppola et al. 2004), a spidergon topology-based
NoC that follows a credit-based flow control. Philips have developed a topol-
ogy-independent NoC, Æthereal (Rijpkema et al. 2003), for supporting guar-
anteed throughput (GT) and best effort (BE) services. The router has been
implemented by an input-buffering scheme with first-in first-out (FIFO)
depth of 8 bits and width of 32 bits. It uses a standard credit-based end-to-
end flow control. Both the routers and the NI operate at 500 MHz in 130-nm
technology at the layout level. Arteris is another custom NoC that operates at
750 MHz in 90-nm technology (Arteris 2005). It has a set of configuration and
modeling tools—NoC compiler, NoC verifier, and NoC explorer—for getting
optimized performance and power result for any application.
Kumar et al. (2007) implemented a 36-core shared memory chip multi-­
processing (CMP) system in 65-nm technology targeting 3.6 GHz router with
single-cycle latency. The cores are connected in a 6 × 6 2D mesh having a flit size
of 128 bits. The router has 12 unreserved virtual channels and 1 reserved virtual
channel for each of three message classes. It has been implemented with single-
stage pipelining. Lee et al. (2004) implemented a hierarchical star-connected
on-chip network by using a 16:1 serialized link. The routers and cores operate
at 1.6 GHz and 100 MHz, respectively, in 180-nm technology. The authors have
also implemented a custom NoC, Slim-spider (Lee et al. 2006), ensuring low-
power consumption where each router operates at 1.6 GHz in 180-nm technol-
ogy taking a flit size of 8 bits. Adriahantenaina et al. (2003) implemented a fat
tree-based NoC, scalable, programmable, integrated network (SPIN ), in 130-nm
technology taking a flit size of 32 bits. The operating frequency of routers is
found to be 200 MHz at the layout level. Another fat tree-based NoC, extended
generalized fat-tree (XGFT) (Kariniemi et al. 2006), uses a flit size of 32 bits and
operates at 400 MHz. Xpipes (Bertozzi et al. 2005), a custom NoC, consists of
soft macros of switches, NIs, and links. It takes a flit width of 32 bits and sup-
ports error detection and retransmission. Kavaldjiev et al. (2006) modified the
traditional virtual channel router and the new router is working at 500 MHz
in 180-nm technology supporting the 2D mesh topology with 16-bit flit size.
Pande et al. (2005) reported that the area overhead of the routers is reason-
ably low compared to that of full SoC. Feero and Pande (2009) designed a 3D
NoC architecture based on 3D mesh, 3D butterfly fat-tree (BFT), and 3D fat tree
topologies having 64 IP cores of size 2.5 mm × 2.5 mm each. They used a flit size
of 32 bits and four virtual channels each of two flits deep. The frequency of each
router is found to be 1.66 GHz in 90-nm technology after synthesis.
Some asynchronous NoCs have also been reported in the literature.
MANGO (Bjerregaard and Sparsoe 2005), a clock-less NoC, uses the 2D mesh
10 Network-on-Chip

topology with a flit size of 32 bits. The NIs synchronize the clocked open core
protocol (OCP) interfaces to the clock-less network in a GALS fashion and the
overall ­network is running at 795 MHz in 130-nm technology at the regis-
ter transfer level (RTL) level. Silistix Inc. has introduced its industry leading
asynchronous NoC, CHAINworks (Rostislav et al. 2005), for the design and syn-
thesis of complex devices. FAUST (Lattard et al. 2008), another asynchronous
NoC implemented in 130-nm technology for telecom requirements, uses the
2D mesh technology with a flit size of 32 bits. In the work of Salminen et al.
(2008), a list of NoC proposals has been presented in a tabular form that effec-
tively characterizes many of the NoCs that are not covered here.

1.5 Summary
NoC is a very active research field with many practical applications in
industry as it is expected to be an efficient communication backbone of next-
generation many-core-based SoCs. This chapter focuses on the upcoming
technology trends and the needs of NoC in designing many-core-based
SoCs. It also briefly covers different horizons of research in the field of NoC
design. Finally, a set of NoCs that has been designed till date from the indus-
try and academia has also been covered.
The research dimensions of NoC noted in this chapter have been taken up
in subsequent chapters and discussed in detail.

References
Adriahantenaina, A., Charlery, H., Greiner, A., Mortiez, L., and Zeferino, C. A. 2003.
SPIN: A scalable, packet switched, on-chip micro-network. Proceedings of the IEEE
Conference on Design, Automation and Test in Europe, pp. 70–73, Munich, Germany.
Angiolini, F. n.d. NoC architectures. http://www-micrel.deis.unibo.it/MPHS/slide-
corso0607/nocsynth.pdf.
Arteris, 2005. A comparison of network-on-chip and buses. White Paper. http://www​
.arteris.com/noc-whitepaper.pdf.
Benini, L. and Micheli, G. D. 2002. Network on chips: A new SoC paradigm. IEEE
Computer, vol. 35, no. 1, pp. 70–78.
Bertozzi, D., Jalabert, A., Murali, S., Tamhankar, R., Stergiou, S., Benini, L., and
Micheli, G. D. 2005. NoC synthesis flow for customized domain specific multi-
processor systems-on-chip. IEEE Transactions on Parallel and Distributed Systems,
vol. 16, no. 2, pp. 113–129.
Bjerregaard, T. and Mahadevan, S. 2006. A survey of research and practices of
­network-on-chip. ACM Computing Surveys, vol. 38, no. 1, pp. 1–51.
Introduction 11

Bjerregaard, T. and Sparsoe, J. 2005. A router architecture for connection-oriented


­service guarantees in the MANGO clockless network-on-chip. Proceedings o­ f the
Design, Automation and Test in Europe Conference, pp. 1226–1231, Munich, Germany.
Coppola, M., Locatelli, R., Maruccia, G., Pieralisi, L., and Scandurra, A. 2004. Spidergon:
A novel on-chip communication network. Proceedings of the International Sym­
posium on System on Chip, p. 15, Tampere, Finland.
Dally, W. J. and Towles, B. 2004. Principles and Practices of Interconnection Networks.
Morgan Kaufmann Publishers, San Francisco, CA.
Davis, W. R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A. M., Steer, M., and
Franzon, P. D. 2005. Demystifying 3D ICs: The pros and cons of going vertical.
IEEE Design and Test of Computers, vol. 22, no. 6, pp. 498–510.
Duato, J., Yalamanchili, S., and Ni, L. 2003. Interconnection Networks: An Engineering
Approach. Morgan Kaufmann Publishers, San Francisco, CA.
Feero, B. S. and Pande, P. P. 2009. Networks-on-chip in a three dimensional environ-
ment: A performance evaluation. IEEE Transactions on Computers, vol. 58, no. 1,
pp. 32–45.
Flic, J. and Bertozzi, D. 2010. Designing Network On-Chip Architectures in the Nanoscale
Era. Chapman & Hall/CRC Computational Science, Boca Raton, FL.
Gratz, P., Changkyu, K., McDonald, R., Keckler, S. W., and Burger, D. 2006. Imple­
mentation and evaluation of on-chip network architectures. Proceedings of the
IEEE International Conference on Computer Design, pp. 477–484, San Jose, CA.
Grecu, C., Pande, P. P., Ivanov, A., and Saleh, R. 2004. Structured interconnect archi-
tecture: A solution for the non-scalability of bus-based SoCs. Proceedings of the
ACM Great Lakes Symposium on VLSI, pp. 192–195, Boston, MA.
ITRS. 2001. International technology roadmap for semiconductors. Technical report,
International Technology Roadmap for Semiconductors.
Jantsch, A. and Tenhunen, H. 2003. Networks on Chip. Kluwer Academic Publishers,
Boston, MA.
Jerger, N. E. and Peh, L. S. 2009. On-Chip Networks (Synthesis Lectures on Computer
Architectures). Morgan & Claypool Publishers, San Rafael, CA.
Kapur, P., Chandra, G., McVittie, J. P., and Saraswat, K. C. 2002. Technology and reli-
ability constrained future copper interconnects—Part II: Performance implica-
tions. IEEE Transactions on Electron Devices, vol. 49, no. 4, pp. 598–604.
Kariniemi, H. 2006. On-line reconfigurable extended generalized fat tree network-
on-chip for multiprocessor system-on-chip circuits. PhD dissertation, Tampere
University of Technology, Finland.
Kavaldjiev, N., Smit, G. J. M., Jansen, P. G., and Wolkotte, P. T. 2006. A virtual channel
network-on-chip for GT and BE traffic. Proceedings of the IEEE Computer Society
Annual Symposium on Emerging VLSI Technologies and Architectures, Karlsruhe,
Germany.
Kumar, A., Kundu, P., Singh, A. P., Peh, L. S., and Jha, N. K. 2007. A 4.6Tbits/s
3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS.
Proceedings of the IEEE International Conference on Computer Design, pp. 63–70,
Lake Tahoe, CA.
Lattard, D., Beigne, E., Clermidy, F., Durand, Y., Lemaire, R., Vivet, P., and Berens, F.
2008. A reconfigurable baseband platform based on an asynchronous network-
on-chip. IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 223–235.
Lee, K., Lee, S. J., Kim, S. E., Chol, H. M., Kim, D., Kim, S., Lee, M. W., and Yoo, H. J.
2004. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC
12 Network-on-Chip

platform. Proceedings of the IEEE International Solid-State Circuits Conference, San


Francisco, CA.
Lee, K., Lee, S. J., and Yoo, H. J. 2006. Low-power network-on-chip for high-­
performance SoC design. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 14, no. 2, pp. 148–160.
Marculescu, R., Ogras, U. Y., Peh, L. S., Jerger, N. E., and Hoskote, Y. 2009. Outstanding
research problems in NoC design: Systems, microarchitecture, and circuit per-
spectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and
Systems, vol. 28, no. 1, pp. 3–21.
Mullins, R. D. 2009. On-chip network bibliography. http://www.cl.cam.ac.uk/~rdm34​
/onChipNetBib/onChipNetwork.pdf.
Ogras, U. Y., Hu, J., and Marculescu, R. 2005. Key research problems in NoC design:
A holistic perspective. Proceedings of the IEEE/ACM/IFIP International Conference
on Hardware/Software Codesign and System Synthesis, pp. 69–74, Jersey City, NJ.
Pande, P. P., Grecu, C., Jones, M., Ivanov, A., and Saleh, R. 2005. Performance eval-
uation and design trade-offs for MP-SOC interconnect architectures. IEEE
Transactions on Computers, vol. 54, no. 8, pp. 1025–1040.
Pavlidis, V. F. and Friedman, E. G. 2007. 3-D Topologies for networks-on-chip. IEEE
Transactions on VLSI Systems, vol. 15, no. 10, pp. 1081–1090.
Rijpkema, E., Goossens, K. G. W., and Radulescu, A. 2003. Trade offs in the design
of a router with both guaranteed and best-effort services for network on chip
(extended version). IEE Proceedings of the Computers and Digital Techniques, ­vol. 150,
no. 5, pp. 294–302, Munich, Germany.
Rostislav, D., Vishnyakov, V., Friedman, E., and Ginosar, R. 2005. An asynchronous router
for multiple service levels networks on chip. Proceedings of the IEEE International
Symposium on Asynchronous Circuits and Systems, pp. 44–53, New York.
Salminen, E., Kulmala, A., and Hamalainen, T. D. 2008. Survey of network-on-chip
proposals. White Paper, © OCP-IP ns2.ocpip-server.com/uploads/documents/
OCP-IP_Survey_of_NoC_Proposals_White_Paper_April_2008.pdf.
SCC. 2010. Single-chip cloud computer. http://techresearch.intel.com/UserFiles/en-us ​
/File/SCC_Sympossium_Mar162010_GML_final.pdf.
Sylvester, D. and Keutzer, K. 2000. A global wiring paradigm for deep submicron
design. IEEE Transactions on Computer Aided Design of Integrated Circuits and
Systems, vol. 19, no. 2, pp. 242–252.
Topol, A. W., Tulipe, D. C. L., Shi, L., Frank, D. J., Bernstein, K., Steen, S. E., Kumar,
A., Singco, G. U., Young, A. M., Guarini, K. W., and Ieong, M. 2006. Three-
dimensional integrated circuits. IBM Journal of Research and Development, vol. 50,
nos. 4/5, p. 491.
Vangal, S. R., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Singh, A.,
Jacob, T., Jain, S., Erraguntla, V., Roberts, C., Hoskote, Y., Borkar, N., and Borkar,
S. 2008. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE
Journal of Solid-State Circuits, vol. 43, no. 1, pp. 29–41.
Wentzlaff, D., Griffin, P., Hoffmann, H., Bao, L., Edwards, B., Ramey, C., Mattina, M.,
Miao, C. C., Brown, J. F., and Agarwal, A. 2007. On-chip interconnection archi-
tecture of the TILE processor. IEEE Micro, vol. 27, no. 5, pp. 15–31.
Zhang, Y. P., Jeong, T., Chen, F., and Wu, H. 2006. A study of the on-chip interconnec-
tion network for the IBM Cyclops64 multi-core architecture. Proceedings of the
IEEE International Parallel and Distributed Symposium, Rhode Island.
Introduction
Adriahantenaina, A. , Charlery, H. , Greiner, A. , Mortiez, L. , and Zeferino, C. A. 2003. SPIN: A
scalable, packet switched, on-chip micro-network. Proceedings of the IEEE Conference on
Design, Automation and Test in Europe, pp. 7073, Munich, Germany.
Angiolini, F. n.d. NoC architectures. http://www-micrel.deis.unibo.it/MPHS/slide-
corso0607/nocsynth.pdf.
Arteris , 2005. A comparison of network-on-chip and buses. White Paper.
http://www.arteris.com/noc-whitepaper.pdf.
Benini, L. and Micheli, G. D. 2002. Network on chips: A new SoC paradigm. IEEE Computer,
vol. 35, no. 1, pp. 7078.
Bertozzi, D. , Jalabert, A. , Murali, S. , Tamhankar, R. , Stergiou, S. , Benini, L. , and Micheli, G.
D. 2005. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip.
IEEE Transactions on Parallel and Distributed Systems, vol. 16, no. 2, pp. 113129.
Bjerregaard, T. and Mahadevan, S. 2006. A survey of research and practices of network-on-
chip. ACM Computing Surveys, vol. 38, no. 1, pp. 151.
Bjerregaard, T. and Sparsoe, J. 2005. A router architecture for connection-oriented service
guarantees in the MANGO clockless network-on-chip. Proceedings of the Design, Automation
and Test in Europe Conference, pp. 12261231, Munich, Germany.
Coppola, M. , Locatelli, R. , Maruccia, G. , Pieralisi, L. , and S candurra, A. 2004. Spidergon: A
novel on-chip communication network. Proceedings of the International Symposium on System
on Chip, p. 15, Tampere, Finland.
Dally, W. J. and Towles, B. 2004. Principles and Practices of Interconnection Networks. Morgan
Kaufmann Publishers, San Francisco, CA.
Davis, W. R. , Wilson, J. , Mick, S. , Xu, J. , Hua, H. , Mineo, C. , Sule, A. M. , Steer, M. , and
Franzon, P. D. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Design
and Test of Computers, vol. 22, no. 6, pp. 498510.
Duato, J. , Yalamanchili, S. , and Ni, L. 2003. Interconnection Networks: An Engineering
Approach. Morgan Kaufmann Publishers, San Francisco, CA.
Feero, B. S. and Pande, P. P. 2009. Networks-on-chip in a three dimensional environment: A
performance evaluation. IEEE Transactions on Computers, vol. 58, no. 1, pp. 3245.
Flic, J. and Bertozzi, D. 2010. Designing Network On-Chip Architectures in the Nanoscale Era.
Chapman & Hall/CRC Computational Science, Boca Raton, FL.
Gratz, P. , Changkyu, K. , McDonald, R. , Keckler, S. W. , and Burger, D. 2006. Implementation
and evaluation of on-chip network architectures. Proceedings of the IEEE International
Conference on Computer Design, pp. 477484, San Jose, CA.
Grecu, C. , Pande, P. P. , Ivanov, A. , and Saleh, R. 2004. Structured interconnect architecture:
A solution for the non-scalability of bus-based SoCs. Proceedings of the ACM Great Lakes
Symposium on VLSI, pp. 192195, Boston, MA.
ITRS . 2001. International technology roadmap for semiconductors. Technical report,
International Technology Roadmap for Semiconductors .
Jantsch, A. and Tenhunen, H. 2003. Networks on Chip. Kluwer Academic Publishers, Boston,
MA.
Jerger, N. E. and Peh, L. S. 2009. On-Chip Networks (Synthesis Lectures on Computer
Architectures). Morgan & Claypool Publishers, San Rafael, CA.
Kapur, P. , Chandra, G. , McVittie, J. P. , and Saraswat, K. C. 2002. Technology and reliability
constrained future copper interconnectsPart II: Performance implications. IEEE Transactions on
Electron Devices, vol. 49, no. 4, pp. 598604.
Kariniemi, H. 2006. On-line reconfigurable extended generalized fat tree network-on-chip for
multiprocessor system-on-chip circuits. PhD dissertation, Tampere University of Technology,
Finland.
Kavaldjiev, N. , Smit, G. J. M. , Jansen, P. G. , and Wolkotte, P. T. 2006. A virtual channel
network-on-chip for GT and BE traffic. Proceedings of the IEEE Computer Society Annual
Symposium on Emerging VLSI Technologies and Architectures, Karlsruhe, Germany.
Kumar, A. , Kundu, P. , Singh, A. P. , Peh, L. S. , and Jha, N. K. 2007. A 4.6Tbits/s 3.6GHz
single-cycle NoC router with a novel switch allocator in 65nm CMOS. Proceedings of the IEEE
International Conference on Computer Design, pp. 6370, Lake Tahoe, CA.
Lattard, D. , Beigne, E. , Clermidy, F. , Durand, Y. , Lemaire, R. , Vivet, P. , and Berens, F.
2008. A reconfigurable baseband platform based on an asynchronous network-on-chip. IEEE
Journal of Solid-State Circuits, vol. 43, no. 1, pp. 223235.
Lee, K. , Lee, S. J. , and Yoo, H. J. 2006. Low-power network-on-chip for high-performance
SoC design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 2,
pp. 148160.
Marculescu, R. , Ogras, U. Y. , Peh, L. S. , Jerger, N. E. , and Hoskote, Y. 2009. Outstanding
research problems in NoC design: Systems, microarchitecture, and circuit perspectives. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 1, pp.
321.
Mullins, R. D. 2009. On-chip network bibliography.
http://www.cl.cam.ac.uk/~rdm34/onChipNetBib/onChipNetwork.pdf.
Ogras, U. Y. , Hu, J. , and Marculescu, R. 2005. Key research problems in NoC design: A
holistic perspective. Proceedings of the IEEE/ACM/IFIP International Conference on
Hardware/Software Codesign and System Synthesis, pp. 6974, Jersey City, NJ.
Pande, P. P. , Grecu, C. , Jones, M. , Ivanov, A. , and Saleh, R. 2005. Performance evaluation
and design trade-offs for MP-SOC interconnect architectures. IEEE Transactions on
Computers, vol. 54, no. 8, pp. 10251040.
Pavlidis, V. F. and Friedman, E. G. 2007. 3-D Topologies for networks-on-chip. IEEE
Transactions on VLSI Systems, vol. 15, no. 10, pp. 10811090.
Rijpkema, E. , Goossens, K. G. W. , and Radulescu, A. 2003. Trade offs in the design of a
router with both guaranteed and best-effort services for network on chip (extended version). IEE
Proceedings of the Computers and Digital Techniques, vol. 150, no. 5, pp. 294302, Munich,
Germany.
Rostislav, D. , Vishnyakov, V. , Friedman, E. , and Ginosar, R. 2005. An asynchronous router
for multiple service levels networks on chip. Proceedings of the IEEE International Symposium
on Asynchronous Circuits and Systems, pp. 4453, New York.
Salminen, E. , Kulmala, A. , and Hamalainen, T. D. 2008. Survey of network-on-chip proposals.
White Paper, OCP-IP http://ns2.ocpip-server.com/uploads/documents/OCP-
IP_Survey_of_NoC_Proposals_White_Paper_April_2008.pdf.
SCC . 2010. Single-chip cloud computer. http://techresearch.intel.com/UserFiles/en-
us/File/SCC_Sympossium_Mar162010_GML_final.pdf.
Sylvester, D. and Keutzer, K. 2000. A global wiring paradigm for deep submicron design. IEEE
Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 19, no. 2, pp.
242252.
Topol, A. W. , Tulipe, D. C. L. , Shi, L. , Frank, D. J. , Bernstein, K. , Steen, S. E. , Kumar, A. ,
Singco, G. U. , Young, A. M. , Guarini, K. W. , and Ieong, M. 2006. Threedimensional integrated
circuits. IBM Journal of Research and Development, vol. 50, nos. 4/5, p. 491.
Vangal, S. R. , Howard, J. , Ruhl, G. , Dighe, S. , Wilson, H. , Tschanz, J. , Finan, D. , Singh, A.
, Jacob, T. , Jain, S. , Erraguntla, V. , Roberts, C. , Hoskote, Y. , Borkar, N. , and Borkar, S.
2008. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE Journal of Solid-
State Circuits, vol. 43, no. 1, pp. 2941.
Wentzlaff, D. , Griffin, P. , Hoffmann, H. , Bao, L. , Edwards, B. , Ramey, C. , Mattina, M. , Miao,
C. C. , Brown, J. F. , and Agarwal, A. 2007. On-chip interconnection architecture of the TILE
processor. IEEE Micro, vol. 27, no. 5, pp. 1531.
Zhang, Y. P. , Jeong, T. , Chen, F. , and Wu, H. 2006. A study of the on-chip interconnection
network for the IBM Cyclops64 multi-core architecture. Proceedings of the IEEE International
Parallel and Distributed Symposium, Rhode Island.

Interconnection Networks in Network-on-Chip


Acacio, M. E. , Gonzalez, J. , Garcia, J. M. , and Duato, J. 2004. An architecture for high-
performance scalable shared-memory multiprocessors exploiting on-chip integration. IEEE
Transactions on Parallel and Distributed Systems, vol. 15, no. 8, pp. 755768.
Agarwal, A. , Iskander, C. , and Shankar, R. 2009. Survey of network on chip (NoC)
architectures and contribution. Journal of Engineering, Computing, and Architecture, vol. 3, no.
1.
Andreasson, D. and Kumar, S. 2004. On improving best-effort throughput by better utilization of
guaranteed-throughput channels in an on-chip communication system. Proceedings of the IEEE
Norchip Conference, pp. 265268, Oslo, Norway.
Ascia, G. , Catania, V. , Palesi, M. , and Patti, D. 2008. Implementation and analysis of a new
selection strategy for adaptive routing in networks-on-chip. IEEE Transactions on Computers,
vol. 57, no. 6, pp. 809820.
Balfour, J. and Dally, W. J. 2006. Design tradeoffs for tiled CMP on-chip networks. Proceedings
of the ACM International Conference on Supercomputing (ICS), pp. 187198, Christchurch, New
Zealand.
Banerjee, A. , Mullins, R. , and Moore, S. 2007. A power and energy exploration of network-on-
chip architectures. Proceedings of International Symposium on Networks-on-Chip, pp. 163172,
Princeton, NJ.
Banerjee, N. , Vellanki, P. , and Chata, K. S. 2004. A power and performance model for network
on chip architecture. Proceedings of the IEEE Design, Automation and Test in Europe
Conference and Exhibition, pp. 12501255, Paris, France.
Bertozzi, D. and Benini, L. 2004. Xpipes: A network-on-chip architecture for gigascale systems-
on-chip. IEEE Circuits and Systems Magazine, vol. 4, no. 2, pp. 1831.
Bertozzi, D. , Jalabert, A. , Murali, S. , Tamhankar, R. , Stergiou, S. , Benini, L. , and Micheli, G.
D. 2005. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip.
IEEE Transactions on Parallel and Distributed Systems, vol. 16, no. 2, pp. 113129.
Bolotin, E. , Cidon, I. , Ginosar, R. , and Kolodny, A. 2004. QNoC: QoS architecture and design
process for network on chip. Journal of Systems Architecture: the Euromicro Journal (special
issue), vol. 50, no. 2/3, pp. 105128.
Chiu, G. M. 2000. The oddeven turn model for adaptive routing. IEEE Transactions on Parallel
and Distributed Systems, vol. 11, no. 7, pp. 729738.
Coppola, M. , Locatelli, R. , Maruccia, G. , Pieralisi, L. , and Scandurra, A. 2004. Spidergon: A
novel on-chip communication network. Proceedings of the International Symposium on System
on Chip, p. 15, Tampere, Finland.
Dally, W. J. 1991. Express cubes: Improving the performance of k-ary n-cube interconnection
networks. IEEE Transactions on Computers, vol. 40, no. 9, pp. 10161023.
Dally, W. J. 1992. Virtual-channel flow control. IEEE Transactions on Parallel and Distributed
Systems, vol. 3, no. 2, pp. 194205.
Dally, W. J. and Seitz, C. L. 1986. The torus routing chip. Journal of Distributed Computing, vol.
1, no. 4, pp. 187196.
Dally, W. J. and Seitz, C. L. 1987. Deadlock-free message routing in multiprocessor
interconnection networks. IEEE Transactions on Computers, vol. C-36, no. 5, pp. 547553.
Dally, W. J. and Towles, B. 2001. Route packets, not wires: On-chip interconnection networks.
Proceedings of the Design Automation Conference, pp. 683689, Las Vegas, NV.
Dally, W. J. and Towles, B. 2004. Principles and Practices of Interconnection Networks. Morgan
Kaufmann Publishers, San Francisco, CA.
Decina, M. , Trecordi, V. , and Zanolini, G. 1991. Throughput and packet loss in deflection
routing multichannel-metropolitan area networks. IEEE GLOBECOM, pp. 12001208, Phoenix,
AZ.
Duato, J. 1993. A new theory of deadlock-free adaptive routing in wormhole networks. IEEE
Transactions On Parallel and Distributed Systems, vol. 4, no. 12, pp. 13201331.
Duato, J. , Yalamanchili, S. , and Ni, L. 2003. Interconnection Networks: An Engineering
Approach.Morgan Kaufmann Publishers, San Francisco, CA.
Glass, C. J. and Ni, L. M. 1992. The turn model for adaptive routing. International Symposium
on Computer Architecture, pp. 278287, Gold Coast, Australia.
Glass, C. J. and Ni, L. M. 1994. The turn model for adaptive routing. Journal of the ACM, vol.
41, no. 5, pp. 874902.
Goossens, K. , Dielissen, J. , and Radulescu, A. 2005. The thereal network on chip: Concepts,
architectures, and implementations. IEEE Design and Test of Computers, vol. 22, no. 5, pp.
2131.
Greenberg, H. J. and Hajek, B. 1992. Deflection routing in hypercube networks. IEEE
Transactions on Communications, vol. COM-40, no. 6, pp. 10701081.
Grot, B. , Hestness, J. , Keckler, S. W. , and Mutlu, O. 2009. Express cube topologies for on-
chip interconnects. Proceedings of the International Symposium on High-Performance
Computer Architecture, pp. 163174, Raleigh, NC.
Guerrier, P. and Greiner, A. 2000. A generic architecture for on-chip packet-switched
interconnections. Proceedings of the Design, Automation and Test in Europe, pp. 250256,
Paris, France.
Hansson, A. , Goossens, K. , and Radulescu, A. 2007. Avoiding message-dependent deadlock
in network-based systems on chip. VLSI Design, Hindawi Publishing Corporation, vol. 2007,
article id. 95859, pp. 110.
Hossain, H. , Akbar, M. , and Islam, M. 2005. Extended-butterfly fat-tree interconnection (EFTI)
architecture for network-on-chip. IEEE Pacific Rim Conference on Communication, Computers,
and Signal Processing, pp. 613616, Victoria, British Columbia, Canada.
Jeang, Y. L. , Huang, W. H. , and Fang, W. F. 2004. A binary tree architecture for application
specific network on chip (ASNOC) design. Proceedings of the IEEE Asia-Pacific Conference on
Circuits and Systems, pp. 877880, Tainan, Taiwan.
Karim, F. , Nguyen, A. , and Dey, S. 2002. An interconnect architecture for networking systems
on chips. IEEE Micro, vol. 22, no. 5, pp. 3645.
Kim, J. , Balfour, J. , and Dally, W. J. 2007. Flattened butterfly topology for on-chip networks.
Computer Architecture Letters, vol. 6, no. 2, pp. 340.
Kumar, A. , Peh, L. S. , Kundu, P. , and Jha, N. K. 2007. Express virtual channels: Towards the
ideal interconnection fabric. Proceedings of the International Symposium on Computer
Architecture, pp. 433436, San Diego, CA.
Kumar, S. , Jantsch, A. , Soininen, J. P. , Forsell, M. , Millberg, M. , Oberg, J. , Tiensyrja, K. ,
and Hemani, A. 2002. A network on chip architecture and design methodology. Proceedings of
the IEEE Computer Society Annual Symposium on VLSI, pp. 117124, Pittsburgh, PA.
Kundu, S. and Chattopadhyay, S. 2008a. Mesh-of-tree deterministic routing for network-on-chip
architecture. ACM Great Lake Symposium on VLSI, pp. 343346, Orlando, FL.
Kundu, S. and Chattopadhyay, S. 2008b. Network-on-chip architecture design based on mesh-
of-tree deterministic routing topology. International Journal for High Performance Systems
Architecture, vol. 1, no. 3, pp. 163182.
Kundu, S. , Soumya, J. , and Chattopadhyay, S. 2012. Design and evaluation of mesh-of-tree
based network-on-chip using virtual channel router. Microprocessors and Microsystems, vol.
36, no. 6, pp. 471488.
Millberg, M. , Nilsson, E. , Thid, R. , and Jantsch, A. 2004. Guaranteed bandwidth using looped
containers in temporally disjoint networks within the Nostrum network on chip. Proceedings of
the Design, Automation, and Test in Europe, pp. 890895, Paris, France.
Murali, S. , Theocharides, T. , Vijaykrishnan, N. , Irwin, M. J. , Benini, L. , and Micheli, G. D.
2005. Analysis of error recovery schemes for networks on chips. Proceedings of the IEEE
Design and Test of Computers, pp. 434442.
OCP . 2003. Open Core Protocol. Available at: http://www.ocpip.org.
Pande, P. P. , Grecu, C. , Ivanov, A. , and Saleh, R. 2003a. Design of a switch for network on
chip applications. Proceedings of the International Symposium on Circuits and Systems, vol. 5,
pp. 217220, Bangkok, Thailand.
Pande, P. P. , Grecu, C. , Ivanov, A. , and Saleh, R. 2003b. High-throughput switch-based
interconnect for future SoCs. Proceedings of the IEEE International Workshop on System-on-
Chip for Real Time Applications, pp. 304310, Calgary, Alberta, Canada.
Pande, P. P. , Grecu, C. , Jones, M. , Ivanov, A. , and Saleh, R. 2005. Performance evaluation
and design trade-offs for MP-SOC interconnect architectures. IEEE Transactions on
Computers, vol. 54, no. 8, pp. 10251040.
Pavlidis, V. F. and Friedman, E. G. 2007. 3-D topologies for networks-on-chip. IEEE
Transactions on VLSI Systems, vol. 15, no. 10, pp. 10811090.
Pullini, A. , Angiolini, F. , Bertozzi, D. , and Benini, L. 2005. Fault tolerance overhead in
network-on-chip flow control schemes. Proceedings of the ACM Symposium on Integrated
Circuits and Systems Design, pp. 224229, Florianpolis, Brazil.
Radulescu, A. , Dielissen, J. , Pestana, S. G. , Gangwal, O. P. , Rijpkema, E. , Wielage, P. , and
Goossens, K. 2005. An efficient on-chip network interface offering guaranteed services, shared
memory abstraction, flexible network programming. IEEE Transactions on CAD of Integrated
Circuits and Systems, vol. 24, no. 1, pp. 417.
Schroeder, M. D. , Birrell, A. D. , Burrows, M. , Murray, H. , Needham, R. M. , Rodeheffer, T. L.
1991.Autonet: A high speed, self configuring, local area network using point-to-point links. IEEE
Journal on Selected Areas in Communications, vol. 9, pp. 13181335.
Singh, S. P. , Bhoj, S. , Balasubramanian, D. , Nagda, T. , Bhatia, D. , and Balsara, P. 2007.
Network interface for NoC based architectures. International Journal of Electronics, vol. 94, no.
5, pp. 531547.
Song, Y. H. and Pinkston, T. M. 2003. A progressive approach to handling message-dependent
deadlock in parallel computer systems. IEEE Transactions on Parallel and Distributed Systems,
vol. 14, no. 3, pp. 259275.
Tamhankar, R. R. , Murali, S. and Micheli, G. D. 2005. Performance driven reliable link design
for network on chips. Proceedings of the Asia South Pacific Design Automation Conference, pp.
749756, Shanghai, Peoples Republic of China.
Tortosa, D. S. , Ahonen, T. , and Nurmi, J. 2004. Issues in the development of a practical NoC:
The Proteo concept. Integration, the VLSI Journal, vol. 38, no. 1, pp. 95105.
Vangal, S. R. , Howard, J. , Ruhl, G. , Dighe, S. , Wilson, H. , Tschanz, J. , Finan, D. , et al.
2008. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE Journal of Solid-
State Circuits, vol. 43, no. 1, pp. 2941.
Vellanki, P. , Banerjee, N. , and Chata, K. S. 2004. Quality-of-service and error control
techniques for mesh-based network-on-chip architectures. Integration, the VLSI Journal, vol.
38, pp. 353382.
Wentzlaff, D. , Griffin, P. , Hoffmann, H. , Bao, L. , Edwards, B. , Ramey, C. , Mattina, M. , Miao,
C. C. , Brown, J. F. , and Agarwal, A. 2007. On-chip interconnection architecture of the TILE
processor. IEEE Micro, vol. 27, no. 5, pp. 1531.
West, D. B. 2002. Introduction to Graph Theory. 2nd Edition. Pearson Education, Upper Saddle
River, NJ.
Zeferino, C. A. and Susin, A. A. 2003. SoCIN: A parametric and scalable network-on-chip.
Proceedings of the IEEE Symposium on Integrated Circuits and Systems Design, pp. 169175,
So Paulo, Brazil.

Architecture Design of Network-on-Chip


Cheng, Y. 2004. Gray code sequences. U.S. Patent 6703950, March 9, 2004.
Cummings, C. E. 2002. Simulation and synthesis techniques for asynchronous FIFO design.
Synopsys User Group, San Jose, CA, http://www.sunburst-
design.com/papers/CummingsSNUG2002J_FIFO1.pdf.
Cummings, C. E. and Alfke, P. 2002. Simulation and synthesis techniques for asynchronous
FIFO design with asynchronous pointer comparisons. Synopsys User Group, San Jose, CA,
http://www.sunburst-design.com/papers/CummingsSNUG2002J_FIFO2.pdf.
Dally, W. J. 1992. Virtual-channel flow control. IEEE Transactions on Parallel and Distributed
Systems, vol. 3, no. 2, pp. 194205.
Hu, J. and Marculescu, R. 2004a. DyAD-smart routing for networks-on-chip. Proceedings of
Design and Automation Conference, pp. 260263, July 711, San Diego, CA.
Hu, J. and Marculescu, R. 2004b. Smart routing for networks-on-chip. Technical report, ECE
Department, Carnegie Mellon University, http://www.ece.cmu.edu/_sld/pubs. Accessed at
September 2007 .
74 Jiang, H. J. 2004. Scalable gray code counter and applications thereof. U.S. Patent
6762701, July 13, 2004.
Kavaldjiev, N. , Smit, G. J. M. , Jansen, P. G. , and Wolkotte, P. T. 2006. A virtual channel
network-on-chip for GT and BE traffic. Proceedings of IEEE Computer Society Annual
Symposium on Emerging VLSI Technologies and Architectures, March 23, Karlsruhe,
Germany.
Kumar, A. , Kundu, P. , Singh, A. P. , Peh, L. S. , and Jha, N. K. 2007. A 4.6Tbits/s 3.6GHz
single-cycle NoC router with a novel switch allocator in 65nm CMOS. Proceedings of IEEE
International Conference on Computer Design, pp. 6370, October 710, Lake Tahoe, CA.
Kundu, S. and Chattopadhyay, S. 2008. Network-on-chip architecture design based on mesh-
of-tree deterministic routing topology. International Journal for High Performance Systems
Architecture, Inderscience Publishers, vol. 1, no. 3, pp. 163182.
Kundu, S. , Soumya, J. , and Chattopadhyay, S. 2012. Design and evaluation of mesh-of-tree
based network-on-chip using virtual channel router. Microprocessors and Microsystems
Journal, vol. 36, pp. 471488.
Mullins, R. , West, A. , and Moore, S. 2004. Low-latency virtual-channel routers for on-chip
networks. Proceedings of 31st Annual International Symposium on Computer Architecture, pp.
188197, June 1923, Munich, Germany.
Mullins, R. , West, A. , and Moore, S. 2006. The design and implementation of a low-latency on-
chip network. Proceedings of Asia and South Pacific Design Automation Conference, pp.
164169, January 2427, Yokohama, Japan.
Peh, L.-S. and Dally, W. J. 2001. A delay model and speculative architecture for pipelined
routers. Proceedings of International Symposium on High-Performance Computer Architecture,
pp. 255266, Monterrey, Mexico.

Evaluation of Network-on-Chip Architectures


Bashirullah, R. , Liu, W. , and Cavin, R. K. 2003. Current-mode signaling in deep
submicrometer global interconnects. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 11, no. 3, pp. 406417.
Bertozzi, D. and Benini, L. 2004. Xpipes: A network-on-chip architecture for gigascale systems-
on-chip. IEEE Circuits and Systems Magazine, vol. 4, no. 2, pp. 1831.
Chi, H. C. and Chen, J. H. 2004. Design and implementation of a routing switch for on-chip
interconnection networks. Proceedings of Asia-Pacific Conference on Advanced System
Integrated Circuits, pp. 392395, August 45, Fukuoka, Japan.
Decina, M. , Trecordi, V. , and Zanolini, G. 1991. Throughput and packet loss in deflection
routing multichannel-metropolitan area networks. IEEE GLOBECOM, pp. 12001208, December
25, Phoenix, AZ.
Duato, J. , Yalamanchili, S. , and Ni, L. 2003. Interconnection Networks: An Engineering
Approach. Morgan Kaufmann Publishers, San Francisco, CA.
Feero, B. S. and Pande, P. P. 2009. Networks-on-chip in a three dimensional environment: A
performance evaluation. IEEE Transactions on Computers, vol. 58, no. 1, pp. 3245.
117 Hu, J. and Marculescu, R. 2004. DyAD-smart routing for networks-on-chip. Proceedings of
Design and Automation Conference, pp. 260263, July 711, San Diego, CA.
Kumar, A. , Kundu, P. , Singh, A. P. , Peh, L. S. , and Jha, N. K. 2007. A 4.6Tbits/s 3.6GHz
single-cycle NoC router with a novel switch allocator in 65nm CMOS. Proceedings of IEEE
International Conference on Computer Design, pp. 6370, October 710, Lake Tahoe, CA.
Kundu, S. , Soumya, J. , and Chattopadhyay, S. 2012. Design and evaluation of mesh-of-tree
based network-on-chip using virtual channel router. Microprocessors and Microsystems
Journal, vol. 36, pp. 471488.
Marculescu, R. , Ogras, U. Y. , Peh, L. S. , Jerger, N. E. , and Hoskote, Y. 2009. Outstanding
research problems in NoC design: Systems, microarchitecture, and circuit perspectives. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 1, pp.
321.
Millberg, M. , Nilsson, E. , Thid, R. , and Jantsch, A. 2004. Guaranteed bandwidth using looped
containers in temporally disjoint networks within the Nostrum network on chip. Proceedings of
IEEE Design, Automation, and Test in Europe, pp. 890895, February 1620, Paris, France.
Ogras, U. Y. , Hu, J. , and Marculescu, R. 2005. Key research problems in NoC design: A
holistic perspective. Proceedings of IEEE/ACM/IFIP International Conference on
Hardware/Software Codesign and System Synthesis, pp. 6974, September, Jersey City, NJ.
Pande, P. P. , Grecu, C. , Ivanov, A. , and Saleh, R. 2003. High-throughput switch-based
interconnect for future SoCs. Proceedings of IEEE International Workshop on System-on-Chip
for Real Time Applications, pp. 304310, June 30July 2, Alberta, Canada.
Pande, P. P. , Grecu, C. , Jones, M. , Ivanov, A. , and Saleh, R. 2005. Performance evaluation
and design trade-offs for MP-SOC interconnect architectures. IEEE Transactions on
Computers, vol. 54, no. 8, pp. 10251040, August.
Park, K. and Willinger, W. 2000. Self-Similar Network Traffic and Performance Evaluation.
Wiley, New York.
Pavlidis, V. F. and Friedman, E. G. 2007. 3-D Topologies for networks-on-chip. IEEE
Transactions on VLSI Systems, vol. 15, no. 10, pp. 10811090.
Rijpkema, E. , Goossens, K. G. W. , and Radulescu, A. 2003. Trade offs in the design of a
router with both guaranteed and best-effort services for network on chip (extended version). IEE
Proceedings of Computers and Digital Techniques, vol. 150, no. 5, pp. 294302.
Salminen, E. , Kulmala, A. , and Hamalainen, T. D. 2008. Survey of network-on-chip proposals.
White Paper, OCP-IP.
Soteriou, V. , Eisley, N. , Wang, H. , Li, B. , and Peh, L. S. 2007. Polaris: A system-level
roadmap for on-chip interconnection networks. IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 15, no. 8, pp. 855868.
Sotiriadis, P. P. and Chandrakasan, A. P. 2002. A bus energy model for deep submicron
technology. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 10, no. 3,
pp. 341350.
Synopsys. 2006. Synopsys Prime Power Manual. Version Y-2006.06.
http://www.synopsys.com/Support/LI/Installation/Documents/Archive/iugux_y2006_06.pdf.
Vangal, S. R. , Howard, J. , Ruhl, G. , Dighe, S. , Wilson, H. , Tschanz, J. , Finan, D. et al.
2008. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE Journal of Solid-
State Circuits, vol. 43, no. 1, pp. 2941.
118 Wentzlaff, D. , Griffin, P. , Hoffmann, H. , Bao, L. , Edwards, B. , Ramey, C. , Mattina, M. ,
Miao, C. C. , Brown, J. F. , and Agarwal, A. 2007. On-chip interconnection architecture of the
TILE processor. IEEE Micro, vol. 27, no. 5, pp. 1531.
Zeferino, C. A. and Susin, A. A. 2003. SoCIN: A parametric and scalable network-on-chip.
Proceedings of IEEE Symposium on Integrated Circuits and Systems Design, pp. 169175,
September 811, So Paulo, Brazil.
Zhang, Y. P. , Jeong, T. , Chen, F. , and Wu, H. 2006. A study of the on-chip interconnection
network for the IBM Cyclops64 multi-core architecture. Proceedings of IEEE International
Parallel and Distributed Symposium, April 2529, Rhodes Island.
Application Mapping on Network-on-Chip
Ascia, G. , Catania, V. , and Palesi, M. 2004. Multi-objective mapping for Mesh-based NoC
architectures. International Conference on Hardware/Software Codesign and System
Synthesis, ACM, pp. 182187.
Ascia, G. , Catania, V. , and Palesi, M. 2006. Multi-objective genetic approach to mapping
problem on network-on-chip. Journal of Universal Computer Science, vol. 12, no. 4, pp.
370394.
Bender, A. 1996. MILP based task mapping for heterogeneous multiprocessor systems.
Proceedings of International Conference on Design and Automation, IEEE Computer Society
Press, pp. 190197.
Benyamina, A. H. and Boulet, P. 2007. Multi-objective mapping for NoC architecture. Journal of
Digital Information Management, vol. 5, pp. 378384.
Benyamina, A. H. , Boulet, P. , Aroul, A. , Eltar, S. , and Dellal, K. 2010. Mapping real time
applications on NoC architecture with hybrid multi-objective algorithm. International Conference
on Metaheuristics and Nature Inspired Computing, pp. 110.
Bhardwaj, K. and Jena, R. K. 2009. Energy and bandwidth aware mapping of IPs onto regular
NoC architectures using multi-objective genetic algorithms. International Symposium on
System-on-Chip, IEEE Press, pp. 2731.
Chang, Z. , Xiong, G. , and Sang, N. 2008. Energy-aware mapping for tree-based NoC
architecture by recursive bipartitioning. International Conference on Embedded Software and
Systems, IEEE Press, pp. 105109.
Chen, Y. , Xie, L. , and Li, J. 2009. An energy-aware heuristic constructive mapping algorithm
for network on chip. International Conference on ASIC, IEEE Press, pp. 101104.
Chou, C. L. and Marculescu, R. 2008. Contention-aware application mapping for network-on-
chip communication architectures. IEEE International Conference on Computer Design, IEEE
Press, pp. 164169.
Choudhary, N. , Gaur, M. S. , Laxmi, V. , and Singh, V. 2010. Energy aware design
methedologies for application specific NoC. Proceedings of NORCHIP, IEEE Press, pp. 14.
Choudhary, N. , Gaur, M. S. , Laxmi, V. , and Singh, V. 2011. GA based congestion aware
topology generation for application specific NoC. IEEE International Symposium on Electronics
Design, Test, and Application, IEEE Press, pp. 9398.
Darbari, F. M. , Khademzadeh, A. , and Fard, G. G. 2009a. Evaluating the performance of a
chaos genetic algorithm for solving the network on chip mapping problem. International
Conference on Computational Science and Engineering, IEEE Press, pp. 366373.
Darbari, F. M. , Khademzadeh, A. , and Fard, G. G. 2009b. CGMAP: A new approach to
network-on-chip mapping problem. IEICE Electronics Express, vol. 6, no. 1, pp. 2734.
Elmiligi, H. , Morgan, A. A. , Kharashi, M. W. E. , and Gebali, F. 2008a. Power-aware topology
optimization for network-on-chips. IEEE International Symposium on Circuits and Systems,
IEEE Press, pp. 360360.
Elmiligi, H. , Morgan, A. A. , Kharashi, M. W. E. , and Gebali, F. 2008b. Application-specific
networks-on-chip topology customization using network partitioning. 1st International Forum on
Next-generation Multicore/manycore Technologies. ACM.
Elmiligi, H. , Morgan, A. A. , Kharashi, M. W. E. , and Gebali, F. 2009. Power optimization for
application-specific networks-on-chips: A topology-based approach. Journal of Microprocessor
and Microsystems, vol. 33, pp. 343355.
Fard, G. G. , Khademzadeh, A. , and Darbari, F. M. 2009. Evaluating the performance of one-
dimensional chaotic maps in network-on-chip mapping problem. IEICE Electronics Express, vol.
6, no. 12, pp. 811817.
Fekr, A. R. , Khademzadeh, A. , Janidarmian, M. , and Bokharaei, V. S. 2010.
Bandwidth/fault/contention aware application-specific NoC using PSO as a mapping generator.
Proceedings of the World Congress on Engineering, IAENG, vol. 1, pp. 247252.
Fen, G. and Ning, W. 2010. Genetic algorithm based mapping and routing approach for network
on chip architectures. Chinese Journal of Electronics, vol. 19, no. 1, pp. 9196.
Ghosh, P. , Sen, A. Sen , and Hall, A. 2009. Energy efficient application mapping to NoC
processing elements operating at multiple voltage levels. IEEE International Symposiun on
Network-on-Chip, IEEE Press, pp. 8085.
Guilan, L. , Hai, Z. , and Chunhe, S. 2008. Convergence analysis of a dynamic discrete PSO
algorithm. International Conference on Intelligent Networks and Intelligent Systems, IEEE
Press, pp. 8992.
Hansson, A. , Goossens, K. , and Radulescu, A. 2005. A unified approach to constrained
mapping and routing on network-on-chip architectures. IEEE/ACM International Conference on
Hardware/Software Codesign and System Synthesis, IEEE Press, pp. 7580.
Harmanani, H. M. and Farah, R. 2008. A method for efficient mapping and reliable routing for
NoC architectures with minimum bandwidth and area. IEEE International Workshop on Circuits
and Systems and TAISA Conference, IEEE Press, pp. 2932.
Hu, J. and Marculescu, R. 2005. Energy- and performance-aware mapping for regular NoC
architectures. IEEE Transactions on Computer Aided Design of Integrated Circuits and
Systems, vol. 24, no. 4, pp. 551562.
Huang, J. , Buckl, C. , Raabe, A. , and Knool, A. 2011. Energy-aware task allocation for
network-on-chip based heterogeneous multiprocessor systems. Euromicro International
Conference on Parallel, Distributed and Network based Processing, IEEE Press, pp. 447454.
Jang, W. and Pan, D. Z. 2010. A3MAP: Architecture-aware analytic mapping for network-on-
chip. Asia and South Pacific Design Automation Conference, IEEE Press, pp. 523528.
Janidarmian, M. , Khademzadeh, A. , Fekr, A. R. , and Bokharaei, V. S. 2010. Citrine: A
methedology for application-specific network-on-chips design. Proceedings of World Congress
on Engineering and Computer Science, vol. 1, Springer, pp. 196202.
Janidarmian, M. , Khademzadeh, A. , and Tavanpour, M. 2009. Onyx: A new heuristic
bandwidth-constrained mapping of cores onto network on chip. IEICE Electronics Express, vol.
6, no. 1, pp. 17.
Jena, R. K. and Sharma, G. K. 2007. A multi-objective evolutionary algorithm based
optimization model for network-on-chip. IEEE International Conference on Information
Technology, IEEE Press, pp. 977982.
Kennedy, I. and Eberhart, R. C. 1995. Particle swarm optimization. Proceedings of IEEE
International Conference on Neural Networks, New Jersey, IEEE Press, pp. 19421948.
Koziris, N. , Romesis, M. , Tsanakas, P. , and Papakonstantinou, G. 2000. An efficient
algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures.
Proceedings of 8th Euro PDP, IEEE Press, pp. 406413.
Lei, T. and Kumar, S. 2003. A two-step genetic algorithm for mapping task graphs to a network
on chip architecture. Proceedings of the Euromicro Symposium on Digital System Design
(DSD), IEEE Press, pp. 180187.
Lei, W. and Xiang, L. 2010. Energy- and latency-aware NoC mapping based on discrete particle
swarm optimization. Proceedings of IEEE International Conference on Communications and
Mobile Computing, IEEE Press, pp. 263268.
Lu, Z. , Xia, L. , and Jantsch, A. 2008. Cluster-based simulated annealing for mapping cores
onto 2D mesh networks on chip. Proceedings of Design and Diagnostics of Electronic Circuits
and Systems, IEEE Press, pp. 16.
Majeti, D. , Pasalapudi, A. , and Yalamanchili, K. 2009. Low energy tree based network on chip
architectures using homogeneous routers for bandwidth and latency constrained multimedia
applications. International Conference on Emerging Trends in Engineering and Technology,
IEEE Press, pp. 358363.
Marcon, C. , Borin, A. , Susin, A. , Carro, L. , and Wagner, F. 2005a. Time and energy efficient
mapping of embeded applications onto NoCs. Proceedings of Asia and South Pacific Design
Automation Conference, IEEE Press, vol. 1, pp. 3338.
Marcon, C. , Calazans, N. , Moraes, F. , Susin, A. , Reis, I. , and Hessel, F. 2005b. Exploring
NoC mapping strategies: An energy and timing aware technique. Proceedings of Design,
Automation and Test in Europe Conference and Exhibition, IEEE Press, vol. 1, pp. 502507.
Marcon, C. , Moreno, E. I. , Calazans, N. L. V. , and Moraes, F. G. 2008. Comparison of
network-on-chip mapping algorithms targeting low energy consumption. IET Computer & Digital
Technique, vol. 2, no. 6, pp. 471482.
Mehran, R. , Saeidi, S. , Khademzadeh, A. , and Kusha, A. A. 2007. Spiral: A heuristic mapping
algorithm for network on chip. IEICE Electronics Express, vol. 4, no. 15, pp. 478484.
Murali, S. , Benini, L. , and Micheli, G. De. 2005. Mapping and physical planning of networks-
on-chip architectures with quality-of-service guarantees. Asia and South Pacific Design
Automation Conference, IEEE Press, pp. 2732.
Murali, S. and Micheli, G. De. 2004a. Bandwidth constrained mapping of cores onto NoC
architectures. Proceedings of Design, Automation and Test in Europe Conference and
Exhibition, IEEE Press, vol. 2, pp. 896901.
Murali, S. and Micheli, G. De. 2004b. SUNMAP: A tool for automatic topology selection and
generation for NoCs. Proceedings of 41st Design Automation Conference, IEEE Press, pp.
914919.
Ostler, C. and Chatha, K. S. 2007. An ILP formulation for system-level application mapping on
network processor architecture. Proceedings of Design, Automation and Test in Europe, IEEE
Press, pp. 16.
Ozturk, O. , Kandemir, M. , and Son, S. W. 2007. An ILP based approach to reducing energy
consumption in NoC based CMPs. IEEE International Symposiun on Low Power Electronics
and Design, IEEE Press, pp. 411414.
Patooghy, A. , Tabkhi, A. , and Miremadi, S. G. 2010. RMAP: A reliability-aware application
mapping for network-on-chips. International Conference on Dependability, IEEE Press, pp.
112117.
Pop, R. and Kumar, S. 2004. A survey of techniques for mapping and scheduling applications
to network on chip systems. ISSN 1404-0018, Research Report 04:4, School of Engineering,
Jnkping University, Sweden.
Reshadi, M. , Khademzadeh, A. , and Reza, A. 2010. Elixir: A new bandwidth-constrained
mapping for networks-on-chip. IEICE Electronics Express, vol. 7, no. 2, pp. 7379.
Rhee, C. , Jeong, H. , and Ha, S. 2004. Many-to-many core-switch mapping in 2-D mesh NoC
architectures. IEEE International Conference on Computer Design: VLSI in Computers and
Processors, IEEE Press, pp. 438443.
Saeidi, S. , Khademzadeh, A. , and Mehran, A. 2007. SMAP: An intelligent mapping tool for
network on chip. International Symposium on Signals, Circuits and Systems, IEEE Press, pp.
14.
Saeidi, S. , Khademzadeh, A. , and Vardi, F. 2009. Crinkle: A heuristic mapping algorithm for
network on chip. IEICE Electronics Express, vol. 6, no. 24, pp. 17371744.
Sahu, P. K. , Shah, N. , Manna, K. , and Chattopadhyay, S. 2010. A new application mapping
algorithm for mesh based network-on-chip design. IEEE International Conference, IEEE Press,
pp. 14.
Sahu, P. K. , Shah, N. , Manna, K. , and Chattopadhyay, S. 2011a. An application mapping
technique for butterfly-fat-tree network-on-chip. IEEE International Conference on Emerging
Applications and Information Technology, IEEE Press, pp. 383386.
Sahu, P. K. , Shah, N. , Manna, K. , and Chattopadhyay, S. 2011b. A new application mapping
strategy for mesh-of-tree based network-on-chip. IEEE International Conference on Emerging
Trends in Electrical and Computer Technology, IEEE Press, pp. 518523.
Sahu, P. K. , Shah, T. , and Chattopadhyay, S. 2012. Application mapping onto mesh based
network-on-chip using discrete particle swarm optimization. IEEE Transactions on VLSI vol. 22,
no. 2, pp. 300312.
Sahu, P. K. , Venkatesh, P. , Gollapalli, S. , and Chattopadhyay, S. 2011c. Application mapping
onto mesh structured network-on-chip using particle swarm optimization. IEEE International
Symposium on VLSI, IEEE Press, pp. 335336.
Sepulveda, M. J. , Strum, M. , and Chau, W. J. 2009. A multi-objective adaptive immune
algorithm for NoC mapping. International Conference on Very Large Scale Integration, IEEE
Press, pp. 193196.
Sepulveda, M. J. , Strum, M. , Chau, W. J. , and Gogniat, G. 2011. A multi-objective approach
for multi-application NoC mapping. IEEE Latin American Symposium on Circuits and Systems,
IEEE Press, pp. 14.
Shen, T. , Chao, C. H. , Lien, Y. K. , and Wu, A. Y. 2007. A new binomial mapping and
optimization algorithm for reduced-complexity mesh-based on-chip network. Proceedings of
NOCS07, IEEE Press, pp. 317322.
Srinivasan, K. , and Chatha, K. S. . 2005. A technique for low energy mapping and routing in
network-on-chip architecture. IEEE International Symposiun on Low Power Electronics and
Design, IEEE Press, pp. 387392.
Srinivasan, K. , Chatha, K. S. , and Konjevod, G. 2006. Linear-programming-based techniques
for synthesis of network-on-chip architectures. IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 14, no. 4, pp. 407420.
Tavanpour, M. , Khademzadeh, A. , and Janidarmian, M. 2009. Chain-mapping for mesh based
network-on-chip architecture. IEICE Electronics Express, vol. 6, no. 22, pp. 15351541.
Tavanpour, M. , Khademzadeh, A. , Pourkiani, S. , and Yaghobi, M. 2010. GBMAP: An
evolutionary approach to mapping cores onto a mesh-based NoC architecture. Journal of
Communication and Computer, vol. 7, no. 3, pp. 17.
Tornero, R. , Sterrantino, V. , Palesi, M. , and Orduna, J. 2009. A multi-objective strategy for
concurrent mapping and routing in networks on chip. IEEE International Symposium on Parallel
and Distributed Processing, IEEE Press, pp. 18.
Tosun, S. . 2011a. Clustered-based application mapping method for network-on-chip. Journal of
Advances in Engineering Software vol. 42, no. 10, pp. 868874.
Tosun, S. 2011b. New heuristic algorithm for energy aware application mapping and routing on
mesh-based NoCs. Journal of System Architecture, vol. 57, pp. 6978.
Tosun, S. , Ozturk, O. , and Ozen, M. . 2009. An ILP formulation for application mapping onto
network-on-chips. International Conference on Application of Information and Communication
Technologies, IEEE Press, pp. 15.
Wang, J. , Li, Y. , Chai, S. , and Peng, Q. . 2011. Bandwidth-aware application mapping for
NoC-based MPSoCs. Journal of Computational Information Systems, vol. 7, no. 1, pp. 152159.
Wang, K. , Huang, L. , Zhou, C. , and Pang, W. . 2003. Particle swarm optimization for traveling
salesman problem. Proceedings of the Second International Conference on Machine Learning
and Cybernetics, IEEE Press, pp. 15831585.
Wang, X. , Yang, M. , Jiang, Y. , and Liu, P. . 2009. Power-aware mapping for network-on-chip
architectures under bandwidth and latency constraints. International Conference on Embedded
and Multimedia Computing, IEEE Press, pp. 16.
Wang, X. , Yang, M. , Jiang, Y. , and Liu, P. 2010. Power-aware mapping approach to map IP
cores onto NoCs under bandwidth and latency constraints. ACM Transactions on Architecture
and Code Optimization, vol. 7, no. 1, pp. 130.
Yang, B. , Guang, L. , Xu, T.C. , Santti, T. , and Plosila, J. 2010a. Multi-application mapping
algorithm for network-on-chip platforms. IEEE 26th Convention of Electrical and Electronics
Engineers in Israel, IEEE Press, pp. 540544.
Yang, B. , Xu, T. C. , Santti, T. , and Plosila, J. 2010b. Tree-model based mapping for energy-
efficient and low-latency network-on-chip. International Symposium on Design and Diagnostics
of Electronics Circuits and Systems, IEEE Press, pp. 189192.
Yu, M. Y. , Li, M. , Song, J. J. , Fu, F. F. , and Bai, Y. X. 2009. Pipelining-based high throughput
low energy mapping on network-on-chip. Euromicro International Conference on Digital System
Design/Architectures, Methods and Tools, IEEE Press, pp. 427432.
Zhou, W. , Zhang, Y. , and Mao, Z. . 2006. An application specific NoC mapping for optimized
delay. IEEE International Conference on Design and Test of Integrated Systems in Nanoscale,
IEEE Press, pp. 184188.
Zhou, W. , Zhang, Y. , and Mao, Z. . 2007. Link-load balance aware mapping and routing for
NoC. WSEAS Transactions on Circuits and Systems, vol. 6, no. 11, pp. 583591.

Low-Power Techniques for Network-on-Chip


Benini, L. and Micheli, G. D. 2006. Networks on Chips: Technology and Tools. Morgan
Kaufmann Publishers, San Francisco, CA.
Benini, L. , Siegel, P. , and Micheli, G. D. 1994. Automated synthesis of gated clocks for power
reduction in sequential circuits. IEEE Design and Test of Computers, pp. 3241, IEEE.
189 Chuang, L. P. , Chang, M. H. , Huang, P. T. , Kan, C. H. , and Hwang, W. . 2008. A 5.2mW
all-digital fast-lock self-calibrated multiphase DLL. Proceedings of International Symposium on
Circuits and Systems, May 1821, Seattle, WA, IEEE, pp. 33423345.
Deogun, H. S. , Rao, R. R. , Sylvester, D. , and Blaauw, D. . 2004. Leakage- and crosstalk-
aware bus encoding for total power reduction. Proceedings of Design Automation Conference,
July 711, San Diego, CA, pp. 779782.
Ghoneima, M. and Ismail, Y. . 2004. Low power coupling-based encoding for on-chip buses.
Proceedings of IEEE International Symposium on Circuits and Systems, May 2326, Vancouver,
BC, Canada, IEEE, pp. 325328.
Huang, P. T. , Fang, W. L. , Wang, Y. L. , and Hwang, W. 2008. Low power and reliable
interconnection with self-corrected green coding scheme for network-on-chip. ACM/IEEE
International Symposium on Networks-on-Chip, April 710, Newcastle upon Tyne, IEEE, pp.
7783.
Kim, K. , Baek, K. , Shanbhag, N. , Liu, C. , and Kang, S. 2000. Coupling-driven signal
encoding scheme for low-power interface design. Proceedings of ICCAD, November 59, San
Jose, CA, IEEE, pp. 318321.
Lee, K. , Lee. S. J. , Kim, S. E. , Choi, H. M. , Kim, D. , Kim, S. , Lee, M. W. , and Yoo, H. J.
2004. A 51mW 1.6GHz on-chip network for low power heterogeneous SoC platform.
Proceedings of IEEE International Solid-State Circuits Conference, February 1519, San
Francisco, CA, IEEE.
Lee, S. E. and Bagherzadeh, N. . 2009. A variable frequency link for a power-aware network-
on-chip. Integration, the VLSI Journal, vol. 42, no. 4, pp. 479485.
Lee, S. J. , Kim, K. , Kim, H. , Cho, N. , and Yoo, H. J. . 2006. A network-on-chip with
3Gbps/wire serialized on-chip interconnect using adaptive control schemes. Proceedings of
Design Automation and Test in Europe, pp. 7980.
Lee, S. J. , Lee, K. , and Yoo, H. J. . 2005 Analysis and implementation of practical, cost-
effective networks on chips. IEEE Design & Test Computers, vol. 22, no. 5, pp. 422433.
Lu, Y. and Agarwal, V. D. . 2007. Statistical leakage and timing optimization for submicron
process variation. Proceedings of 20th VLSI Design and 6th Embedded Systems, January 610,
Bangalore, India, IEEE, pp. 439444.
Matustani, H. , Koibuchi, M. , Ikebuchi, D. , Usami, K. , Nakamura, H. , and Amano, H. . 2010.
Ultra fine-grained run-time power gating of on-chip routers for CMPs. Proceedings of
ACM/IEEE International Symposium on Networks-on-Chip, May 36, Grenoble, France, IEEE,
pp. 6168.
Mullins, R. . 2006. Minimising dynamic power consumption in on-chip networks. Proceedings of
International Symposium on System-on-Chip, November 1416, Tampere, Finland, IEEE, pp. 14.
Ogras, U. Y. , Marculescu, R. , Choudhary, P. , and Marculescu, D. . 2007. Voltage-frequency
island partitioning for GALS-based networks-on-chip. Proceedings of Design and Automation
Conference, June 48, San Diego, CA, IEEE, pp. 110115.
Shang, L. , Peh, L. S. , and Jha, N. K. 2003 Dynamic voltage scaling with links for power
optimization of interconnection networks. Proceedings of IEEE International Conference on
High-Performance Computer Architecture, February 812, Anaheim, CA, IEEE, pp. 91102.
Soteriou, V. and Peh, L.-S. . 2004. Design-space exploration of power-aware on/off
interconnection networks. Proceedings of the IEEE International Conference on Computer
Design, October 1113, San Jose, CA, IEEE, pp. 510517.
190 Sotiriadis, P. P. . 2002. Interconnect modeling and optimization in deep submicron
technologies. Ph.D. Dissertation, Massachusetts Institute of Technology, Cambridge.
Sotiriadis, P. P. and Chandrakasan, A. . 2000. Low power bus coding techniques considering
inter-wire capacitances. Proceedings of the IEEE Custom Integrated Circuits Conference, May
2124, Orlando, FL, IEEE, pp. 507510.
Sotiriadis, P. P. and Chandrakasan, A. . 2002. A bus energy model for deep submicron
technology. IEEE Transaction on Very Large Scale Integration Systems, vol. 10, no. 3, pp.
341350
Sridhara, S. R. and Shanbhag, N. R. . 2005. Coding for system-on-chip networks: A unified
framework. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 6,
pp. 655667.
Stan, M. R. and Burleson, W. P. . 1995. Bus-invert coding for low-power I/O. IEEE Transactions
on Very Large Scale Integration (VLSI) System, vol. 3, no. 1, pp. 4958.
Stratakos, A. . 1998. High-efficiency low-voltage DC-DC conversion for portable applications.
Ph D Thesis, University of California, Berkeley, CA.
Yoo, S. and Choi, K. 1999. Interleaving partial bus-invert Coding for low power reconfiguration
of FPGAs. Proceedings of International Conference on VLSI and CAD, October 2627, Seoul,
Republic of Korea, IEEE, pp. 549552.
Yuan, C. P. and Cheng, Y. C. 2005. A voltage level converter circuit design with low power
consumption. Proceedings of International Conference on ASIC, October 2427, Shanghai,
Peoples Republic of China, IEEE, pp. 358359.
Zhang, Y. , Lach, J. , Skadron, K. , and Stan, M. R. . 2002. Odd/even bus invert with two-phase
transfer for buses with coupling. Proceedings of International Symposium on Low Power
Electronics and Design, Monterey, CA, IEEE, pp. 8083.

Signal Integrity and Reliability of Network-on-Chip


Albertengo, G. and Sisto, R. 1990. Parallel CRC generation. IEEE Micro, vol. 10, no. 5, pp.
6371.
Ali, M. , Welzl, M. , and Hessler, S. 2007a. A fault tolerant mechanism for handling permanent
and transient failures in a network on chip. Proceedings of IEEE International Conference on
Information Technology, April 24, Las Vegas, NV, IEEE, pp. 10271032.
Ali, M. , Welzl, M. , Hessler, S. , and Hellebrand, S. 2007b. An efficient fault tolerant mechanism
to deal with permanent and transient failures in a network on chip. International Journal of High
Performance Systems Architecture, vol. 1, no. 2, pp. 113123.
Asadi, H. and Tahoori, M. 2006. Soft error derating computation in sequential circuits.
Proceedings of IEEE/ACM International Conference on Computer Aided Design, November 59,
San Jose, CA, IEEE, pp. 497501.
Benini, L. and Micheli, G. D. 2006. Networks on Chips: Technology and Tools. Morgan
Kaufmann Publishers, San Francisco, CA.
Bertozzi, D. and Benini, L. 2004. Xpipes: A network-on-chip architecture for gigascale system-
on-chip. IEEE Circuits and Systems Magazine, vol. 4, no. 2, pp. 1821.
Campobello, G. , Patane, G. , and Russo, M. 2003. Parallel CRC realization. IEEE Transactions
on Computers, vol. 52, no. 10, pp. 13121319.
232 Chen, K. H. and Chiu, G. M. 1998. Fault-tolerant routing algorithm for meshes without
using virtual channels. Journal of Information Science and Engineering, vol. 14, pp. 765783.
Chen, W. , Gupta, S. K. , and Breuer, M. A. 1997. Analytic models for crosstalk delay and pulse
analysis under non-ideal inputs. Proceedings of International Test Conference, November 16,
Washington, DC, IEEE, pp. 809818.
Cuviello, M. , Dey, S. , Bai, X. , and Zhao, Y. 1999. Fault modeling and simulation for crosstalk
in system-on-chip interconnects. Proceedings of IEEE/ACM International Conference on
Computer Aided Design, November 711, San Jose, CA, IEEE, pp. 297303.
Dally, W. J. and Poulton, J. H. 1998. Digital Systems Engineering. Cambridge University Press,
Cambridge, UK.
Dally, W. J. and Towles, B. 2004. Principles and Practices of Interconnection Networks. Morgan
Kaufmann Publishers, San Francisco, CA.
Fick, D. , Orio, A. D. , Chen, G. , Bertacco, V. , Sylvester, D. , and Blaauw, D. 2009. A highly
resilient routing algorithm for fault-tolerant NoCs. Proceedings of Design, Automation and Test
in Europe Conference, April 2024, Nice, France, IEEE, pp. 2126.
Fukushima, Y. , Fukushi, M. , and Horiguchi, S. 2009. Fault-tolerant routing algorithm for
network on chip without virtual channels. Proceedings of IEEE International Symposium on
Defect and Fault Tolerance in VLSI Systems, October 79, Chicago, IL, IEEE, pp. 313321.
Ganguly, A. , Pande, P. P. , Belzer, B. and Grecu, C. 2007. Addressing signal integrity in
networks on chip interconnects through crosstalk-aware double error correction coding.
Proceedings of IEEE Computer Society Annual Symposium on VLSI, March 911, Porto Alegre,
Brazil, IEEE, pp. 317324.
Glass, C. J. and Ni, L. 1996. Fault-tolerant wormhole routing in meshes without virtual
channels. IEEE Transaction on Parallel and Distributed Systems, vol. 7, no. 6, pp. 620635.
Hedge, R. and Shanbhag, N. R. 2000. Toward achieving energy efficiency in presence of deep
submicron noise. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 8,
no. 4, pp. 379391.
Huang, P. T. , Fang, W. L. , Wang, Y. L. and Hwang, W. 2008. Low power and reliable
interconnection with self-corrected green coding scheme for network-on-chip. Proceedings of
ACM/IEEE International Symposium on Networks-on-Chip, April 710, Newcastle upon Tyne,
IEEE, pp. 7783.
Ismail, Y. I. , Friedman, E. G. , and Neves, J. L. 1998. Figures of merit to characterize the
importance of on-chip inductance. Proceedings of Design Automation Conference, June 1519,
San Francisco, CA, IEEE, pp. 560565.
Joshi, S. M. , Dubey, P. K. , and Kaplan, M. A. 2000. A new parallel algorithm for CRC
generation. IEEE International Conference on Communication, June 1822, New Orleans, LA,
IEEE, pp. 17641768.
Kumar, S. V. , Kim, C. H. , and Sapatnekar, S. S. 2006. An analytical model for negative bias
temperature instability. Proceedings of IEEE/ACM International Conference on Computer Aided
Design, pp. 493496.
Lee, S. J. , Lee, K. , and Yoo, H. J. 2005. Analysis and implementation of practical, cost-
effective networks on chips. IEEE Design & Test Computers, vol. 22, no. 5, pp. 422433.
Lin, S. and Costello, D. J. 1983. Error Control Coding: Fundamentals and Applications. Prentice
Hall, New Jersey.
233 Linder, D. H. and Harden, J. C. 1991. An adaptive and fault-tolerant wormhole routing
strategies for k-ary n-cubes. IEEE Transactions on Computer, vol. 40, no. 1, pp. 212.
Mitra, S. , Zhang, M. , Mak, T. M. , Seifert, N. , Zia, V. , and Kim, K. S. 2005. Logic soft errors: A
major barrier to robust platform design. Proceedings of International Test Conference,
November 8, Austin, TX, IEEE, pp. 687696.
Mitra, S. , Zhang, M. , Seifert, N. , Mak, T. M. , and Kim, K. S. 2006a. Soft error resilient system
design through error correction. IFIP International Conference on VLSI, October 1618, Nice,
France, IEEE, pp. 332337.
Mitra, S. , Zhang, M. , Waqas, S. , Seifert, N. , Gill, B. , and Kim, K. S. 2006b. Combinational
logic soft error correction. Proceedings of IEEE International Test Conference, pp. 29.2.129.2.9,
October, Santa Clara, CA, IEEE.
Murali, S. , Theocharides, T. , Vijaykrishnan, N. , Irwin, M. J. , Benini, L. , and Micheli, G. D.
2005. Analysis of error recovery schemes for Networks on Chips. Proceedings of IEEE Design
and Test of Computers, pp. 434442, SeptemberOctober, IEEE.
Nazarian, S. , Pedram, M. , and Tuncer, E. 2005. An empirical study of crosstalk in VDSM
technologies. Proceedings of ACM Great Lakes symposium on VLSI, April 1719, New York,
NY, ACM, pp. 317322.
Nguyen, H. T. and Yagil, Y. 2003. A systematic approach to SER estimation and solutions.
Proceedings of IEEE International Reliability Physics Symposium, pp. 6070.
Pande, P. P. , Ganguly, A. , Feero, B. , Belzer, B. , and Grecu, C. 2006a. Design of low power
& reliable networks on chip through joint crosstalk avoidance and forward error correction
coding. Proceedings of IEEE International Symposium on Defect and Fault-Tolerance in VLSI
Systems, October 2006, Arlington, VA, IEEE, pp. 466476.
Pande, P. P. , Zhu, H. , Ganguly, A. , and Grecu, C. 2006b. Energy reduction through crosstalk
avoidance coding in NoC paradigm. Proceedings of EUROMICRO Conference on Digital
System Design, August 30September 1, Dubrovnik, IEEE, pp. 689695.
Park, D. , Nicopoulos, C. A. , Kim, J. , Vijaykrishnan, N. , and Das, C. R. 2006. Exploring fault-
tolerant network-on-chip architectures. Proceedings of International Conference on Dependable
Systems and Networks, June 2528, Philadelphia, PA, IEEE, pp. 93102.
Patel, K. N. and Markov, I. L. 2003. Error-correction and crosstalk avoidance in DSM busses.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Special Issue for System
Level Interconnect Prediction, vol. 12, no. 10, pp. 15.
Patooghy, A. and Miremadi, S. G. 2009. XYX: A power & performance efficient fault-tolerant
routing algorithm for network on chip. Proceedings of Parallel, Distributed, and Network-based
Processing, February 1820, Weimer, IEEE, pp. 245251.
Pei, T. B. and Zukowski, C. 1992. High-speed parallel CRC circuits in VLSI. IEEE Transactions
on Communications, vol. 40, no. 4, pp. 653657.
Perez, A. 1983. Byte-wise CRC Calculation. IEEE Micro, vol. 3, no. 3, pp. 4050.
Pullini, A. , Angiolini, F. , Bertozzi, D. , and Benini, L. 2005. Fault tolerance overhead in
network-on-chip flow control schemes. Proceedings of Integrated Circuits and Systems Design,
September 47, Florianpolis, Brazil, IEEE, pp. 224229.
Rossi, D. , Metra, C. , Nieuwland, A. K. , and Katoch, A. 2005. New ECC for crosstalk impact
minimization. IEEE Design and Test of Computers, vol. 22, no. 4, pp. 340348.
Shieh, M. D. , Sheu, M. H. , Chen, C. H. , and Lo, H. F. 2001. A systematic approach for
parallel CRC computations. Journal of Information Science and Engineering, vol. 17, pp.
445461.
234 Sprachmann, M. 2001. Automatic generation of parallel CRC circuits. IEEE Design and
Test of Computers, vol. 18, no. 3, pp. 108114.
Sridhara, S. R. and Shanbhag, N. R. 2005. Coding for system-on-chip networks: A unified
framework. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 6,
pp. 655667.
Sridhara, S. R. and Shanbhag, N. R. 2007. Coding for reliable on-chip buses: A class of
fundamental bounds and practical codes. IEEE Transactions on CAD of Integrated Circuits and
Systems, vol. 26, no. 5, pp. 977982.
Valinataj, M. , Mohammadi, S. , Safari, S. , and Plosila, J. 2009. A link failure aware routing
algorithm for networks-on-chip in nano technologies. Proceedings of IEEE Conference on
Nanotechnology, July 2630, Genoa, Italy, IEEE, pp. 687690.
Wang, L. T. , Wu, C. W. , and Wen, X. 2006. VLSI Test Principles and Architectures. Morgan
Kaufmann Publisher. San Francisco, CA.
Wu, J. 2003. A fault-tolerant and deadlock-free routing protocol in 2D meshes based on
oddeven turn model. IEEE Transactions on Computers, vol. 52, no. 9, pp. 11541169.
Zhang, M. , Mitra, S. , Mak, T. M. , Seifert, N. , Wang, N. J. , Shi, Q. , Kim, K. S. , Shanbhag, N.
R. , and Patel, S. J. 2006. Sequential element design with built-in soft error resilience. IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 12, pp. 13681378.
Zimmer, H. and Jantsch, A. 2003. A fault model notation and error-control scheme for switch-to-
switch buses in a network-on-chip. Proceedings of the International Conference on
Hardware/Software Codesign and System Synthesis, October 13, Newport Beach, CA, IEEE,
pp. 188193.

Testing of Network-on-Chip Architectures


Ahn, J. H. and Kang, S. 2006. Test scheduling of NoC based SoCs using multiple test clocks.
ETRI Journal, vol. 28, no. 4, pp. 475485.
Bushnell, M. L. and Agrawal, V. D. 2005. Essentials of Electronic Testing for Digital, Memory
and Mixed-Signal VLSI Circuits. Springer-Verlag, Boston, MA.
261 Cota, E. , Carro, L. , and Lubaszewski, M. 2004. Reusing an on-chip network for the test of
core-based systems. ACM Transactions on Design Automation of Electronic Systems, vol. 9,
no. 4, pp. 471499.
Cota, E. and Liu, C. 2006. Constraint driven test scheduling for NoC based systems. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 11,
pp. 24652478.
Cuivello, M. , Dey, S. , Bai, X. , and Zhao, Y. 1999. Fault modeling and simulation for crosstalk
in system-on-chip inerconnects. IEEE/ACM International Conference on Computer Aided
Design, IEEE, pp. 297303.
Farah, R. and Harmanani, H. 2010. A method for efficient NoC test scheduling using
deterministic routing. IEEE International SOC Conference, IEEE, pp. 363366.
Garey, M. R. and Johnson, D. S. 1979. Computers and Intractability: A Guide to the Theory of
NP-Completeness. W. H. Freeman and Co., San Francisco, CA.
Grecu, C. , Ivanov, A. , Saleh, R. , and Pande, P. 2007. Testing network-on-chip
communication fabrics. IEEE Transactions on Computer-Aided Design of Integrated Circuits
and Systems, vol. 26, no. 12, pp. 22012214.
Iyengar, V. and Chakrabarty, K. , 2002. Test wrapper and test access mechanism co-
optimization for system-on-chip. Journal of Electronic Testing: Theory and Applications, vol. 18,
pp. 213230.
Liu, C. , Iyengar, V. , and Pradhan, D. K. 2006. Thermal-aware testing of network-on-chip using
multiple frequency clocking. 24th IEEE VLSI Test Symposium. IEEE.
Liu, C. , Iyengar, V. , Shi, J. , and Cota, E. 2005a. Power aware test scheduling in network-on-
chip using variable rate on-chip clocking. IEEE VLSI Test Symposium. IEEE.
Liu, C. , Veeraraghavan, K. , and Iyengar, V. 2005b. Thermal-aware test scheduling and hot
spot temperature minimization for core based systems. 20th IEEE International Symposium on
Defect and Fault Tolerance in VLSI Systems. IEEE.
Marinissen, E. J. , Goel, S. K. , and Lousberg, M. 2000. Wrapper design for embedded core
test. International Test Conference, IEEE, pp. 911920.
Marinissen, E. J. , Kapur, R. , Lousberg, M. , McLaurin, T. , Ricchetti, M. , and Zorian, Y. 2002.
On IEEE P1500s standard for embedded core test. Journal of Electronic Testing: Theory and
Applications, vol. 18, pp. 365383.
Pande, P. , Grecu, C. , Ivanov, A. , Saleh, R. , and Micheli, G De. 2005. Design, synthesis, and
test of networks on chip. IEEE Design and Test of Computers, vol. 22, no. 5, pp. 404413.
Salamy, H. and Harmanani, H. M. 2011. An optimal formulation for test scheduling network-on-
chip using multiple clock rates. IEEE Canadian Conference on Electrical and Computer
Engineering. IEEE.

Application-Specific Network-on-Chip Synthesis


Ababei, C. 2010. Efficient congestion-oriented custom network-on-chip topology synthesis.
Proceedings of the International Conference on Reconfigurable Computing and FPGAs, IEEE,
pp. 352357.
Abderazek, B. A. , Akanda, M. , Yoshinaga, T. , and Sowa, M. 2007. Mathematical model for
multiobjective synthesis of NOC architectures. Proceedings of the Parallel Processing
Workshops, IEEE, p. 36.
Ahoen, T. , David, A. , Bin, H. , and Nurmi, J. 2004. Topology optimization for application
specific networks on chip. Proceedings of the International Workshop on System level
Interconnect Prediction, IEEE, pp. 5360.
Ar, Y. , Tosun, S. , and Kaplan, H. 2009. TopGen: A new algorithm for automatic topology
generation for network on chip architectures to reduce power consumption. Proceedings of the
International Conference on Application of Information and Communication Technologies,
IEEE, pp. 15.
285 Ascia, G. , Catania, V. , and Palesi, M. 2004. Multi-objective mapping for mesh-based noc
architectures. Proceedings of the International Conference on Hardware/Software Codesign
and System Synthesis, IEEE, pp. 182187.
Bei, Y. , Dong, S. , Chen, S. , and Goto, S. 2010. Floorplanning and topology generation for
application-specific network-on-chip. Proceedings of the Asia and South Pacific Design
Automation Conference, IEEE, pp. 535540.
Benini, L. 2006. Application specific NoC design. Proceedings of Design, Automation and Test
in Europe Conference and Exhibition, IEEE, pp. 15.
Bertozzi, D. , Jalabert, A. , Murali, S. , Tamhankar, R. , Stergiou, S. , Benini, L. , and Micheli, G.
D. 2005. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip,
IEEE Transactions on Parallel and Distributed Systems, vol. 16, no. 2, pp. 113129.
Binjie, S. , Shan, Z. , Ma, Y. , Xu, N. , and Wang, Y. 2011. Tree-based partitioning approach for
network-on-chip synthesis. Proceedings of the International Conference on Computer-Aided
Design and Computer Graphics, IEEE, pp. 465470.
Chan, J. and Parameswaran, S. 2008. NoCOUT: NoC topology generation with mixed packet-
switched and point-to-point networks. Proceedings of the Asia and South Pacific Design
Automation Conference Design Automation Conference, IEEE, pp. 265270.
Choudhary, N. , Gaur, M. S. , Laxmi, V. , and Singh, V. 2010. Energy aware design
methodologies for application specific NoC, Proceedings of the NORCHIP, IEEE, pp. 14.
Choudhary, N. , Gaur, M. S. , Laxmi, V. , and Singh, V. 2011. GA based congestion aware
topology generation for application specific NoC, Proceedings of the IEEE International
Symposium on Electronic Design, Test and Application, IEEE, pp. 9398.
Dumitriu, V. and Khan, G. N. 2009. Throughput-oriented NoC topology generation and analysis
for high performance SoCs. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 17, no. 10, pp. 14331446.
Filippopoulos, I. , Anagnostopoulos, I. , Bartzas, A. , Soudris, D. , and Economakos, G. 2010.
Systematic exploration of energy-efficient application-specific network-on-chip architectures,
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, IEEE, pp. 133138.
Garey, M. R. and Johnson, D. S. 1979. Computers and Intractability: A Guide to the Theory of
NP-Completeness. Sanfrancisco, CA: Freeman.
Ho, R. , Mai, K. W. , and Horowitz, M. A. 2001. The future of wires. Proceedings of the IEEE,
vol. 89, no. 4, pp. 490504.
Hu, Y. , Chen, H. , Zhu, Y. , Chien, A. A. , and Cheng, C. K. 2005. Physical synthesis of energy-
efficient networks-on-chip through topology exploration and wire style optimization. Proceedings
of the IEEE International Conference on Computer Design: VLSI in Computers and Processors,
IEEE, pp. 111118.
Jalabert, A. , Murali, S. , Benini, L. , and Micheli, G. D. 2004. xpipesCompiler: A tool for
instantiating application specific networks on chip. Proceedings of the Design, Automation and
Test in Europe Conference and Exhibition, IEEE, pp. 884889.
Kennedy, J. and Eberhart, R. 1995. Particle swarm optimization. Proceedings of the IEEE
International Conference on Neural Networks, vol. 4, IEEE, pp. 19421948.
Khan, G. N. and Tino, A. 2012. Synthesis of NoC interconnects for custom MPSoC
architectures. Proceedings of the IEEE/ACM International Symposium on Networks on Chip,
IEEE, pp. 7582.
Kwon, S. , Pasricha, S. , and Cho, J. 2011. POSEIDON: A framework for application-specific
network-on-chip synthesis for heterogeneous chip multiprocessors. Proceedings of the
International Symposium on Quality Electronic Design, IEEE, pp. 17.
286 Korotkyi, I. and Lysenko, O. 2012. Application-specific network-on-chip with link
aggregation, Proceedings of the Mediterranean Conference on Embedded Computing, IEEE,
pp. 912.
Lai, G. , Lin, X. , and Lai, S. 2010. GA-based floorplan-aware topology synthesis of application-
specific network-on-chip. Proceedings of the IEEE International Conference on Intelligent
Computing and Intelligent Systems, IEEE, pp. 554558.
Leary, G. and Chatha, K. S. 2010. A holistic approach to network-on-chip synthesis,
Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign
and System Synthesis, IEEE, pp. 213222.
Leary, G. , Srinivasan, K. , Mehta, K. , and Chatha, K. S. 2009. Design of network-on-chip
architectures with a genetic algorithm-based technique. IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 17, no. 5, pp. 674687.
Li, X. and Hammami, O. 2010. Multi-objective network-on-chip synthesis with transaction level
simulation. Proceedings of the International Conference on Microelectronics, IEEE, pp. 487490.
Lin, S. , Su, L. , Haibo, S. , Depeng J. , and Zeng, L. 2008. Hierarchical cluster-based irregular
topology customization for networks-on-chip. Proceedings of the IEEE/IFIP International
Conference on Embedded and Ubiquitous Computing, IEEE, pp. 373377.
Mzah, A. and Hammami, O. 2011. Area/delay driven NoC synthesis. Proceedings of the
International Conference on Microelectronics (ICM), IEEE, pp. 16.
Morgan, A. A. , Elmiligi, H. , El-Kharashi, M. W. , and Gebali, F. 2009. Area-aware topology
generation for application-specific networks-on-chip using network partitioning. Proceedings of
the IEEE Pacific Rim Conference on Communications, Computers and Signal Processing,
IEEE, pp. 979984.
Morgan, A. A. , Elmiligi, H. , Watheq, E. M. , and Gebali, F. 2008. Networks-on-Chip topology
generation techniques: Area and delay evaluation. Proceedings of the Design and Test
Workshop, IEEE, pp. 3338.
Murali, S. , Meloni, P. , Angiolini, F. , Atienza, D. , Carta, S. , Benini, L. , Micheli, G. D. , and
Raffo, L. 2006. Designing application-specific networks on chips with floorplan information.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design Computer-
Aided Design, IEEE, pp. 355362.
Murali, S. and Micheli, G. D. 2004. Bandwidth constrained mapping of cores onto NoC
architectures. Proceedings of Design, Automation and Test in Europe Conference and
Exhibition, pp. 896901.
Ogras, U. Y. and Marculescu, R. 2005a. Application-specific network-on-chip architecture
customization via long-range link insertion. Proceedings of the IEEE/ACM International
Conference on Computer-Aided Design, IEEE, pp. 246253.
Ogras, U. Y. and Marculescu, R. 2005b. Energy- and performance-driven NoC communication
architecture synthesis using a decomposition approach. Proceedings of the Design, Automation
and Test in Europe, IEEE, pp. 352357.
Pinto, A. , Carloni, L. P. , and Sangiovanni-Vincentelli, A. L. 2003. Efficient synthesis of
networks on chip, Proceedings of the International Conference on Computer Design, IEEE, pp.
146150.
Ravi, R. , Marathe, M. V. , Ravi, S. S. , Ronenkarntz, D. J. , and Hunt, H. B. 2001.
Approximation algorithms for degree-constrained minimum-cost network design problems.
Algorithmica, vol. 31, no. 1, pp. 5878.
Reza K. M. , Angiolin, F. , Murali, S. , Pullini, A. , Seiculescu, C. , and Benini, L. 2009. A
floorplan-aware interactive tool flow for NoC design and synthesis. Proceedings of the IEEE
International SOC Conference, IEEE, pp. 379382.
Roy, G. D. 1978. U-statistic hierarchical clustering, Psychometrica, vol. 4, no. 1, pp. 5867.
Salminen, E. , Kulmala, A. , and Hmlinen, T. D. 2008. Survey of network-on-chip proposals,
Technical Report, http://www.ocpip.org/socket/whitepapers.
Sait, S. M. and Youssef, H. 1994. VLSI Physical Design Automation: Theory and Practice.
McGraw-Hill, New York.
Sami, J. H. and Mohammad, G. M. 2006. Q8WARE: Synthesis tool for network-on-chip
applications. Innovations in Information Technology, pp. 15.
Shan, Y. and Lin, B. 2008. Application-specific network-on-chip architecture synthesis based on
set partitions and steiner trees. Proceedings of the Asia and South Pacific Design Automation
Conference, IEEE, pp. 277282.
Soumya, J. and Chattopadhyay, S. 2013. Application-specific network-on-chip synthesis with
flexible router placement, Journal of Systems Architecture, vol. 59, pp. 361371.
Srinivasan, K. and Chatha, K. S. 2005. SAGA: Synthesis technique for guaranteed throughput
NoC architectures. Proceedings of the Asia and South Pacific Design Automation Conference,
IEEE, pp. 489494.
Srinivasan, K. , Chatha, K. S. , and Konjevod, G. 2005. An automated technique for topology
and route generation of application specific on-chip interconnection networks. Proceedings of
the IEEE/ACM International Conference on Computer-Aided Design, IEEE, pp. 231237.
Srinivasan, K. , Chatha, K. S. , and Konjevod, G. 2006. Linear-programming-based techniques
for synthesis of network-on-chip architectures. IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 14, no. 4, pp. 407420.
Stergiou, S. , Angiolini, F. , Carta, S. , Raffo, L. , Bertozzi, D. , and Micheli, G. D. 2005. xpipes
Lite: A synthesis oriented design library for networks on chips. Proceedings of the Design,
Automation and Test in Europe, IEEE, pp. 11881193.
Tino, A. and Khan, G. N. 2011. Multi-objective tabu search based topology generation
technique for application-specific network-on-chip architectures. Proceedings of the Design,
Automation & Test in Europe Conference & Exhibition, IEEE, pp. 16.
Wang, K. P. , Huang, L. , Zhou, C. G. , and Wei, P. 2003. Particle swarm optimization for
traveling salesman problem. Proceedings of the International Conference on Machine Learning
and Cybernetics, IEEE, pp. 15831585.
Zhong, W. , Yu, B. , Song, C. , Yoshimura, T. , Dong, S. , and Goto, S. 2011. Application-
specific network-on-chip synthesis: Cluster generation and network component insertion.
Proceedings of the International Symposium on Quality Electronic Design, IEEE, pp. 16.

Reconfigurable Network-on-Chip Design


Ahmad, B. , Erdogan, A. T. , and Khawam, S. 2006. Architecture of a dynamically
reconfigurable NoC for adaptive reconfigurable MPSoC. NASA/ESA Conference on Adaptive
Hardware and Systems, IEEE, pp. 405-411.
Atienza, D. , Angiolini, F. , Murali, S. , Pullini, A. , Benini, L. , and De Micheli G. 2008. Network-
on-chip design and synthesis outlook, IntegrationThe VLSI Journal, vol. 41, no. 3, pp. 340-359.
Avakian, A. , Nafziger, J. , Panda, A. , and Vemuri, R. 2010. A reconfigurable architecture for
multicore systems. IEEE International Symposium on Parallel & Distributed Processing, IEEE,
pp. 1-8.
Bartic, T. A. , Mignolet, J. Y. , Nollet, V. , Marescaux, T. , Verkest, D. , Vernalde, S. , and
Lauwereins, R. 2003. Highly scalable network-on-chip for reconfigurable systems. International
Symposium on System-on-Chip, IEEE, pp. 79-82.
Bartic, T. A. , Mignolet, J. Y. , Nollet, V. , Marescaux, T. , Verkest, D. , Vernalde, S. , and
Lauwereins, R. 2005. Topology adaptive network-on-chip design and implementation. IEE
Proceedings Computers and Digital Techniques, vol. 152, no. 4, pp. 467-472.
Dally, W. J. and Towles, B. 2001. Route packets, not wires: On-chip interconnection networks,
Design Automation Conference, IEEE, pp. 684-689.
Ding, H. , Gu, H. , Li, B. , and Du, K. 2012. Configuring algorithm for reconfigurable network-on-
chip architecture. International Conference on Consumer Electronics, Communications and
Networks, IEEE, pp. 222-225.
Dumitriu, V. and Khan, G. N. 2009. Throughput-oriented NoC topology generation and analysis
for high performance SoCs, IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 17, no. 10, pp. 1433-1446.
Elmiligi, H. , El-Kharashi, M. W. , and Gebali, F. 2007. Introducing OperaNP: A reconfigurable
NoC-based platform. Canadian Conference on Electrical and Computer Engineering, IEEE, pp.
940-943.
Kunert, K. , Wecksten, M. , and Jonsson, M. 2007. Algorithm for the choice of topology in
reconfigurable on-chip networks with real time support. International Conference on Nano-
Networks, ACM.
Lan, Y. C. , Lin, H. A. , Lo, S. H. , Hu, Y. H. , and Chen, S. J. 2011. A bidirectional NoC (BiNoC)
architecture with dynamic self-reconfigurable channel. IEEE Transactions on Computer Aided
Design of Integrated Circuits and Systems, IEEE, pp. 427-440.
Modarressi, M. and Sarbazi-Azad, H. 2007. Power-aware mapping for reconfigurable NoC
architectures. International Conference on Computer Design, IEEE, pp. 417-422.
Modarressi, M. , Sarbazi-Azad, H. , and Tavakkol, A. 2010. An efficient dynamically
reconfigurable on-chip network architecture. ACM/IEEE Design Automation Conference, IEEE,
pp. 166-169.
Modarressi, M. , Tavakkol, A. , and Sarbazi-Azad, H. 2011. Application-aware topology
reconfiguration for on-chip networks. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 19, pp. 2010-2022.
Rana, V. , Atienza, D. , Santambrogio, M.D. , Sciuto, D. , and Micheli, G. De. 2009. A
reconfigurable network-on-chip architecture for optimal multi-processor SoC communication,
VLSI-SOC, IEEE, pp. 1-20.
Soumya, J. , Sharma, A. , and Chattopadhyay, S. 2013. Multi-application network-on-chip
design using global mapping and local reconfiguration. ACM Transactions on Reconfigurable
Technologies and Systems, vol. 7, no. 2, p. 2014.
Stensgaard, M. B. and Sparso, J. 2008. ReNoC: A network-on-chip architecture with
reconfigurable topology. The 2nd ACM/IEEE International Symposium on Networks-on-Chip,
IEEE, pp. 55-64.
Wu, L. W. , Tang, W. X. , and Hsu, Y. 2011. A novel architecture and routing algorithm for
dynamic reconfigurable network-on-chip. International Symposium on Parallel and Distributed
Processing with Applications, IEEE, pp. 177-182.
Zheng, L. , Jueping, C. , Ming, D. , Lei, Y. , and Zan, L. 2010. Hybrid communication
reconfigurable network on chip for MPSoC. International Conference on Advanced Information
Networking and Applications, IEEE, pp. 356-361.

Three-Dimensional Integration of Network-on-Chip


Bashirullah, R. , Liu, W. , and Cavin, R. K. 2003. Current-mode signaling in deep
submicrometer global interconnects. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 11, no. 3, pp. 406417
Beyne, E. 2006. The rise of the 3rd dimension for system integration. Proceedings of IEEE
International Interconnect Technology Conference, Burlingame, CA, IEEE, pp. 15, June 57.
Carloni, L. P. , Pande, P. P. , and Yuan, X. 2009. Networks-on-Chip in emerging interconnect
paradigms: Advantages and challenges. Proceedings of ACM/IEEE International Symposium
on Networks-on-Chips, San Diego, CA, IEEE, pp. 93102, May 1013.
Chang, M. F. et al. 2008. CMP network-on-chip overlaid with multi-band RF interconnect.
Proceedings of International Symposium on High-Performance Computer Architecture, Salt
Lake City, UT, IEEE, pp. 191202, February 1620.
Chen, G. , Chen, H. , Haurylau, M. , Nelson, N. A. , Albonesi, D. H. , Fauchet, P. M. , and
Friedman, E. G. 2007. Predictions of CMOS compatible on-chip optical interconnect.
Integration, the VLSI Journal, vol. 40, no. 4, pp. 434446.
Cianchetti, M. J. , Kerekes, J. C. , and Albonesi, D. H. 2009. Phastlane: A rapid transit optical
routing network. International Symposium on Computer Architecture Austin, Texas, USA, ACM,
pp. 441450, 2024 June.
Davis, W. R. , Wilson, J. , Mick, S. , Xu, J. , Hua, H. , Mineo, C. , Sule, A. M. , Steer, M. , and
Franzon, P. D. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Design
and Test of Computers, IEEE, vol. 22, no. 6, pp. 498510, November-December.
Deodhar, V. V. and Davis, J. A. 2005. Optimization of throughput performance for low-power
VLSI interconnects. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.
13, no. 3, pp. 308318.
Feero, B. S. and Pande, P. P. 2009. Networks-on-chip in a three dimensional environment: A
performance evaluation. IEEE Transactions on Computers, vol. 58, no. 1, pp. 3245.
Flic, J. and Bertozzi, D. 2010. Designing Network On-Chip Architectures in the Nanoscale Era.
Chapman & Hall/CRC Computational Science, Boca Raton, FL.
Gu, H. , Xu, J. , and Wang, Z. 2008. A low-power low-cost optical router for optical network-on-
chip in multiprocessor system-on-chips. Proceedings of IEEE Computer Society Annual
Symposium on VLSI (ISVLSI), Tampa, Florida, IEEE, pp. 1924, May 1315.
Gunn, C. 2006. CMOS photonics for high-speed interconnects. IEEE Micro, IEEE, vol. 26, no.
2, pp. 5866, March-April.
Haurylau, M. , Chen, G. , Chen, H. , Zhang, J. , Nelson, N. A. , Albonesi, D. H. , Friedman, E.
G. , and Fauchet, P. M. 2006. IEEE Journal of Selected Topics in Quantum Electronics, IEEE,
vol. 12, no. 6, pp. 16991705.
Jacob, P. , Erdogan, O. , Zia, A. , Belemjian, P. M. , Kraft, R. P. , and McDonald, J. F. 2005.
Predicting the performance of a 3D processor-memory stack. IEEE Design and Test of
Computers, IEEE, vol. 22, no. 6, pp. 540547, November-December.
Li, F. , Nicopoulos, C. , Richardson, T. , Xie, Y. , Narayanan, V. , and Kandemir, M. 2006.
Design and management of 3D chip multiprocessors using network-in-memory. Proceedings of
IEEE International Symposium on Computer Architecture, Boston, MA, IEEE, pp. 130142, June
1721.
Loi, I. , Angiolini, F. , Fujita, S. , Mitra, S. , and Benini, L. 2011. Characterization and
implementation of fault-tolerant vertical links for 3-D networks-on-chip. IEEE Transactions on
Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 1, pp. 124134.
Matsutani, H. , Koibuchi, M. , Hsu, F. , and Amano, H. 2008. Three-dimensional layout of on-
chip tree-based networks. Proceedings of International Symposium on Parallel Architectures,
Algorithms, and Networks, Sydney, NSW, IEEE, pp. 281288, May 79.
Nigussie, E. , Lehtonen, T. , Tuuna, S. , Plosila, J. , and Isoaho, J. 2007. High-performance
long NoC link using delay-insensitive current-mode signaling, Journal of VLSI Design, Hidwai
Publishing Corporation, Article ID 46514, pp. 113.
Pan, Y. , Kumar, P. , Kim, J. , Memik, G. , Zhang, Y. , and Choudhary, A. 2009. Firefly:
Illuminating future network-on-chip with nanophotonics. International Symposium on Computer
Architecture, Austin, Texas, ACM, pp. 429440, June 2024.
Pande, P. P. , Grecu, C. , Jones, M. , Ivanov, A. , and Saleh, R. 2005. Performance evaluation
and design trade-offs for MP-SOC interconnect architectures. IEEE Transactions on
Computers, vol. 54, no. 8, pp. 10251040.
Pande, P. P. , Grecu, C. , Ivanov, A. , and Saleh, R. 2003. High-throughput switch-based
interconnect for future SoCs. Proceedings of IEEE International Workshop on System-on-Chip
for Real Time Applications, pp. 304310.
Patti, R. 2007. Impact of wafer-level 3-D stacking on the yield of ICs. Future Fab Int.
http://www.futurefab.com/documents.asp?d_id=4415.
Pavlidis, V. F. and Friedman, E. G. 2007. 3-D Topologies for networks-on-chip. IEEE
Transactions on VLSI Systems, vol. 15, no. 10, pp. 10811090.
Pavlidis, V. F. and Friedman, E. G. 2009. Three-Dimensional Integrated Circuit Design. Morgan
Kaufmann Publishers, Burlington, MA.
Petracca, M. , Bergman, K. , and Carloni, L. P. 2008. Photonic networks-on-chip: Opportunities
and challenges. Proceedings of IEEE International Symposium on Circuits and Systems,
Seattle, WA, IEEE, pp. 27892792, May 1821.
Savidis, I. , Alam, S. M. , Jain, A. , Pozder, S. , Jones, R. E. , and Chatterjee, R. 2010. Electrical
modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits.
Microelectronics Journal, Elsevier, vol. 41, pp. 916.
Shacham, A. , Bergman, K. , and Carloni, L. P. 2007. The case for low-power photonic
networks-on-chip. Proceedings of Design and Automation Conference (DAC), San Diego,
California, ACM, pp. 132135, June 48.
Topol, A. W. , Tulipe, D. C. L. , Shi, L. , Frank, D. J. , Bernstein, K. , Steen, S. E. , Kumar, A. ,
Singco, G. U. , Young, A. M. , Guarini, K. W. , and Ieong, M. 2006. Three-dimensional
integrated circuits. IBM Journal of Research and Development, vol. 50, nos. 4/5, p. 491.
Vantrease, D. , Schreiber, R. , Monchiero, M. , Mclaren, M. , Jouppi, N. P. , Fiorentino, M. ,
Davis, A. , Binkert, N. , Beausoleil, R. G. , and Ahn, J. H. 2008. Corona: System implications of
emerging nanophotonic technology. International Symposium on Computer Architecture,
Beijing, Peoples Republic of Chins, IEEE, pp. 153164, June 2125.
Ye, Y. , Duan, L. , Xu, J. , Ouyang, J. , Hung, M. K. , and Xie, Y. 2009. 3D optical networks-on-
chip (NoC) for multiprocessor systems-on-chip (MPSoC). Proceedings of IEEE International
Conference on 3D System Integration, San Francisco, CA, IEEE, pp. 16, September 2830.
Zhang, H. , George, V. , and Rabaey, J. M. 2000. Low swing on-chip signaling techniques:
Effectiveness and robustness. IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 8, no. 3, pp. 264272.

Conclusions and Future Trends


Deb, S. , Ganguly, A. , Pande, P. P. , Belzer, B. , and Heo, D. 2012. Wireless NoC as
interconnection backbone for multicore chips: Promises and challenges. IEEE Journal on
Emerging and Selected Topics in Circuits and Systems, pp. 228-239.
Petracca, M. , Lee, B. G. , Bergman, K. , and Carloni, L. P. 2009. Photonic NoCs: System-level
design exploration, IEEE Micro, IEEE Computer Society, pp. 74-84, August.
Shacham, A. , Bergman, K. , and Carloni, L. P. 2007. On the design of a photonic network-on-
chip. Proceedings of the NOCS. Washington DC, pp. 53-64.
Ye, Y. , Duan, L. , Xu, J. , Ouyang, J. , Hung, M. K. , and Xie, Y. 2009. 3D optical network-on-
chip (NoC) for multiprocessor systems-on-chip (MPSoC). Proceedings of the 3D System
Integration.

You might also like