03 Applied Superconductivity
03 Applied Superconductivity
03 Applied Superconductivity
INTRODUCTION
The biological effects of electromagnetic elds is a large
and heterogeneous subject that spills over into biophysics,
medicine, engineering, and, more recently, epidemiology,
risk assessment, tort law, and public policy. Within this
literature, the biological effects of electromagnetic elds
comes up in a variety of contexts. More than 100 epidemiology studies have searched for possible associations between exposure to electromagnetic elds at either powerline or radiofrequencies and various health effects. Hundreds of other studies have, broadly, a toxicological focus
(i.e., they were designed to identify effects of electromagnetic elds that may have some bearing on human health
effects). Other studies have examined biological endpoints
with potential signicance to therapeutic applications of
electromagnetic elds. Still other studies are basic in orientation and involve biological endpoints that have no direct relevance for health and safety. This vast literature,
which includes hundreds of reports of biological effects of
electromagnetic elds, is far too extensive to review here.
(An Appendix will present selected references, available
online, to recent reports of expert groups on the subject.)
EXPOSURE AND DOSE
In toxicology, exposure is the concentration in air, water, or
food, or it is the intensity of a physical agent (an electromagnetic eld, in this case) in the external environment of
a subject. Dose is the amount of the agent delivered to the
organ that is the target of toxicity. Appropriate measures
of exposure include the following:
Ji = Ei
where is the conductivity (S/m) of the tissue. The
current density within the tissue can be a better predictor of biological effects than the internal or external
eld strength.
Specic absorption rate (SAR). For many effects reported from exposure to high frequency elds, the relevant measure of exposure is the SAR, dened as the
rate of heat generation in watts per kilogram of tissue,
in terms of the electric eld Ei in the tissue,
SAR = Ei2 /
(1)
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
250 V/m have been measured 30 cm from an electric blanket. By contrast, the strongest powerfrequency magnetic
elds found in ordinary environments are associated with
appliances. For example, the magnetic ux density near arc
welders, soldering guns, and other high current devices can
exceed 1 mT. Magnetic ux densities beneath high voltage
power lines rarely exceeds 2 T (all of these values are from
Reference 4).
High Frequency Fields (3 kHz to 300 GHz). Many technologies produce electromagnetic elds in this frequency
range, including industrial heating, radar, and communications applications.
Exposures to radiofrequency elds vary widely, depending on the proximity to transmitters. An Environmental
Protection Agency survey published in 1982 reported a median exposure level of 50 W/m2 time-averaged power density for the population of the 12 cities, with approximately
1% of the population studied, or about 380,000 persons,
potentially exposed to levels greater than 104 W/m2 (5).
Sources of potentially hazardous radiofrequency elds
are common in household and industrial environments.
Devices operating in the industrial-scientic-medical
bands at 27 MHz, 915 MHz, and 2450 MHz include microwave ovens and industrial heaters. Fields close to or
within such devices can be clearly hazardous, although the
systems are normally designed to prevent human exposure
at levels above recommended limits. Medical diathermy
units expose patients to radiofrequency elds (typically at
915 MHz or 2450 MHz) for purposes of heating.
Coupling Between External and Internal Fields
Static Fields. Body tissues are essentially nonmagnetic,
and at low frequencies the magnetic eld inside the body
is essentially the same as that immediately outside it.
However, body tissues are conductive, with high permittivity at low frequencies (Table 1) (6), which means that an
external DC electric eld will not induce an electric eld
within the body in the absence of body contact to a conductor. The external eld, however, will induce charges on the
outer surface of the body, which can result in strong electric
elds in the air near body edges (e.g., at the tips of hairs).
Low Frequency Fields. At low frequencies, the internal
and external magnetic elds are virtually identical, because of the nonmagnetic nature of tissues.
However, alternating electric and magnetic elds will
both induce electric elds within the body, which from a
perspective of health and safety can be signicant. These
induced elds depend on numerous parameters including
the frequency of exposure, geometry of the body, and so on.
The magnetically induced electric elds are determined
by Faradays Law
B/t = E
(2)
(3)
2 f B0 / 2 4 2
1/2
J=
(b x + a4 y2 )
(4)
a2 + b 2
where a and b are the two shorter semi-axes, which are assumed to be directed along the x and y axes (7). Thus, the
magnetically induced electric eld in this model is proportional to the distance from its center.
The electrically induced electric elds within the body
depend on the shape of the body and whether it is
grounded. For an ungrounded spherical object in air whose
dielectric properties are typical of those of tissue, the electrically induced electric eld E can be found by solution of
Laplaces equation to be (8)
E=
3 f Eo o
(5)
Table 1. Permittivity (Relative to Vacuum) and Conductivity (S/m) of Tissues at 37 C (Adapted from Reference 6)a
Tissue
10 kHz
bone
fat
blood
muscle (perpendicular to bers)b
muscle (parallel to bers)
640
30000
2800
70000
80000
1 MHz
0.01
0.02.07
0.7
0.085
0.55
87
2040
12105
100 MHz
0.02
.02.07
0.7
0.60.9
23
4.57
67
6770
10 GHz
0.06
02.07
1.0
0.91.0
50
47
45
4042
0.51.7
0.30.4
910
89
a
Considerable variability exists in the dielectric properties of tissue. Listed values are either typical values or ranges of
reported data.
b
No data exist for oriented muscle at high frequencies. However, anisotropy is less pronounced at high frequencies.
Table 2. Induced Current Density Associated with Biological Effects of Alternating Magnetic Fields
Induced Current Density (mA/m2 )
1000
Order
of
magnitude
ux density
(50/60
Hz)
needed to induce current
density
in
human body
>
100 mT
1001000
10100 mT
10100
110 mT
110
011 mT
<1
<
0.1 mT
IEEE 2002
ICNIRP 1998
ACGIH 2000
20
2.7
1.5
8.3
0.4
1.0
25
1.0
1.0
5
0.9
0.5
4.2
0.08
0.5
n/a
n/a
n/a
Figure 1. Fields induced in a grounded man standing in a kV/m60 Hz electric eld. The current entering the body (from capacitive
coupling with the eld) and current densities in the body are indicated. (From Reference 3 with permission).
at an incident power density of 10 W/m2 . At low frequencies, the SAR is proportional to the square of the frequency,
as expected from equations 3 and 5. The whole body SAR
exhibits a maximum near 70 MHz (for an ellipsoid modeling an adult human in a vertically polarized eld) because
of an electrical resonance resulting from the properties of
the body as an antenna.
When irradiated with waves whose frequency is near or
above the resonant frequency, the SAR within the body becomes nonuniform. Close to the resonant peak, the SAR is
maximum in the center of the object. At frequencies above
about 10 GHz, the exposure comes to resemble plane-wave
irradiation of a tissue plane. In that case, the energy penetration depth (6) is given by
=
3.38 105
f
(6)
1 (tan())2
)
2 f o
(7)
Io ex/
(8)
Figure 3. Maximum permissible exposure for persons in controlled (dashed line) and uncontrolled (solid line) environments.
These correspond approximately to occupational and general public exposure limits.
change in the permeability of the bloodbrain barrier permeability in rats from exposure to 1.5-T magnetic elds
(33). This effect (which is so far unconrmed by independent studies) remains poorly understood and its implications for health and safety are unclear. Blondin et al. reported the threshold for human perception of DC electric
elds to be 4045 kV/m (34).
Some clinical devices use pulsed magnetic elds to stimulate nerve and muscle tissues (43, 44), which typically
employ pulsed magnetic elds, usually of millisecond duration and with magnetic slew rates (dB/dt) of tens of T/s,
and peak magnetic elds ranging from several hundred mT
to several T.
Other effects such as headache and general discomfort
(45) have been reported in humans at ux densities > 60
mT (50/60 Hz) and may involve neurological effects. However, the literature on these effects is sparse and variable
in quality, and the mechanisms for the effects are not well
understood.
The threshold for painful stimulation under similar exposure conditions would be 50100 times higher for these
exposure conditions. However, the thresholds for perception and pain are likely to vary greatly, depending on the
location of the exposed surface, duration of exposure, and
other variables.
Thermoregulatory Effects
If the heat load to the body is comparable with, or even
below, rates of heat generation by metabolism, biological
effects can occur as a result of the normal operation of the
thermoregulatory mechanisms. Characteristic thermoregulatory changes include alterations in blood ow, respiration, sweating, and many more subtle physiological responses. Various subtle physiological effects observed in
animals after moderate exposure to microwaves can be
interpreted as normal thermoregulatory responses, and
various reported synergistic interactions of drugs and microwave exposure might likewise have a thermoregulatory
component (54). The basal metabolic rate in man is about
1 W/kg of body mass, and whole-body exposures somewhat
above and below this level can be expected to produce thermoregulatory responses.
Radiofrequency Fields. Public concerns that use of mobile telephones might cause brain cancer were triggered
by a story broadcast on a United States television show in
1993 by a man whose wife had used a mobile telephone and
subsequently developed brain cancer, which he attributed
to the effects of the phone. Such observations have obvious weaknesses for documenting cause-and-effect relationships: many millions of people use mobile telephones and
an incidence of the disease in the United States is 1520
new cases per hundred thousand people per year, and consequently many users of mobile phones will develop brain
cancer every year even if no causal link to the phones exist. In the intervening decade, more than a dozen largescale epidemiology studies, and numerous animal studies,
have been undertaken (for recent reviews, see Ahlbom et
al. (62) and Moulder et al. (63). Several massive epidemiology studies are nearly completed and much more data will
become available on this issue in coming years; present
results are generally negative but insufcient to persuade
most health agencies that no hazards (cancer or otherwise)
are associated with use of mobile telephones. The problem
is complicated by the largely unknown cause of brain cancer in its various forms, by the long latency (time between
initiation of the tumor and its clinical detection in a patient), and by the rapidly changing technology of wireless
communications.
EXPOSURE LIMITS
Several government and nongovernment agencies have established guidelines for human exposure to electromagnetic elds. The standards are complex, and the reader is
referred to the original documents for authoritative information about the standards and how they would be applied.
DC Fields
Few standards exist for exposure to DC electric and
magnetic elds. The International Commission on NonIonizing Radiation Protection (ICNIRP) set a limit for occupational exposure of 2T, with a time-weighted-average
of 0.2 T over a workday (64). Similar limits have also been
set by the National Radiation Protection Board (NRPB) in
the United Kingdom and the American Conference of Governmental Industrial Hygienists (ACGIH), as well as other
agencies. Exposure limits set by various agencies for static
electric elds are in the range of 2560 kV/m. It should be
noted that these limits are designed to protect against possible health effects of the elds; protection of patients with
implantable devices undergoing MRI examination (for example) is a different matter entirely.
Low Frequency Electric and Magnetic Fields
Several agencies have established exposure standards for
both powerfrequency electric and magnetic elds. Most
are designed to limit magnetically induced current densities within the body to 10 mA/m2 at 50/60 Hz, on the assumption that induced currents below the levels of endogenous currents are unlikely to be hazardous. Other limits
apply to contact current, which is introduced into the body
by contact with an external conductor in a eld. Exposure
limits set by U.S. and European agencies are in the range
of 0.57 mT for magnetic ux density and 1030 kV/m for
electric elds of 50/60 Hz (Table 4).
Radiofrequency Energy
The exposure standard for RF elds that is most widely
adopted around the world is that of the International Commission for Non-Ionizing Radiation Protection (ICNIRP);
another and quite similar standard is IEEE C95.1-2005
(65).
The ICNIRP and IEEE C95.1 exposure guidelines provide two sets of limits: basic restrictions and reference levels. Basic restrictions are limits based on health effects
and specify the maximum elds or SAR that exist within
the body from exposure to elds. As the induced eld or
SAR within the body is not practical to determine in most
practical settings, both ICNIRP and IEEE C95.1 also provide a set of reference levels. These levels are the strengths
of the elds outside the body, or incident power density,
that would ensure compliance with the basic restrictions.
The guidelines allow exposures above the reference levels, but in that case, a detailed exposure assessment would
be needed to ensure that the basic restrictions are satised. In addition, ICNIRP and IEEE C95.1 have two tiers
of limits that correspond to limits for occupational groups
and the general population (ICNIRP) or for persons in
controlled and uncontrolled environments (IEEE
C95.1). A controlled environment in the IEEE limits is
one in which occupancy of a person is subject to control and
accountability as established by an RF safety program.
Both the ICNIRP and IEEE C95.1 limits have been criticized at times as being thermal and not protective against
athermal hazards. Indeed, they are designed to protect
against hazards of RF energy (e.g., burns, shock, excessive
10
heat load to the body), which are characteristically associated with short-term exposures to elds at high levels. Both
ICNIRP and IEEE C95.1 acknowledge that athermal biological effects have been reported by some scientists from
low level exposures to RF energy, but the standards-setting
committees considered the evidence that is presently available about athermal effects to be insufcient to use to develop exposure guidelines. Thus, overall, the literature on
athermal effects of AM electromagnetic elds is so complex,
the validity of reported effects so poorly established, and
the relevance of the effects to human health is so uncertain, that it is impossible to use this body of information
as a basis for setting limits on human exposure to these
elds (ICNIRP).
Controversies and Unresolved Issues
The biological effects of RF and microwave energy has been
remarkably contentious for many years, which is a common
situation with environmental health issues of all sorts, in
part because of the inevitable gaps and ambiguities in the
data, and in part because of different perceptions by different stakeholders about the interpretation of the data. In
common with other environmental health issues, the scientic literature in this eld includes many studies that are
highly variable in relevance to health and scientic quality. People who are inclined to worry can pick and choose
data to support their fears even as health agencies review
the broader literature and fail to nd persuasive evidence
for a health problem.
Particularly intense controversies have swirled for
decades over concerns expressed by many people that exposure to electromagnetic elds, of some sort, might be
linked to some form of cancer. Specic concerns have developed about the following: power frequency magnetic elds
and childhood leukemia or brain cancer, police radar detectors and testicular cancer, mobile telephone handsets and
benign or malignant brain tumors, and living near radio
transmitters and childhood leukemia. Despite these concerns, careful reviews by health agencies (some of which
are cited in the Appendix and are readily available on the
Internet) have consistently failed to nd persuasive evidence for any health effects from exposure to electromagnetic elds below IEEE or ICNIRP exposure limits.
DISCUSSION
In virtually all ordinary environments in modem society,
the eld levels that are present are very far below recommended (ICNIRP or IEEE) exposure limits. Limits, for
radiofrequency energy, are generally exceeded only very
close to high powered transmitters, which are hardly ever
present in ordinary environments but may occur in some
occupational settings. Few injuries are reported from exposure to radiofrequency elds, and still fewer from exposure
to low frequency elds. The electrical accidents that are reported more commonly involve contact with charged conductors. For example, construction workers near AM broadcasting facilities can suffer electrical bums from radiofrequency currents induced in cranes or other large metal objects near the transmitters. In the United States, acciden-
BIBLIOGRAPHY
1. Stuchly, M. A. Human Exposure to Static and Time-varying
Magnetic Fields. Health Phys. 51, 1986, pp 215225.
2. Budinger, T. F. et al.Biological Effects of Static Magnetic
Fields. Proc. 3rd Annual Meeting of the Society for Magnetic
Resonance in Medicine:Society for Magnetic Resonance in
Medicine, Berkeley, 1984, pp. 113114.
3. Carstensen, E. L. Biological Effects of Transmission Line
Fields. Elsevier: New York, 1987, p 12.
4. ibid.
5. Tell, R. A.; Mantiply, E. D. Population Exposure to VHF and
UHF Broadcast Radiation in the United States. Radio Sci.
1982, 17, (5S), 39S47S.
6. Foster, K. R.; Schwan, H. P. Dielectric Properties of Tissues.
In C., Polk; E., Postow, Eds.; Handbook of Biological Effects of
Electromagnetic Fields; 2nd Ed.; CRC Press: Boca Raton, FL,
1996, pp 27106.
7. Tenforde, T. S. Interaction of ELF Magnetic Fields with Living
systems. In Polk, C.; Postow, E., Eds.; Handbook of Biological
Effects of Electromagnetic Fields; 2nd ed.; CRC Press: Boca
Raton, FL, 1996, p. 189.
8. Foster, K. R.; Schwan, H. P. Dielectric Properties of Tissues.
In C., Polk; E., Postow, Eds.; Handbook of Biological Effects of
Electromagnetic Fields; 2nd Ed.; CRC Press: Boca Raton, FL,
1996, pp 27106.
9. Guy, A. W.; Davidow, S.; Yang, G.-Y.; Chou, C.-K. Determination
of Electric Current Distributions in Animals and Humans Exposed to a Uniform 60 Hz High Intensity Electric Field. Bioelectromagnetics, 1982, 3, pp 4771.
10. Durney, C. H.; Massoudi, H.; Iskander, M. F. Radiofrequency Radiation Dosimetry Handbook; 4th ed.; Brooks Air
Force Base: San Antonio, TX, Report USAFSAM-TR-85-73.
http:www.brooks.af.mil/AL/OE/OER/handbook/cover.htm.
11. ibid.
12. Schwan, H. P.; Foster, K. R. RF-eld Interactions with Biological Systems: Electrical Properties and Biophysical Mechanisms. Proc. IEEE 1980, 68, pp 104113.
13. Valberg, P. A.; Kavet, R.; Rafferty, C. N. Can low-level 50/60 Hz
Electric and Magnetic Fields Cause Biological Effects?, Rad.
Res. 1997, 148, pp 221.
14. Tenforde, T. S. Biological Interactions and Potential Health Effects of Extremely Low Frequency Magnetic Fields from Power
Lines and Other Common Sources. Ann. Rev Public Health.
1992, 13, 173196.
15. World Health Organization. Environmental Health Criteria.
69. Experimental Data on the Biological Effects of Static Magnetic Fields. World Health Organization: Geneva, 1987.
16. International Non-Ionizing Radiation Committee of the International Radiation Protection Association. Interim Guidelines on Limits of Exposure to 50/60 Hz Electric and Magnetic
Fields. Health Phys. 1990, 58, pp 113122.
17. Foster, K. R.; Finch, E. D. Microwave Hearing: Evidence for
Thermoacoustic Auditory Stimulation by Pulsed Microwaves,
Science 1974, 185, 256258.
18. Wachtel, H.; Brown, D.; Bassen, H. Critical Durations of
Pulse Microwave Exposures that Evoke Body Movements, Bioelectromagnetics Society 12th Annual Meeting San Antonio,
TX,1014 June, 1990, p 55.
19. Barnes, F. S. Cell Membrane Temperature Rate Sensitivity Predicted from the Nernst Equation, Bioelectromagnetics
1984, 5, pp 113115.
11
20. Lee, R. C.; Gaylor, D. C.; Bhatt, D.; Israel, D. A.; Role of Cell
Membrane Rupture in the Pathogenesis of Electrical Trauma.
J. Surg. Res. 1988, 44, pp 709719.
21. Kalmijn, A. J. Electric and Magnetic Field Detection in Elasmobranch Fishes. Science 1982, 218, pp 916917.
22. Geddes, L. A. Ed. Handbook of Electrical Hazards and Accidents. CRC Press: Boca Raton, FL, 1995, pp 4448.
23. Foster, K. R., Sauer, F. A., Schwan, H. P. Electrorotation and
Levitation of Cells and Colloidal Particles, Biophys. J. 1992,
63, pp 180190.
24. Frankel, R. B.; Blakemore, R. P.; Wolfe, R. S. Magnetite in
Freshwater Magnetotactic Bacteria. Science 1979, 203, pp
13551356.
25. Kirschvink, J. L.; Kobayaski-Kirschvink, A.; Diaz-Ricci, J. C.;
Kirschvink, S. J.; Magnetite in Human Tissues: A Mechanism
for the Biological Effects of Weak ELF Magnetic Fields. Bioelectromagnetics, Suppl. 1992, 1, pp 101113.
26. Vales, J. M. Jr.; Lin, K.; Deingre, J. M.; Mowry, K. L., Stable
Magnetic Field Gradient Levitation of Xenopus Laevis:Toward
Low-Gravity Simulation. Biophys. J. 1997, 73, pp 11301133.
27. Tenforde, T. S. Interaction Mechanisms and Biological Effects
of Static Magnetic Fields. Automedica 1992, 14, pp 271293.
28. Tenforde, T. S.; Gaffey, C. T.; Moyer, B. R.; Budinger, T. F., Cardiovascular Alterations in Macaca Monkeys Exposed to Stationary Magnetic Fields: Experimental Observations and Theoretical Analysis. Bioelectromagnetics 1983, 4, pp 19.
29. Schulten, K. Magnetic Field Effects in Chemistry and Biology.
Adv. Solid State Phys. 1982, 22, pp 6183.
30. McLauchlan, K. Are Environmental Magnetic Fields Dangerous? Physics World 1992, 5, pp 4145.
31. Scaiano, J. C.; Mohtat, N.; Cozens, F. L.; McLean, J.; Thansandote, A. Application of the Radical Pair Mechanism to Free
Radicals in Organized Systems: Can the Effects of 60 Hz be
Predicted from Studies Under Static Fields? Bioelectromagnetics 1994, 15, pp 549554.
32. Schenck, J. F. Health and Physiological Effects of Human
Exposure to Whole-body Four-Tesla Magnetic Fields During
MRI. Ann. NY Acad. Sci. 1992, 649, 285301.
33. Prato, F. S.; Wills, J. M.; Frappier, J. R. H.; Drost, D. J.; Lee, T.
-Y.; Shivers, R. R.; Zabel, P. Blood-brain Barrier Permeability
in Rats is Altered by Exposure to Magnetic Fields Associated
with Magnetic Resonance Imaging at 1.5 T. Micro. Res. Tech.
1994, 27, pp 528534.
34. Blondin, J. P.; Nguyen, D. -C.; Sbeghen, J.; Goulet, D.; Cardinal,
C.; Maruvada, P. S.; Plante, M.; Bailey, W. H. Human Perception of Electric Fields and Ion Currents Associated with Highvoltage DC Transmission Lines. Bioelectromagnetics 1996, 17,
pp 230241.
35. Zaffanella, L. E.; Deno, D. W. Electrostatic and Electromagnetic Effects of Ultra-high-voltage Transmission
Lines.Electric Power Research Institute: Palo Alto, CA, Final
Report EL-802, 1978.
36. Cabanes, J.; Gary, C. La Perception Directe du Champ Electrique. CIGRE Report 233-08, 1981.
37. Bernhardt, J. H. The Establishment of Frequency Dependent
Limits for Electric and Magnetic Fields and Evaluation of
Indirect Effects. Radiat. Environ. Biophys. 1988, 27, pp 1
27.
38. Jalinous, R.; Barker, A. T.; Freeston, I. L. The Design, Construction, and Performance of a Magnetic Nerve Stimulator. IEEE
Int. Conf. Electric Magnetic Fields in Medicine and Biology,
London, December 45, 1985, pp 5963
12
39. Barker, A. T.; Jalinous, R.; Freeston, I. L. Non-invasive Magnetic Stimulation of Human Motor Cortex. Lancet 1985, 1, pp
11061107.
40. Roy, O. Z., Summary of Cardiac Fibrillation Thresholds for 60
Hz Currents and Voltages Applied Directly to the Heart. Med.
Biol. Eng. Comp. 1980, 18, pp 657659.
41. National Radiological Protection Board (NRPB). Advice on Acceptable Limits of Exposure to Nuclear Magnetic Resonance
Imaging. Her Majestys Stationery Ofce (HMSO): Chilton,
Didcot, 1984.
42. Reilly, J. P., Electrical Stimulation and Electropathology. Cambridge University Press: Cambridge, 1992.
43. Irnich, W.; Schmitt, F. Magnetostimulation in MRI. Magn. Res.
Med. 1995, 33, 619623.
44. Silny, J. Stimulation Thresholds of the Time-varying Magnetic
Field in the Human Organism Bioelectromagnetics Society 8th
Annual Meeting, Madison, WI, June 15, 1986, p. 38.
45. Silny, J. Risks of Electromagnetic Fields for Humans. Versicherungsmedizin 1991, 43, pp 142148.
46. Polk, C. Electric and Magnetic Fields for Bone and Soft Tissue
Repair. In Handbook of Biological Effects of Electromagnetic,
Polk, C.;Postow, E. Eds.; CRC Press: Boca Raton, FL, 1996, p.
241.
47. Lovsund, P.; Oberg, P. A.; Nilsson, S. E. Quantitative Determination of Thresholds of Magnetophosphenes. Radio Sci. 1979,
14 (6S),pp 199200.
48. Seidel, D.; Knoll, M.; Eichmeier, J. Stimulation of Subjective
Appearance of Light (Phosphenes) in Man by Alternating
Magnetic Fields. Pugers Archiv. 1968, 299, pp 11.
49. Wachtel, H. Comparison of Endogenous Currents in and
Around Cells with those Induced by Exogenous Extremely
Low Frequency Magnetic Fields. In Advances in Chemistry,
Series 250; Blank, M., Ed., American Chemical Society: Washington, DC, 1995, pp 99107.
50. Blick, D. W.; Adair, E. R.; Hurt, W. D.; Sherry, C. J.; Walters,
T. J.; Merritt, J. H. Thresholds of Microwave-evoked Warmth
Sensations in Human Skin. Bioelectromagnetics. 1997, 18, pp.
403409.
51. Riu, P. J.; Foster, K. R.; Blick, D. W.; Adair, E. R. A Thermal
Model for Human Thresholds of Microwave-evoked Warmth
Sensations. Bioelectromagnetics. 1997, 18, pp. 578583.
52. Budd, R., Burns Associated with the Use of Microwave Ovens,
J. Microw. Power Electromagn. Energy, 1992, 27, pp 160
163.
53. Welsh, A. J. Heat Transfer in Medicine and Biology. In Laser
Irradiation of Tissue, Schitzer, A.;Eberhart, R. C. Eds.;Vol. 2.
Plenum Press: New York, 1985, p 167.
54. Adair, E. R. Thermoregulation in the Presence of Microwave
Fields. In Handbook of Biological Effects of Electromagnetic.
Polk, C.;Postow, E. Eds.; CRC Press: Boca Raton, FL, 1996, pp
403433.
55. Cleary, S. F. Microwave Cataractogenesis. Proc. IEEE 1980,
68, pp 4955.
56. Nawrot, P. S.; McRee, D. I.; Staples, R. E. Effects of 2.45 GHz
Microwave Radiation on Embryofetal Development in Mice.
Teratology 1981, 24, pp 303314.
57. DAndrea, J. A. Microwave Radiation Absorption: Behavioral
Effects. Health Phys. 1991, 61, 2940.
58. Wertheimer, N.; Leeper, E. Electrical Wiring Congurations and Childhood Cancer. Am.J.Epidemiol. 1979, 109, pp
273284.
KENNETH R. FOSTER
Department of Bioengineering,
University of Pennsylvania,
Philadelphia, Pennsylvania
In recent years, corporate modeling within business organizations has moved from standalone database applications to integrated and exible enterprise wide information systems. Computer modeling and planning tools such
as management information systems (MISs) and decision
support systems (DSSs) are widely used by corporate management to select alternatives based on key performance
measures. The ability of DSS to model the real world systems and to predict system performance relies heavily on
the capabilities of the model base of the DSS.
Each DSS is built primarily to optimize a particular
business problem such as inventory ordering policy, job
scheduling, or production planning. A typical supply chain
system might involve more than one business operation
and therefore require the development of multiple DSSs.
Often, no link exists between the corporate DSS applications used by different departments. Data within each of
these applications are conned to departmental or functional boundaries, rather than being integrated to be used
by multiple users for multiple purposes and at multiple
places (1). This lack of data collaboration and integration
between business processes and functions has limited the
organizations capability to evaluate the operational problems globally, which affects the competitiveness of the organization in the marketplace.
Strategic Models
Tactical Models
Database
Operational Models
DBMS
Functions:
-Creation-GenerationMaintenance-UpdateManipulation - Use
Figure 1. Components of DSS.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Resource
Planning
Product
Planning
Rough-Cut
Capacity
Planning
Master
Production
Scheduling
Detailed
Capacity
Planning
Material
Requirement
Planning
Demand
Management
Front End
Engine
Material
and Capacity
Planning
Order
Release
Purchasing
Back End
Shop-floor
Scheduling and
Control(SFC)
Venders
Scheduling and
Follow-up
System Maintenance
ERP software is distinct from traditional in-house software
in several ways (11, 12). Unique attributes of ERP software are as follows: (1) It is bought from a vendor versus
built in-house; (2) helpdesk and maintenance support are
available from the vendor versus being entirely internallysupported maintenance activities; and (3) the installed version is replaced by choosing from readily available versions
versus reengineering or rewriting the whole system internally (11). ERP maintenance and upgrade are not purely
internal issues nor are they 100% external matter controlled entirely by the vendor or a third party outsourcer,
although the ERP software vendor has signicant inuence on ERP client maintenance and upgrade activities.
Carney et al. (13) discusses the contractual details
on the vendors long-term responsibility for maintenance,
emergency upgrades (in case of patches to repair bugs),
and policy of expected upward compatibility of future releases of the software. Contractual agreements between
ERP vendors and organizations allows the organization to
spell out all the benets and costs of a maintenance project
and reduce the risks involved in maintenance projects.
can be reviewed and evaluated both internally and externally. This type of data sharing has caused vendors to shift
focus from developing an all-in-one solution toward developing best-of-breed applications based on different types of
industry.
ERP vendors began breaking up and componentizing
their suites to make them easier to integrate with each
other and with legacy systems. The most popular applications within ERP II that benet companies include customer relationship management (CRM) and supply chain
management (SCM). The relationships between the business functions are shown in Fig. 3.
Most of the leading ERP vendors offer Web enabled
packages and Web services for companies to provide realtime information collected from these applications to their
external suppliers and end-users. Deployment of ERP II
systems takes place through a single vendor with a variety
of best-of-breed application vendors. The integrated ERP
II systems usually have a base system that enables the installation of additional application modules either from its
own product line or from different application vendors.
INFRASTRUCTURE OF ERP SYSTEMS
ERP I Systems
Early ERP systems (ERP I) have focused on optimization
of the business operations and have attempted to integrate
functions from all departments into a single computer system. Each system uses a single database so that the various
departments can easily share information and communicate with each other (1, 2). To successfully implement ERP,
all processes in a company must conform to the models describing the best practices in the industry (9). It involves
reengineering the existing business processes to the best
business process standard (14). One weakness of ERP I systems is that they do not share corporate information with
external parties. Firms face a variety of demands from an
increasingly competitive business environment, and these
demands are forcing decision makers to share information
beyond the connes of their internal environment.
Firms are looking more into multi-enterprise collaboration with their external partners across their supply
chains. This shift in focus and needs is not only from the
perspective of the rm itself but also from all its associated partners. Shaei and Sundaram (2) consider ERP II
as an application and as a strategy to include participation of all relevant partners of the rm across its supply
chain, enabling collaborative commerce. The main reason
why ERP II came into existence was the need to look at a
way to give customers and partners access to scheduling,
delivery, inventory, manufacturing, invoicing, and planning
information. ERP II is further empowered by new technologies like the Internet and Web services, and this has made
usage convenient for users in remote locations (15, 16).
ERP II Systems
ERP II emphasizes open architecture and vertical-specic
functionality. Lin et al. (17) report that most ERP I solutions provided by vendors in 2000 were proprietary and
differ from each other signicantly. In ERP II systems, data
From the viewpoint of information technology infrastructure, ERP systems have three major components: enterprise-wide database, application modules, and
client/server system (19). The enterprise-wide database in
a particular ERP solution varies from one ERP vendor
to another depending on the data structure and database
schema. Commonly used databases include IBM DB2, Microsoft SQL Server, Oracle Database, and mySQL AB.
Database repositories check for redundancies of data collected from different applications throughout the enterprise and store them in a standardized format readable
and accessible by each of these applications. Application
modules may include SCM, CRM, PLM, and many others.
The user interface is one characteristic of the client/server
model and is usually graphical and interactive, which allows end-users to retrieve data from the back-end and to
perform reporting services.
Open Source Development
Open source development in information technology has
evolved from traditional client/server computing to Webbased Internet platforms. It is a practice that promotes
access to an end products source materials, typically the
source code. It gained popularity with the growth of the
Internet by enabling diverse production models, communication paths, and interactive communities. This shift of
focus has changed the ERP system architecture to a 4tiers Web-based architecture (17): Client, Web server, application server, and database server. Software vendors are
adapting to this architecture by developing Web services.
Typical Web services consist of plug-in modules that can
be installed on any Web portal using the same programming language. Some of these programming languages
such as PHP and J2EE are open source languages licensed
under the GNU General Public License (16). Many open
source software companies began developing ERP software
Invoice
Sales Order
Shipping
Accounts
Receivable
Master
Production
Schedule
General
Ledger
Accounts
Payable
Inventory
Management
Bill of
Materials
Work
Order
Payroll
Purchasing
and Lead Times
Routings
and Lead Times
built on these open source platforms. The increased adaptability and decreased reliance on a single supplier and reduced costs are the main reasons open source ERP has been
developed.
Web-Based Systems
Web services are the most preferred ERP modules developed today both commercially and through the open source
community. The usage of Web-based ERP portals provides
transparency of usage to suppliers and end-users alike
without having to install additional software packages in
their machines. This portal is accessible anywhere within
the local area network (LAN) using an Internet browser as
long as the end-users are granted the appropriate access
to the portal. The same Web portal can be congured to
be publicly accessible from the Internet, thus giving external suppliers and end-users the ability to modify and view
real-time corporate data using an Internet browser or an
enabled mobile device.
The efciency of an enterprise depends on the quick
ow of information across the complete supply chain, from
the manufacturers to the suppliers. One instance of an
ERP solution that achieves high efciency is an integrated
Web-based logistics management system for agile supply
CONCLUSIONS
An ERP system should be sufciently versatile to support different manufacturing environments like make-tostock, assemble-to-order, and engineer-to-order (20). Wellplanned implementations of ERP systems have rich functionality across all areas like sales, account receivables,
engineering, planning, inventory management, production,
purchase, accounts payable, quality management, production, job scheduling, and distribution planning.
It is expensive and time consuming for companies to implement ERP systems; companies can take many years to
implement ERP systems, and the costs ranges from $10
million for a moderate size company to over $100 million
for large international enterprises (22, 23). Product selection for ERP packages is difcult because of the continuous
evolvement of ERPs technology and functionality. Statistical analysis techniques such as regression analysis, logis-
BIBLIOGRAPHY
1. Y. John; K. Yim, A Study on an Environment of ERP Introduction, Proc. 2001 International Conferences on Info-tech and
Info-net, Beijing, China, Oct. 29Nov. 1, 2001.
2. F., Shaei; D. Sundaram, Multi-Enterprise Collaborative Enterprise Resource Planning and Decision Support Systems,
Proceedings of the. of the 37th Hawaii International Conference on System Sciences (HICCS 04):Hawaii, U.S.A, 2004.
3. J. Shepard; B. Locke; M. DAquila; K. Carter, Market Analytix Report: The Enterprise Resource Planning Report,
20042009. AMR Research Report, 2005.
4. D. M. Togut; E. Bloomberg, Morgan Stanley CIO Survey Series Release 4.5. Morgan Stanley Research Report, 2003.
5. Achieving, Measuring, and Communicating IT Value.Deloitte
Touche and IDG Research Services Group Report, 2002.
6. S. Baldwin, ERPs Second Wave: Maximizing the Value of
ERPEnabled Processes. Deloitte Consulting: Atlanta, U.S.A,
1998.
7. D. Oliver; C. Romm,Enterprise Resource Planning Systems:
An Outline Model Of Adoption; Proceedings of the GITM World
Conference:Memphis, TN, USA, June 1113, 2000.
8. C.J Stefanou, Supply Chain Management (SCM) and Organizational Key Factors for Successful Implementations of Enterprise Resource Planning (ERP) Systems; Proceedings of
AMCIS: Milwaukee, WI, USA, August 1315, 1999.
9. Y.F. Jarrar; A. Al-Mudimigh; M. Zairi ERP Implementation
Critical Success FactorsThe Role and Impact of Business
Process Management, Proceedings of the 2000 IEEE International Conference on Management of Innovation and Technology (ICMIT 2000): Singapore, Nov. 1215, 2000.
10. P. Bingi M.K Sharma J.K. Godla Critical Issues Affecting
an ERP Implementation, Information Systems Management,
Summer 1999.
11. C.S.P Ng, G. G. Cable, T. Chan, An ERP Maintenance Model,
Proceedings Proc. of the 36th Hawaii International Conference
on System Sciences (HICCS 03),Hawaii, U.S.A, 2003.
12. L. Markus; C. Tanis, The Enterprise Systems
ExperienceFrom Adoption to Success. In Framing the
Domains of IT Management: Projecting the Future Through
the Past, R. W. Zmud and M. F. Price, Eds. Pinnaex
Educational Resources: Cincinnati, OH, 1999; p. 173207.
13. D. Carney, S. A. Hissam, D. Plakosh, Complex COTSbased
Software System: Practical Steps for Their Maintenance,
Journal of Software. MaintenanceMaint.: Research Res. and
Practice, 2002, p 357376.
JIM LEE
CHUN HONG LAU
University of Louisiana at
Lafayette, Lafayette,
Louisiana
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
On the other hand, the semigroup approach has the advantage that several different types of PDEs and delay
equations can be included in the same theoretical formulation, and this formulation closely resembles that for ordinary differential equations (11, 12). The basic assumption is that the uncontrolled system can be modeled as a
strongly continuous semigroup. The attractive feature of
this approach is that it is more accessible to engineers (13)
and the theory naturally includes frequency-domain descriptions that are so useful in robust control design. A
wide range of control topics have been covered using this
description: linear control, dynamic compensators, linear
quadratic Gaussian and H-innity control, Kalman ltering, model reduction, servo problems, observer theory, P.I.
controllers, and adaptive control, to name just a few. The
best results have been obtained for linear systems with distributed control and observation; that is, there is sensing
and control distributed over the physical system. For systems that allow sensing and control at interior points or
on the boundary, the mathematical technicalities increase
dramatically. During the past decades, a theory for such a
class of well-posed linear systems has matured (14). The
key property of this class of well-posed linear systems is
that they are closed under composite congurations of cascade, parallel, and closed-loop connections. Many classic
control problems such as linear quadratic Gaussian and Hinnity control (15), (Riccati-) balanced realizations, tracking problems, passivity (16), and certain stabilization problems (17, 18) have been solved for this class of systems. The
price one has to pay for such a broad coverage is that the
step from the original PDE formulation to a semigroup one
is nontrivial and the results obtained for a particular PDE
example are not always the sharpest possible with dedicated PDE techniques. More recently, research has begun
on a wider classes of systems, where more general types of
semigroups are studied (19).
NONLINEAR PDES
Boston,
1992.
4. Lagnese, J. E.; Leugering, G.; Schmidt, E. J. P. G. Modeling,
Analysis and Control of Dynamic Elastic Multi-Link Structures; Birkhauser:
Boston, 1994.
5. Banks, H. T.; Smith, R. C.; Wang, Y. Smart Material Structures,
Modeling, Estimation and Control; Wiley: New York, 1996.
6. Smith, R.C. Smart Materials: Model Development, Vol 32 of
Frontiers in Applied Mathematics; SIAM: Philadelphia, 2005.
7. Banks, H. T.; Kunisch, K. Estimation Techniques for Distributed Parameter Systems; Birkhauser:
Boston, 1989.
8. Da Prato, G.; Zabczyk, J. Stochastic Equations in Innite Dimensions; Cambridge University Press: New York, 1992.
9. Lions, J. L. Controlabilite exacte, perturbations et stabilisation
de systmes distribues, Vols. 13; Masson: Paris, 1988.
10. Lasiecka, I.; Triggiani, R. Control Theory for Partial Differential Equations: Continuous and Approximation Theories, vol.I;
Abstract Parabolic Systems, Vol. II; Abstract Hyperbolic Like
BIBLIOGRAPHY
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
Systems over a Finite Time Horizon; Vols. 74,75 of the Encyclopedia of Mathematics and Applications; Cambridge University
Press: Boston, 2000.
Balakrishnan, A.V. Applied Functional Analysis; SpringerVerlag: Philadelphia, 1976.
Curtain, R. F.; Pritchard, A. J. Innite-Dimensional Linear
Systems Theory Vol. 8 in Lecture Notes in Control and Information Sciences; Springer-Verlag: Berlin, 1978.
Curtain, R. F.; Zwart, H. J. An Introduction to InniteDimensional Linear Systems Theory; Springer-Verlag: New
York, 1995.
Staffans, O. J. Well-Posed Linear Systems, Vol. 103 of the Encyclopedia of Mathematics and Applications; Cambridge University Press: Boston, 2005.
Mikkola, K. M. Innite-dimensional linear systems, optimal
control and Algebraic Riccati equations. Doctoral dissertation;
Helsinki University of Technology, Finland, 2002.
Staffans, O. J. Passive and Conservative Continuous-Time
Impedance and Scattering Systems. Part 1: Well-Posed Systems. Math. Contr. Signals Sys., 15, 2002, pp 291315.
Luo, Z. H.; Guo, B. Z.; Morgul, O. Stability and Stabilization
of Innite-Demiensional Systems with Applications; SpringerVerlag, Berlin, 1999.
Curtain, R. F.; Logemann, H. L.; Staffans, O. J., Stability Results of Popov-Type for Well-Posed Innite-Dimensional Systems with Application to Integral Control. Proc. London Math.
Soc., 86, 2003, pp 779816.
Opmeer, M. R. Distribution Semigroups and Control Systems.
J. Evolution Equations, 2006, pp 145159.
Walker, J. A., Dynamical Systems and Evolution Equations:
Theory and Applications, Plenum Press: New York, 1980.
Chen, G., Lasiecka, I., Zhou, J. Eds. Control of Nonlinear
Distributed Parameter Systems; Marcel Dekker: New York,
2001.
Li, X.; Yong, J., Optimal Control Theory for InniteDimensional Systems. Birkhauser:
Boston, 1994.
Fattorini, H. O. Innite Dimensional Optimization and Control Theory, Vol.62 of the Encyclopedia of Mathematics and
Applications; Cambridge University Press, Boston, 1999.
Ahmed, N. U.; Teo, K. L. Optimal Control of Distributed Parameter Systems. North Holland: Oxford, 1981.
Delfour, M. C. Shape Optimization and Free Boundaries, Series C: Mathematical and Physical Sciences; Kluwer Acadmic
Publishers: Dordrecht, 1992.
Smith, R. C. and Demetriou, M. A. Eds. Research Drections in
Distributed Parameter Systems; SIAM: Philadelphia, 2003.
RUTH CURTAIN
University of Groningen,
Groningen, The Netherlands
58
(010)-Direction
A ion
B ion
(100)-Direction
(001)-Direction
59
tors were discovered, the record for the maximum Tc has always been held by members of the A15 family.
All metallic materials being superconducting in the range
from 14 K to 23 K have the crystal structure of the A15s. The
high superconducting transition temperature Tc for V3Si and
Nb3Sn has been discovered by Hardy and Hulm (5) in 1953
and Matthias et al. (6) in 1954. A15 materials are expected
not to be superconducting above 25 K (7), due to the increasing instability of their structure related to the electron
phonon interaction.
Nevertheless, there are compounds showing the A15 structure which do not have a remarkably high Tc. For example,
the intermetallic compound containing Nb and osmium (Os),
that is, Nb3Os, has rather a low one: Tc 1 K (3), whereas
the compound of V with cobalt (Co), that is, V3Co, does not
show superconductivity at all, down to a temperature of T
0.015 K (8). That fact made the search for an explanation for
the qualities of those materials even more difficult.
In general, it is possible to describe the physical phenomena relevant for the A15s, for example, the high superconducting transition temperature, which is also related to the
BCS formula (9), by one-dimensionality, partially localized
states near to or at the Fermi level, elastic softness, and
strong electronphonon coupling.
In the A15s, a nonatomic diffusion, characterized by an
atomic motion during the transformation at low temperatures, not exceeding the distance of an unit cells size, takes
place. Such martensitic transformations are quite common in
solids. The most famous example is an alloy of iron (Fe) and
carbon (C), the FeC transformation to the Fe3C, the bcc-iron
or martensite. The martensitic transformation in A15, above
Tm (martensitic phase transition temperature) is from cubic
A15 to a more tetragonal structure at a few tenths of a kelvin
below this temperature. This transformation has been observed first at V3Si and Nb3Sn by Batterman and Barrett (10)
by x-ray diffraction.
Further investigations have been made by susceptibility
and NMR measurement of Knight Shift and nuclear spin lattice relaxation rate (11). Results are that, in the superconducting state, the A15s have the behavior of type-II-superconductors. It is remarkable that, the higher the transition
temperature, the higher the temperature dependency of the
susceptibility becomes.
The development of technically usable A15s was correlated
to the research work done for conductors having a high critical temperature Tc, and being adequate for the production of
high-field magnets.
Early progress for commercial use has been achieved with
powder metallurgical systems, by filling Nb and Sn powder
into a Nb tube, compacting the powder, and drawing the entire piece to the final wire diameter. Other methods targeting
the production of tapes, which was possible by passing tapes
of the substrate material, for example, V or Nb, through a
bath of molten Ga or Sn, respectively. Additional experiments
Cr3Si
Mo3Ir
Nb3Al
Nb3Au
Nb3Ga
Nb3Ge
Nb3Sn
Ta3Sn
Ti3Ir
V3Al
V3Ga
V3Ge
V3In
V3Si
Tc
1.2
8.5
19.1
11.3
20.7
23.2
18.2
5.8
4.3
9.6
14.8
14
17.1
60
61
62
Sample
7.7 at. % Sn
8.5 at. % Sn
Nb, original
Nb Core
Degree of
Deformation
(%)
Heat-Treatment
Time (h)
Temperature
(C)
Hardness
(N/mm2)
0
21
27
21
21
21
0
21
21
21
21
0
25
57
68
75
97
99.7
1
5
8
1
5
8
1
1
1
500
500
500
400
400
400
550
550
550
1260
2230
2330
1340
1160
1140
1350
2560
1640
1370
1330
1020
1330
1480
1460
1530
1510
2030
ing element of this process. Those subelements may be surrounded by Nb or Ta barriers, to protect the stabilizing Cu
from diffusion of the Sn. This Cu is the outer tube into which
the subelements are inserted. The Cu-Nb-Sn composite is
cold-worked to final dimension, without intermediate annealing. The bronze matrix is formed when the conductor already
is in its final shape. Therefore, the heat treatment needs two
cycles. The first typically starts at 200C for 100 h, continues
at 375C for 24 h, and finishes at 580C for 50 h. It is needed
for the homogenization of the Cu-Sn bronze, containing different phases according to the phase diagram in Fig. 4 (23). A
consecutive second heat treatment of one or more steps is necessary to form the Nb3Sn layer. The typical temperature is
around 725C. For a better distribution of the Sn, methods of
placing it rather close to the filaments instead, as a core in
the center of the subelements, is used, resulting in reduced
heat-treatment time and a homogeneous A15 layer distribution over the cross section of the conductor (24).
In Situ Process
Casting ingots of two-phase Cu-Nb or Cu-V with dendrites
dispersed into the matrix of Cu, cold-working, and then coating with Sn or Ga, are the basic steps to start this process
[Fig. 5(a)]. To reduce the likelihood of wire-breakage, a bronze
matrix may be used. After dispersing the Sn or Ga throughout
the matrix, Nb3Sn or V3Ga are formed during the heat treatment at the interfaces between the matrix and the filaments.
Highly homogeneous Cu-V ingots are difficult to produce by
conventional casting methods, due to a large miscibility gap
in the liquid region. A continuous arc casting plus Ga coating
give the opportunity to optimize the material toward better
mechanical values and better current-carrying capacity.
Large ingots up to 150 mm in diameter [Fig. 5(b)] have been
produced by smelting the Cu-Nb into a CaO mold for solidification. Wires produced thereof have shown, after heat treatment at 800C for 25 h, good mechanical values in untwisted
condition. No degradation is found up to 1.2% strain, but in
Preconductor billet
Final preconductor
Extrusion
drawing
annealing
Bundling
Bundling
Extrusion
drawing
annealing
Nb/CuSn core
63
CuSn tube
Cu tube + Ta barrier
Final conductor
10
1300
20
30
Cu-Sn-Concentration
70
50
60
40
20
900
80 %Gew
25
30
90
35
40
798
15.5 (25.5)
13.1
755
(22.0)
700
676
640
640
600
586
582
590
14.9 (24.6)
520
500
16.5 (27.0)
800
1100
1083
1000
900
Temperature
800
798
7.7
(13.5)
19.1 (30.6)
700
400
640
43.1 (58.6)
600
500
586
9.1
520
9.1
(15.8)
300
10
45.5 (59.0)
350
20
25
30
86.7 (92.4)
415
6.2 (11.0)
45.5 (60.9)
227
232
200
0.7 (1.3)
100
15
400
300
0
Cu
10
20
30
40
50
60
Cu-Sn-Concentration
186
70
98.7 (99.3)
80
90 %At. 100
Sn
64
Nb
Cu
;;
Casting
Drawing
Sn planting
(a)
(b)
Figure 5. (a) Schematic diagram of in situ process of Cu-Nb composite (courtesy of Fujikura).
(b) Transverse section showing Nb dendrite solidified in Cu mold (courtesy of Fujikura).
21.5
T
[C]
2000
A2
1940C
251
Heating
current
Supply reel
Winding-up
reel
Analyzing
recorder
Electrode
pulley
Heating voltage
10
cm
22.50.5
65
1500
A2+A15
A15+
A15
In vacuum
2105 Torr
Ga bath
Coolant
Electrode
Power source
dc constant
voltage
Nb Al
1000
17
20
25
at % Al
cause many production techniques failed to get stoichiometric Nb3Al, numbers of different approaches have been tried,
like laser alloying or rapid quenching by melt spinning. Powder metallurgical processes are unfavorable, because of the
high oxygen (O) content in the powder, which does not allow
the high deformation rate required. To get reliable conductor
lengths, especially for magnets with magnetic fields above 21
T, a distinct rapid-quench process has been established (30).
By the jelly roll technology, the wire consisting of several elements made from Nb and Al sheets wrapped around an Nb
core is produced, using multistacking and extrusion procedures, resulting in a cross-section pattern, as can be seen in
Fig. 7. The wire produced this way is subject to ohmic heating
at 1900C to 2000C, and rapidly but continuously quenched
in a bath of molten Ga (melting point: 30C); see Fig. 8. Such
a treatment leads to a supersaturated Nb-Al-bcc phase. This
metastable phase is transformed by a heat treatment of 700C
to 900C to microcrystalline A15 of nearly stoichiometric composition. The resistivity ratio RRR in the Nb matrix has a
value of about 17, giving reduced concern about bridging of
filaments in this kind of conductor. Due to the processing, the
wire consists of Nb-Al only, with access of Nb. For the use at
a higher current J, Cu has to be clad, in order to stabilize
the wires.
BRONZE CONDUCTORS
To fabricate high-field magnets, flexible tapes, having the advantage of a small distance from the brittle A15 compound to
the neutral phase in bending direction, have been used successfully. The large area-to-thickness ratio of the A15 layer
leads to instability (flux jumps), especially if magnets have a
rapid ramping rate. The solid-state diffusion process, as used
for bronze conductors of Nb3Sn and V3Ga (31), has solved this
problem, by dividing the core material into plenty of fine filaments. The formation of A15 layers is principally limited by
the amount of Sn and Ga in the bronze. The solubility of Sn
in Cu is 8.5 at.% and for Ga in Cu is 20 at.%. Bronze with
about 7.5 at.% Sn or about 18 at.% Ga has been used. The
66
8.5
b
Maximum
Area Ratio
ANb3Sn /ACu(Sn)
Remaining Tin
Concentration
(at. % (calculated)]
Transition
Temperature
Tc (K)
700
730
750
750
0.15
0.18
0.26
0.30
5.1
4.6
3.3
3.4
17.5 a
Bronze
Nb
Nb3Sn
18.1 b
18.04 b
Heat-treatment time: 24 h.
Heat-treatment time: 66 h.
Direction of
diffusion
Kirkendall
void
to arrive with technically and commercially usable conductors. While the basic components, Nb or V, electron beam- or
arc-melted, are high-purity materials of excellent ductility,
they are sensitive to imbrittlement by interstitials of oxygen
(O), nitrogen (N), or C. This is especially true for the V, but
the more problematic part is the bronze; see Table 2. Normally Cu-Sn bronze contains about 10 wt.% Sn, and as desoxidizer phosphorus (P) is used. In the Nb-Sn system, P prevents the diffusion procedure. The amount of Sn should be as
close as possible to the solubility limit of 8.5 at.%. For many
years, the technically attainable Sn content was limited to
about 8 at.%. Newer processes made homogeneous bronze at
8.5 at.% Sn available (33). The positive influence of the Sn
content on Jc is shown in Fig. 10. During cold work, the hardness of the bronze is increasing rapidly, as shown in Table 2,
and a significant number of intermediate heat treatments
have to be applied. From the workability point of view, this is
certainly a disadvantage of the bronze process.
STABILIZATION AND BARRIERS
To have maximum Jc, the core material has to be converted
into A15, theoretically to 100%. Regarding mechanical and
electrical stability, a small core of unreacted material, even
in fine filaments, has a positive influence on the overall per-
1500
Matrix:
Cu-15 % Sn-0.3 % Ti
Non Cu Jc (A/mm2)
Diffusion
Temperature
(C)
650C 100 h
1000
670C 100 h
Matrix:
Cu-14 % Sn-0.3 % Ti
500
T = 4.2K
Ec = 10 V/m
0
10
12
13
14
11
Applied magnetic field (T)
15
16
400
200
0
200
400
3
0
1
Applied field (T)
(a)
200
Peak due to Nb
Magnetization (kA/m)
150
100
50
0
50
100
150
200
3
Ta barrier
Nb backed Ta barrier
2
0
1
Applied field (T)
(b)
formance of the conductor. For reasons of electrical and thermal stabilization, for example, during the occurrence of a
quench, which may result from wire movement in connection
with energy dissipation, it is necessary to have a highly conductive material in the cross section. The bronze itself has a
rather low conductivity (specific resistance 70 nm at a
temperature of 4 K), which is important to reduce the alternating current (ac) losses. Experiments have shown that, in
case of bronze conductors, a reversed transport reaction can
lead to a very small (0.3 at.%) Sn content, compared with the
values of Table 3. In this low-Sn bronze, the value for the
specific resistivity is reduced by a factor of 10. This method is
not very practical and has, in view of ac losses, disadvantages.
It is therefore necessary to increase the electrical stability by
designing conductors with Cu included in the cross section.
This can be done by a few percent distributed throughout the
matrix, up to 20% in the wire center and up to a maximum
part of the cross section as an outer shell. The composite
needs a barrier to protect the Cu from the diffusion of B ions
into the stabilizing part, which would reduce the conductivity
of the Cu. Barrier materials fulfilling this task are V, Nb, Ta,
or alloys and combinations thereof (34). The use of a Nb barrier seems to be the natural choice, as it fits the material
parameters of the complete conductor. The Nb3Sn layer
formed at the interface of bronze and barrier acts just like a
large filament, bringing additional high ac losses. The effect
of the barrier materials on the hysteresis losses is shown in
Fig. 11(a) and Fig. 11(b). The use of Ta barriers avoids mag-
67
68
Stress (MPa)
HYS
T = 4.2K
500
400
HJC
300
100
80
200
60
Figure 13. Superconducting cable consisting of eight bronze conductors with a TaCu core and eight additional stabilizing wires of CuTaCu (courtesy of Vacuumschmelze).
40
100
20
0
0.2
0.4
0.6
Strain (%)
0.8
0.05
1
0.1
1.2
(a)
69
Internal
Tin
Internal
Tin
Internal
Tin
0.806
Ta Nb
123
9.9
220/175
340/96
650/180
0.802
Ta/Nb
3
9.3
185/120
340/72
650/200
partially
1.49
10.2
27.7
3.2
147
9.14
570
91
0.801
Ta
5
18.0
200/6
350/18
450/28
580/180
650/240
fully
1.59
9.31
24.9
2.6
130
9.04
780
136
fully
1.38
9.49
25.3
1.7
80
8.98
710
595
partially
1.61
9.31
24.6
2.3
213
9.01
680
599
3.1
1.3
5.9
6.3
Bronze
Bronze
0.803
Ta
1015
8.8
570/220
650/175
0.802
Ta
68
18.4
650/240
Filament reacted
Cu/non-Cu ratio
Critical temperature T* at 13 T (K)
Upper critical field Bc2* (T)
Cr thickness (m)
RRR (resistivity at 273 K/resistivity at 20 K)
Overall strand density (g/cm3)
Non-Cu Jc at 12 T, 4.2 K, 0.1 V/cm (A/mm2)
Non-Cu hysteresis losses, 3 T cycle at 4.2 K (mJ/cm3)
n value at 12 T, 4.2 K, 0.1 V/cm
Coupling loss time constant (ms)
partially
1.49
10.2
28.3
2.1
150
9.33
550
94
0.62
HP I
(spec.)
0.81
HP II
(spec.)
0.81
10
10
2
100
2
100
700
600
20
500
200
20
70
50
40
4.2 K
30
description of Ic /Icm concerning the dependency on both magnetic field and strain, is given by the strain scaling law (42):
oMs (mT)
20
10
Ic
=
Icm
0
10
20
30
mJ
non Cu Wh = 97
cm3
NST 4675 Ta A
40
50
4
0
B (T)
(b)
Bc2 ( )
Bc2m
n p
1 B/Bc2 ( )
1 B/Bc2m
Figure 14. (a) Cross section of an ITER conductor for the central
solenoid (HP II specification, i.e., low loss) with a Cu to non-Cu ratio
of 1.5 and 4675 (Nb, Ta) filaments. (courtesy of Vacuumschmelze); (b)
Magnetization curve of the conductor shown in Fig. 14(a), indicating
the low hysteresis losses of 97 mJ/cm3 (measurements: Vacuum
schmelze).
1.0
B*C2()/B*C2m
0.9
B*C2()/B*C2m = 1 a0u
0.8
0.7
Material
a(0<O)
a(0>O)
Nb3Sn
V3Ga
Nb-Ti
1.7
1.7
1.7
900
450
1250
650
23
0.8
0.4
0
0.4
Intrinsic strain, 0, percent
0.8
71
1.0
Jc/Jcm
0.6
Nb3Sn
14
12
Scaling parameters
n=1
B*c2m = 21 T
p = 0.5
q = 2.0
u = 1.7
0.4
a=
0.2
0.4
6
8
10
12
14
Temperature = 4.2 K
16
0.2
Rapid-heating/
Rapid-quenching processed
Nb3Al
1.0
B=4T
16
900 (0<0)
1250 (0>0)
0
0.2
Intrinsic strain, percent
0.4
0.6
0.8
4T
6 8
10
0.9
0.8
0.7
Bronze
processed
(Nb, Ti)3Sn
0.6
0.5
12 T
4.2 K
0.0
cess conductors (43). There is not a clear Ic,max, but degradation is also smaller or completely recovered, respectively,
after the load has been released.
Additions of third elements influence the Ic, Bc2, and Tc values. Effects of strain can be seen as a function of B or Bc2.
Due to the increase of Bc2 by addition of Ti, Hf, or Ta to the
matrix or to the core material, the effect of strain on Bc2 is
reduced. Further influence is given by the growth rate of the
layer and, therefore, the remaining unreacted part of the core.
Wires of Nb3Al are less strain-sensitive; even with an intrinsic strain of 0.5% Ic is reduced only by approximately 10%, as
shown in Fig. 18.
The martensitic phase transition temperature Tm increases
with the compressive strain, showing an influence of the cubic-to-tetragonal distortion of the lattice and the degradation
of Tc and Jc (44). At the strain m with Jc having its maximum,
the Nb3Sn phase becomes cubic again. The effect of transverse
compression on Ic is similar to that of axial strain. An increase
of transverse strain t is leading to a small Ic enhancement.
At higher t, the Ic encounters a strong reduction. The sensitivity of Ic on transverse strain is higher than for axial strain
and the irreversible behavior for t starts at a level which is
about 25% of the comparable axial strain (45). Transverse
compression may occur in large magnet assemblies like Tokamaks, with each magnet having close and strong neighboring
magnets. Because of the high currents necessary in such magnets, conductors are likely to be cabled and enveloped in a
stainless-steel conduit. A mixture of compressive radial
stresses and transverse pressures is obtained. Especially
braiding procedures of the wires are leading to many crossover points with high stress concentrations. Tetragonal distortion is also caused by compression of the unit cell by radial
B*c2m
1
1.3
0.5
0.4
2
1.0
21
21
Ag-sheath
processed
Bi-2212
0.2
0.4
0.6
Intrinsic strain (%)
0.8
1.0
Figure 18. Critical current Ic versus intrinsic strain 0 for bronzeprocessed (Nb, Ti)3Sn wire, and a new Nb3Al wire processed by rapidheating/rapid-quenching. The strain sensitivity of the Nb3Al compared with the (Nb, Ti)3Sn (30).
72
TERNARY ELEMENTS
The increase of Jc in the A15s is dominated at the intermediate field range by flux pinning at the grain boundaries. The
pinning force density Fp is equal to the product of Jc and the
corresponding magnetic flux density B(Fp Jc B). According to Kramers law, the pinning force shows saturation
in the high field region B*c2. Increasing Bc2 leads to an increasing Jc within the A15 layer. The value of Bc2 is dominated by
the normal state resistivity 0 and the critical temperature
Tc. As it is not easy to increase Tc remarkably, the main
means for varying Bc2 is given by the normal state resistivity
0, measured just above Tc or by the resistivity ratio. Such an
increase in 0 results in an increase of the GinzburgLandauparameter / , where is the penetration depth (47).
Because of the proportionality of the upper critical field Bc2 to
the GinzburgLandau parameter , the former is raised, too.
Raising 0 is possible by impurities, irregularities in the
chemical composition, causing microstructural defects. Bc2 is
not depending on the grain size, but its upper limit is determined by the susceptibility according to Paulis paramagnetic
effect (43). For that reason, the flux-pinning force and the
grain boundaries are not relevant if the magnetic field B is
close to Bc2.
Besides the more principal aspects, there are different
other reasons which are influencing the performance of practical A15 superconductors. Disturbances in the microstructure are originating from the production process, chemical
nonhomogeneities, or variations of filament diameters over
the length (sausageing). Nonuniformity of A15 layers due to
nonuniform distribution and supply of B ions is strongly influenced by the conductor design. Those macroscopic effects
are also observed for designs leading to irregular working and
deformation conditions due to the combination of materials
with quite different ductility, like Nb, Cu, and Sn. Microcracks occur in the layer itself, caused by thermal or handling
defects. Bronze matrix conductors need, because of work
hardening, intermediate heat treatments to preserve or to restore the ductility. Prereaction to a substantial degree may be
encountered. It leads to heterogeneous deforming conditions,
reduction of the Sn supply for the final diffusion treatment,
and mechanical defects in the conductors. Therefore, intermediate heat-treatment temperatures must be chosen carefully
and should not exceed 500C. Additionally, time has to be restricted.
To achieve better properties of A15 conductors, doping
with defined impurities like Zn, Mg, Fe, and Ni, and also
alloying with higher contents of Ti, Ta, or Ga has been performed. The stoichiometry in a ternary or a quartenary compound is a rather demanding field. The variety of metallurgical treatments like alloying, in combination with numbers of
NbTi
NbTi
Nb3Sn
Nb3Sn
(NbTa)3Sn
(NbTa)3Sn
(NbTaTi)3Sn
(NbTaTi)3Sn
jcnon-Cu (A/cm2)
106
105
4.2 K
2K
4.2 K
2K
4.2 K
2K
4.2 K
2K
104
0.1 V/cm
103
10 12 14 16 18 20 22 24 26
B (T)
73
74
Rapid-heating/
Rapid-quenching processed
Nb3Al
1000
Ag-sheath
processed
Bi-2212
100
Bronze processed
(Nb, Ti)3Sn
Nb-Ti
10
4.2 K
1
10
15
20
Magnetic field, B (T)
25
Figure 24. Cross section of an internal tin conductor for use in highfield dipole magnets (courtesy IGC).
75
15. E. W. Collings, Processing of Nb3Al superconductors, Rep., Columbus, OH: Ohio State University, 1997.
16. C. G. King et al., Flux jump stability in Nb3Sn tape, IEEE Trans.
Appl. Supercond., 7: 15241528, 1997.
48. W. Specking, F. Weiss, and R. Flukiger, Effect of filament diameter and spacing on Jc of Nb3Sn wires in the intermediate filed
range and at high fields, IEEE Trans. Magn., 23: 11881191,
1987.
49. D. Rodrigues, Jr. et al., Flux pinning mechanisms in superconducting A15 materials and the optimization of their transport
properties, to be published in Adv. Cryog. Eng., 44: 1998.
REINHARD DIETRICH
Vacuumschmelze GmbH
SUPERCONDUCTORS, METALLURGY OF
DUCTILE ALLOYS
The most widely used superconducting materials are based
on NbTi alloys with Ti contents ranging from 46 wt. %
to 50 wt. % Ti. These alloys of Nb and Ti have both high
strength and ductility and can be processed to achieve high
critical current densities that make them ideal candidates
for magnets and applications. NbTi-based superconductors are commercially produced in long uniform lengths
and cost signicantly less to produce than other superconductors. The main drawbacks of this material are a low
critical temperature, typically requiring cooling by liquid
helium, and a low upper critical eld which limits the applied eld at which they can be used to below 12 T.
Although several other ductile superconductors have
been investigated (most importantly niobiumzirconium),
the niobiumtitanium alloy system has been the only ductile superconductor in use since the mid-1960s. The vast
majority of all superconducting magnets have been constructed from a small NbTi alloy range of 46 wt. % Ti
to 50 wt. % Ti (62 at. % Ti to 66 at. % Ti), with most of
the superconductor used being 47 wt. % Ti (63 at. % Ti).
It is the strength, ductility, critical current density, and
relatively low cost of this material that makes it stand
out as a commercial superconductor. The critical temperature, Tc , and upper critical eld, Hc2 , of these NbTi alloys
are unexceptional (Tc 9.3 K, Hc2 (4.2 K) 11 T, Hc2 (2
K) 14 T), but their very high critical current densities
(3000 A/mm2 at 5 T, 4.2 K) make them the ideal choice
for applications in magnetic elds up to 9 T at 4.2 K (liquid helium coolant) and 12 T at 1.9 K (superuid liquid
helium coolant). The primary applications of NbTi-based
magnets are magnetic resonance imaging (MRI), nuclear
magnetic resonance (NMR), particle accelerators, magnetic
connement for fusion reactors, ore separators, electrical
power conditioners, and superconducting magnetic energy
storage (SMES). Replacing some Nb with 15 wt. % Ta to
25 wt. % Ta further extends the upper critical eld when
cooled with superuid liquid helium but has yet to achieve
signicantly higher critical current densities. This article
will concentrate on Nb47 wt. % Ti and similar alloys because of their complete dominance as ductile superconductors. Collings (1, 2) has compiled extensive reviews of the
properties and applications of ductile Ti-based superconductors and has covered the history of their development
up to 1983 in an earlier volume (3).
Almost all NbTi strands are manufactured as a composite of NbTi laments in a Cu (or occasionally CuNi
or Al) matrix. The number of laments can vary from 50
to 5000, and their diameters can vary from 5 m to 50
m. The Cu matrix typically represents 50% to 70% of the
strand volume and provides the composite with thermal
and electrical stability. Subdividing the laments provides
adiabatic ux-jump stability and allows greater cold work
to be applied to the strand, which is benecial in achieving high critical currents. Commercial scale composites are
manufactured on a scale of 200 kg billets, and the resulting wire lengths can exceed 10 km at nal size without
breakage.
Figure 1. A transmission electron microscope image of the microstructure of a Nb47 wt. % Ti superconductor in transverse
cross section reveals a densely folded array of second phase pins
which are 1 nm to 4 nm in thickness. For comparison a schematic
illustration of the uxoid diameter (10 nm) and spacing (22 nm)
at 5 T and 4.2 K is superimposed on the top left-hand corner.
In type II superconductors, such as NbTi, high critical current densities in magnetic elds are only possible
if uxoid motion is inhibited. In NbTi, strong uxoid pinning is made possible by the creation of a nely dispersed
nanometer scale nonsuperconducting that closely matches
the uxoid spacing. The method of creating that pinning
microstructure distinguishes the two basic methods of producing NbTi strand. Figure 1 shows such a microstructure
achieved by heat-treating the strand during processing to
produce Ti-rich -Ti which fold into a densely packed array
of sheets when the NbTi wire is drawn to nal size. The Ti sheets are typically 1 nm to 4 nm thick with a separation
of 5 nm to 20 nm. The folding of the microstructure during
wire drawing is a result of the limited deformation orientations available in the body-centered cubic (BCC), crystal
structure of the NbTi grains. Almost all commercial NbTi
strands are fabricated using precipitation heat treatment
and are termed conventionally processed in order to distinguish them from the second and newer method of NbTi
production called Articial Pinning Center (APC). In the
APC process the pinning microstructure is engineered by
mechanically assembling rods or sheets of the component
materials at a size large. Extrusion and wire drawing reduces the assembled array to the nal nanometer dimensions. Like the conventionally processed strand, the deformation of the engineered microstructure is dominated
by the folding of the NbTi matrix grains, and the resulting APC microstructures are very similar in appearance to
those produced by conventional processing. The APC approach allows a large degree of freedom in the selection of
matrix and pinning materials as well as their ratios and
physical distribution. Consequently, APC strands outperform conventionally processed strands in elds up to 5 T
and promise greater performance at higher elds. The additional cost associated with assembling the pinning array
and reducing it to nanometer scale has limited its commercial application.
THE NBTI ALLOY SYSTEM
Stable Phases
The atomic volume difference between Ti and Nb is only
about 2%, resulting in a -isomorphous system where the
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Figure 3. A hybrid equilibrium phase diagram for NbTi combining the experimentally determined high-temperature phase
boundaries of Hansen et al. (8) with the calculated lowtemperature phase boundaries of Kaufman and Bernstein (9) modied by Moffat and Kattner (10). Also shown is the martensite
transformation curve (Ms ) of Moffat and Larbalestier (11).
Metastable Phases
There are three metastable phases of importance: two
martensite ( and ) and an phase. The martensite
is HCP with lattice parameters identical to -Ti, and it
forms in alloys up 7 at. % niobium. The orthorhombic
is transitional between the HCP and the BCC phase,
and it forms at higher Nb concentrations. The martensite
transformation boundary of Moffat and Larbalestier (11)
is shown in Fig. 3 and shows that the most commonly used
NbTi alloys are outside the range of the martensite transformation. The phase has a hexagonal crystal structure
(c/a = 0.613). It can be formed athermally in the alloy range
86 at. % Ti to 70 at. % Ti by quenching from the -phase region, or it can be formed by aging in the temperature range
of 100 to 500 C. The phase is typically observed as small
ellipsoids roughly 5 nm to 10 nm in their longest dimension. In cold-worked and heat-treated NbTi strands, they
can grow to 50 nm in diameter. All the metastable phases
can be transformed to single-phase -NbTi or two-phase
+ microstructures by heating long enough in the or
+ phase elds, respectively.
Cold-Worked Microstructures
In order to achieve high critical current densities a ne and
homogeneous dispersion of ux pinning material must be
introduced that is of sufcient volume for signicant pinning but does not deleteriously affect the other Hc2 or Tc .
The process by which the rst high critical current density
microstructures were achieved was arrived at empirically
before the resulting microstructures were characterized
(12). The processing involved a high cold-work strain followed by three or more heat treatments in the + phase
range, each separated by additional cold work with the nal heat treatment being followed by another large coldwork strain. An understanding of the microstructural development was key, however, to the further optimization of
NbTi and the reproducible production of high critical current strand. Initial observation of the microstructure was
hindered by the difculty in preparing transverse cross sections of micron-sized laments suitable for examination by
transmission electron microscopy (TEM). Once techniques
had been developed to prepare the TEM specimens, it became clear that folded sheets of -Ti precipitates were the
dominant microstructural features of the nal strand (see
Ref. 13). Systematic analysis of the production process (as
in Ref. 14) revealed that the high prestrain heat treatments produced -Ti precipitates only at the intersections
of grain boundaries. The location of precipitation at the
grain boundary triple points meant that the precipitation
was homogeneously distributed if alloy composition and
grain size were uniform. The grain boundary triple-point
-Ti was also sufciently ductile that it could be drawn
down to the nanometer scale with breaking up or causing the strand itself to become difcult to draw. This contrasted with the other commonly observed -Ti precipitate
morphology, Widmanstatten
development of a high-homogeneity NbTi alloy was a crucial step in the advance toward high critical current NbTi
(see Ref. 15). The desired properties of the initial alloy billet
are as follows:
1. The correct overall alloy composition to optimize
Hc2 , Tc and precipitation for pinning. The acceptable
range is Nb46-wt. % Ti to Nb48 wt. % Ti.
2. Uniform composition over the entire billet to ensure
optimum physical and mechanical properties over
the entire lament.
3. Chemical homogeneity on a microstructural level in
order to ensure uniform precipitation of the correct
morphology (typically 1.5 wt. % Ti).
4. Low and controlled levels of impurity elements in order to ensure predictable superconducting and mechanical properties.
5. Elimination of hard particles (typically Nb-rich) because any particle that does not co-reduce with the
alloy can result in lament drawing instability and
ultimately strand breakage. The exterior of the nal
NbTi rod must also be free of hard particles and
must be smooth enough that it does not easily pick
up particles during subsequent handling.
6. A ne (typically ASTM grain size 6 or smaller) and
uniform grain size as it controls the distribution of
precipitate nucleation sites. A ne grain size also improves diffusion barrier uniformity. Where high critical current is less important, a larger grain size has
been used increase ductility.
7. Low hardness (typically a Vickers hardness number
of 170 or less) to ease co-deformation with softer stabilizer material.
The NbTi alloy is prepared from high-purity Nb and Ti
by consumable electrode vacuum-arc melting (where the
electrodes are composites of Nb and Ti) and by electronbeam or plasma-arc melting. It is usually necessary to
remelt the ingot two or three times in order to achieve the
necessary chemical homogeneity. Primarily produced for
the aviation industry, the high-purity source Ti is reduced
from TiCl4 by Mg (the Kroll process). High-purity Nb is rened from lower-purity Nb by two or three electron-beam
remelts. The lower-purity Nb source itself is extracted from
niobitetantalite (Nb2 O5 and Ta2 O5 ) or pyrochlore (0.25%
to 3% Nb2 O5 ) ores by way of an intermediate ferroniobium
alloy which is used on a relatively large scale for steel production. Table 1 lists the typical allowable ranges for impurities, typied by specications for the superconducting
supercollider. The small level of allowable Ta has a historical origin, and it is unlikely that additions of less than 1.5
wt. % Ta will have a signicant impact on superconducting
or mechanical properties. Increasing the level of Fe from
200 L/L (the specication of the superconducting supercollider as found in Ref. 16) to 500 L/L (L/L is equivalent
to the more commonly used ppm) actually has a benecial
effect as shown in Ref. 17.
The chemical inhomogeneities that may be observed in
the alloy at this stage in production can be divided into two
types based on size: macroinhomogeneities (those visible to
the eye) and microinhomogeneities (those requiring identication using microscopes). The most common macroinhomogeneities are Ti-rich freckles and hard Nb-rich particles. The Ti-rich freckles are so called from their appearance in ingot cross sections and are a result of Lorentz
and buoyancy-driven ow of Ti-rich material between dendrites (see Ref. 18). Control of radial heat transfer and uid
ow in the melt pool eliminates the occurrence of freckles.
Because of their relatively small size (typically 1 mm to
2 mm in diameter), compositional deviation (Ti-rich by 8
wt. % Ti to 10 wt. % Ti), and ductility, freckles are not in
themselves particularly deleterious to strand production.
The importance of the presence or rather the absence of Tirich freckles is as an indicator of good melt control. Ti-rich
freckles are readily identied from ash radiographs of ingot cross sections. If ash radiography indicates that an
ingot cross section is freckle-free, it is likely that smallerscale microinhomogeneities, which are more difcult and
expensive to quantify, have been kept to a minimum. A
more serious macroinhomogeneity is the presence of hard
Nb-rich particles which result in strand breakage failures
(see Ref. 19). Nb-rich regions are a result of the high freezing point of Nb and can be eliminated by good process control and remelting. The Nb-rich particles were the cause of
many early strand failures but are rarely seen in modern
production.
Microchemical inhomogeneity in the cast ingots of
NbTi is inevitable because of the coring produced by the
large liquidsolid phase separation. The microhomogeneity level can be qualitatively revealed by metallography
using a composition-sensitive etch as shown in Fig. 4. In
this example of a high-homogeneity-grade alloy, the microchemical variation is 1 wt. % Ti and has a wavelength
of 100 m to 200 m. Commercial NbTi alloys have microchemical variations of 1 wt. % Ti to 4 wt. % Ti,
with higher-homogeneity alloys costing more. Where high
critical current density is less important, reduced microhomogeneity can be acceptable in order to reduce cost but
not to an extent that will reduce strand yield by causing
drawability problems during subsequent processing.
The diameter of the initial cast NbTi ingot ranges from
200 mm to 600 mm, and this is typically reduced to 150 mm
by hot forging before being fully annealed in the singlephase region (approximately 2 h at 870 C). Extended anneals can be used to reduce microchemical inhomogeneity
but will increase the grain size and consequently reduce
the density of precipitate nucleation sites.
Composite Assembly
Stabilizer. All superconducting strands are fabricated as
a combination of one or more continuous laments of superconductor within a high electrical and thermal conductivity matrix. The matrix material provides electrical and
thermal stability and protection from burnout if the superconducting device reverts to the normal state (quenches).
High-purity Cu is most commonly used because it has good
electrical and thermal conductivity (a resistivity of 0.42
nm and a thermal conductivity of 260 W/mK at 6 T, 4.2
K), a high heat capacity, and good strength at both low temperature and during processing and it combines and processes well as a composite with NbTi. A CuNi stabilizer
is used for alternating-current (ac) applications where a
high-resistivity matrix is required to reduce eddy current
loss in the matrix and coupling between the submicron laments (e.g., Refs. 20 and 21. Where high transverse resistivity is not required, Mn additions to Cu can be used to
suppress the proximity coupling of laments (22). Combinations of high-purity Cu and CuNi or CuMn can be used
within the same composite because the alloy stabilizer is
only required between laments. High-purity aluminum
has a greater in-eld thermal conductivity and electrical conductivity as well as a lower heat capacity, a lower
density, and greater radiation resistance than Cu. Despite
these considerable advantages, Al is rarely used as a sta-
Figure 5. High-resolution back-scattered electron scanning electron microscope image of the NbTi adjacent to an Nb diffusion
barrier (white) after nal precipitation heat treatment. Nonuniformity of the barrier is shown along with a zone of reduced precipitate (black) next to the barrier.
diameter of the stacked laments decreases. The importance of reducing chemical and particulate contamination
cannot be overemphasized. Long lengths of strand (typically 10 km or more) are desired for many applications, and
small particulate pickup that signicantly reduces yield by
strand breakage can occur in even tightly controlled largescale production (37).
After the billet is assembled, the laments are sealed
under vacuum by electron-beam (or sometimes tungsten
inert gas) welding a Cu lid onto the billet.
Extrusion
After preheating to 500 to 650 C the extrusion billets are
conventionally extruded at ratios of 10:1 to 20:1 and then
water-quenched. Lower temperatures are favored because
they maintain a greater degree of cold work in the NbTi
but they require greater forces. Low extrusion speeds avoid
excessive heating of the NbTi. Hydrostatic extrusion may
also be used when available and has the advantages of
lower-temperature operation, higher yields available from
higher length-to-diameter ratios, and an ability to coextrude a wider variety of material combinations. After successful extrusion, the composite is a well-bonded composite
of a suitable size (50 mm to 90 mm in diameter) for cold
work to proceed.
Cold Work
Superconducting NbTi strands are fabricated in a coldworked condition that is far greater than any other metallurgical process, with the possible exception of piano wire.
The object of the cold work is to produce the nal nanometer scale dispersion of pinning center. Understanding the
role and importance of this highly strained state in the
production of NbTi strands is the key to the conventional
process. The amount of cold work in the NbTi normally
can be represented by the true strain, T , which is given
by Eq. (1):
where d0 and A0 are the starting diameter and crosssectional area of the alloy rod at the nal recrystallization
anneal, respectively, and d and A are the diameter and area
of the NbTi after cold work. Figure 6 illustrates the conventional process in terms of cold-work strain for a composite fabricated from a cold-worked rod and given three heat
treatments. The total available cold-work strain available
for processing the NbTi is termed the strain space and
is limited by the initial annealed NbTi rod size and the
nal lament diameter. Warm extrusions increase the cold
work in the NbTi at a reduced rate compared with similar reductions performed by rod or wire drawing and consequently reduce the effective strain space. Increasing the
temperature of extrusion improves the bonding between
the composite components but reduces the available coldwork strain.
The strain space can be further subdivided into three
regions, each requiring a minimum cold-work strain to be
effective:
Figure 7. The morphology and location of precipitation in coldworked NbTi alloys are determined by the amount of cold work
in the microstructure. As the Ti content is increased, the cold work
required to produce optimum -Ti (only at grain boundary intersections) increases. In this compilation of data (3944), optimum
precipitation is denoted by the symbol , and mixed precipitate
morphology is denoted by the letter m for heat treatment at 420 C.
The number 3 identies where mixed-mode precipitation has also
been observed for 375 C heat treatments.
were formed, producing inhomogeneous microstructures that reduced workability. Lee et al. (40) systematically studied the relationship
between prestrain, precipitate morphology, and alloy and
showed a relationship between NbTi composition and the
required cold-work prestrain required to avoid strains
phase and/or intragranular Widmanstatten
-Ti that can be produced by heat treating a high-Ti alloy (in this case, Nb54
wt. % Ti) at too low a prestrain. By a true strain of 5 to 7
a high-angle grain structure of regular, small diameter (50
nm to 100 nm) grains has been produced by the large coldwork strain. Increasing the prestrain further homogenizes
and renes the microstructure.
(as shown in Fig. 10 for the second heat treatment). An optimum balance between increased precipitate volume and
minimum strain space is at a strain of approximately 1.2
(12). Three or more heat-treatment and strain cycles are
normally required to produce the 20 vol. % or more precipitate in the microstructure required for high critical current densities (Jc > 3000 A/mm2 at 5 T and 4.2 K). As the
-Ti is precipitated, the composition of the -NbTi is depleted in Ti until it reaches between 36 wt. % Ti and 37
wt. % Ti, at which point there is insufcient Ti to drive further precipitation. More aggressive heat treatment is more
likely to compromise the Nb diffusion barrier and coarsen
the precipitate size.
After the nal heat treatment the microstructure
viewed transverse to the drawing axis consists of a uniform
distribution of roughly equiaxed -Ti precipitates, 80 nm to
200 nm in diameter, in a matrix of equiaxed NbTi grains
of similar dimensions. Viewed in longitudinal cross section
the -Ti and -NbTi grains are somewhat elongated along
the drawing axis with an aspect ratio of 4 to 15 depending
on the processing history. Further cold-work strain is required to reduce the dimensions of the precipitates so that
they can pin ux efciently. During the -Ti precipitation
heat treatments, the -NbTi matrix has been depleted in
Ti to a level of 37 wt. % Ti to 38 wt. % Ti, and the Hc2 and Tc
of the composite at this point in processing are the same as
the values of single-phase material of these lower Ti levels
(5).
Final Wire Drawing
The plain strain-imposed intercurling of the NbTi grains
that is so deleterious to barrier uniformity also results in
the distortion of the -Ti precipitates into densely folded
sheets during nal wire drawing. The folding process
rapidly decreases the precipitate thickness and spacing
and with a dependence of d1.6 (where d is the strand diameter) and increases the precipitate length per area with
a dependence of d1.6 as measured by Meingast et al. (5). As
the microstructure is rened toward optimum size the bulk
pinning force increases and the peak in the bulk pinning
force moves to higher eld as shown in Fig. 11 (data from
Ref. 45). The Hc2 and the Tc gradually return to the values
of the original single phase starting alloy as the precipitate
are rened toward and below the superconducting coherence length, (5). The critical current density increases as
the microstructure is rened until it reaches a peak, after which there is a steady decline. The peak in Jc for a
monolament or a multilamentary strand with uniform
laments occurs at a nal strain of approximately 5. If the
laments are nonuniform in cross section (sausaged), the
peak occurs earlier and at a lower critical current density.
A strand that has a premature (and lowered) peak in Jc
during nal drawing is described as extrinsically limited
because it has not attained the intrinsic critical current of
the microstructure. The most common source of extrinsic
limitation is sausaging of the laments due to intermetallic
formation or lack of bonding between the components of the
composite. The degree to which a composite has been extrinsically limited can be observed by examining the sharpness of the resistive transition when measuring the critical
current, Ic . Volker (46) showed that the shape of the transition curve near its onset can be approximated by
where V is the voltage across, I is the current in the superconductor, and n is the resistive transition index. For a
nonextrinsically limited superconductor the value of n at
5 T, 4.2 K, can be 70 or higher. By quantifying the variation in lament cross-sectional area by image analysis, the
amount of lament sausaging can be measured directly
(47). A high critical current density superconductor with
a high n-value is shown in Fig. 12. The strand is one of a
number of high-performance wires developed for the superconducting supercollider (48). The lament sausaging
in this strand has been reduced to a very low level (a coefcient of variation for the lament cross-sectional areas
of approximately 2%). With tight quality control, uniform
properties and piece lengths exceeding 10 km should be
expected.
The specic pinning force for the -Ti precipitates falls
from 360 N/m2 for an average sheet thickness of 2.6 nm,
to 200 N/m2 for a 1 nm average sheet thickness (14, 49)
but this is more than compensated for by the increase in
precipitate density caused by the continued folding of the
-Ti sheets.
Final Processing
Twisting. Just before a multilamentary strand has
reached nal size, it is usually twisted about its drawing
axis. The twisting is required to reduce ux-jump instability caused by varying external elds, reduce instabilities
caused by self-eld, and reduce eddy-current losses. The
tightness of the required twist increases with the expected
rate of change of eld. The required twist pitch for a superconducting supercollider strand, a relatively steady-state
magnet, was approximately 80 rotations along the drawing axis per meter, while for ac application with a similarly
sized strand the number of twists per meter might be 300.
The twisting occurs just before the strand is reduced to nal size so that it can be locked in by a nal drawing pass
or by nal shaping.
Final Shaping. The nal shape of the strand cross section
need not be round in cross section: It can also be shaped
into square or rectangular cross section by the use of independently adjusted rollers operating along the strand
surface.
Cabling. Individual strands can be cabled or braided together to form a conductor with a higher current-carrying
capacity. The most common design for NbTi magnets is
the Rutherford cable, which consists of two parallel at
layers of strands. Using this approach, high-aspect-ratio
cables can be produced with as many as 46 strands (50).
As was the case for the individual laments, the strands
are transposed around the cable, forming a densely packed
square or rectangular cross-section spiral. The design consideration for Superconducting Supercollider Laboratory
cable are discussed in Ref. 51. The compaction of the strand
around the squared cable edges severely distorts the strand
10
NbTiTa
The addition of Ta to NbTi alloys suppresses the paramagnetic limitation of Hc2 by the large orbital moment of the
alloys (53). Although Ta is only of benet below 4.2 K (54),
it has a relatively long history of study because it should
extend the useful eld range of ductile superconductors by
1 T or more (55). So far, however, improved Hc2 has not
translated effectively into improvements in Jc , except very
near to Hc2 (above 11 T). Lazarev et al. (56) were able to
The quantity, composition, and distribution of pinning center as well as the composition of the matrix are limited,
in the conventional process, by the thermodynamics of the
NbTi phase diagram. Additional precipitate can be produced by increasing Ti content of the alloy (as shown in
Figure 10), but that is more than offset by the decrease in
Hc2 (Fig. 2). The result is a critical current limit in conventionally processed NbTi superconductors of approximately 3800 A/mm2 , at 4.2 K and 5 T. An alternative ap-
2 K OPERATION
The relatively low Tc of Nb-Ti allows means that considerable gains in Hc2 and and Jc can be gained from lowering the temperature of operation using superuid liquid He, typically at 1.8 to 2 K. This has been recently
exploited by the Large Hadron Collider project at CERN,
which operates at 1.9 K so that the magnetic eld can be
pushed beyond 8 T (71). Boutboul et al. (72) have shown
11
BIBLIOGRAPHY
1. E. W. Collings, Applied Superconductivity, Metallurgy, and
Physics of Titanium Alloys, vol.1: Fundamentals, New York:
Plenum, 1986.
2. E. W. Collings, Applied Superconductivity, Metallurgy, and
Physics of Titanium Alloys, vol.2: Applications, New York:
Plenum, 1986.
3. E. W. Collings, A Sourcebook of Titanium Alloy Superconductivity, New York: Plenum, 1983.
4. H. Muller, The upper critical eld of niobiumtitanium, PhD
thesis, Univ. WisconsinMadison, 1990.
5. C. Meingast, P. J. Lee, D. C. Larbalestier, Quantitative description of a high Jc NbTi superconductor during its nal optimization strain. I. Microstructure,Tc , Hc2 and resistivity, J.
Appl. Phys., 66: 59625970, 1989.
6. D. L. Moffat, Phase transformations in the titaniumniobium
binary alloy system, PhD thesis, Univ. WisconsinMadison,
1985.
7. I. Pfeiffer, H. Hillmann, Der Einuss er Struktur auf die
Supraleitungseigenschaften von NbTi50 und NbTi65, Acta
Metall., 16: 14291439, 1968.
8. M. Hansen et al., Systems titaniummolybdenum and
titaniumcolumbium, J. Metals, 3: 881888, 1951.
9. L. Kaufman, H. Bernstein, Computer Calculations of Phase
Diagrams, New York: Academic Press, 1970.
10. D. L. Moffat, U. R. Kattner, The stable and metastable TiNb
phase diagram, Metall. Trans., 19A: 23892397, 1988.
11. D. L. Moffat, D. C. Larbalestier, The competition between
martensite and omega in quenched TiNb alloys, Metall.
Trans., 19A: 16771686, 1988.
12. Li Chengren, D. C. Larbalestier, Development of high critical
current densities in niobium 46.5 wt % titanium, Cryogenics,
27: 171177, 1987.
13. D. C. Larbalestier, A. W. West, New perspectives on ux pinning in niobiumtitanium composite superconductors, Acta
Metall., 32: 18711881, 1984.
14. P. J. Lee, D. C. Larbalestier, Development of nanometer scale
structures in composites of NbTi and their effect on the
superconducting critical current density, Acta Metall., 35:
25262536, 1987.
15. D. C. Larbalestier et al., High critical current densities in
industrial scale composites made from high homogeneity
Nb46.5T, IEEE Trans. Magn., 21: 269272, 1985.
16. Specication for Niobium Titanium Alloy for the Superconducting Supercollider, MSD Document Control, Dallas, Texas:
Superconducting Supercollider Laboratory, 1992, SSC-MagM-4000A.
17. D. B. Smathers et al., Improved niobium 47 weight % titanium
composition by iron addition, Mater. Trans., Jpn. Inst. Metals,
37 (3): 519526, 1996.
18. R. Schlatter, Electrical and magnetic interactions in vacuumarc remelting and their effect on the metallurgical quality
of speciality steels, J. Vac. Sci. Technol., 11 (6): 10471054,
1974.
12
19. H. Hillmann, Fabricaton technology of superconducting material, in S. Foner and B. B. Schwartz (eds.), Superconducting
Materials Science, New York: Plenum, 1981, p. 275.
20. I. Hlasnik et al., Properties of superconducting NbTi superne
lament composites with diameter 0.1 m, Cryogenics, 25
(10): 558564, 1985.
21. J. R. Cave et al., Reduction of AC loss in ultra-ne multilamentary NbTi wires, IEEE Trans. Magn., 25 (2): 19451948,
1989.
22. T. S. Kreilick et al., Reduction of coupling in ne lamentary
Cu/NbTi composites by the addition of manganese to the matrix, Adv. Cryog. Eng., 34: 895900, 1988.
23. M. K. Rudziak, T. Wong, J. Wong, Development of APC NbTi superconductors with internal high purity aluminum stabilizer,
IEEE Trans. Appl. Supercond., 7: 11971200, 1997.
24. H. C. Kanithi, D. Phillips, B. A. Zeitlin, Further development
of aluminum clad superconductors, IEEE Trans. Magn., 27:
18031806, 1991.
25. D. C. Larbalestier et al., High critical current densities in ne
lament NbTi superconductors, IEEE Trans. Nucl. Sci., 32:
37433745, 1985.
26. M. Garber et al., Effect of Cu4Ti compound formation on the
characteristics of NbTi accelerator magnet wire, IEEE Trans.
Nucl. Sci., 32: 36813683, 1985.
27. E. Gregory, in R. W. Meyerhoff (ed.), Manufacture of Superconducting Materials, Metals Park, OH: Amer. Soc. Metals, 1977,
pp. 116.
28. R. M. Scanlan, J. Royet, R. Hannaford, Evaluation of various
fabrication techniques for fabrication of ne lament NbTi
superconductors, IEEE Trans. Magn., 23: 17191723, 1987.
29. K. J. Faase et al., Diffusional reaction rates through the Nb
wrap in SSC and other advanced multilamentary Nb46.5
wt. %Ti composites. Adv. Cryog. Eng., 38: 723730, 1992.
30. Y. High et al., Quantitative analysis of sausaging in Nb barrier
clad laments of Nb46.5 wt % Ti as a function of lament
diameter and heat treatment, Adv. Cryog. Eng. (Mater.), 38:
647652, 1992.
31. J. Moreland, J. W. Ekin, L. F. Goodrich, Electron tunneling into
superconducting laments: Depth proling the energy gap of
NbTi laments from magnet wires, Adv. Cryog. Eng. (Mater.),
32: 11011108, 1986.
32. W. F. Hosford, Jr., Microstructural changes during deformation
of [011] ber textures metals, Trans. Metall. Soc. AIME, 230:
1215, 1964.
33. R. Heussner, P. Lee, D. Larbalestier, Non-uniform deformation
of niobium diffusion barriers in niobiumtitanium wire, IEEE
Trans. Appl. Supercond., 3: 757760, 1993.
34. E. Gregory et al., Importance of spacing in the development of
high current densities in multilamentary superconductors,
Cryogenics, 27: 178, 1987.
35. A. K. Ghosh et al., Anomalous low eld magnetization in ne
lament NbTi conductors, IEEE Trans. Magn., 23: 17241772,
1987.
36. T. S. Kreilick, Niobiumtitanium superconductors, in Metals
Handbook, 10th ed., vol. 2: Properties and Selection: Nonferrous Alloys and Special-Purpose Materials, ASM Int., 1990,
pp. 10431057.
37. P. Valaris et al., A statistical evaluation of recent SSC conductors produced at IGC/ASI, IEEE Trans. Magn., 27: 17521754,
1991.
38. J. Parrell, P. Lee, D. Larbalestier, Cold work loss during heat
treatment and extrusion of Nb46.5 wt % Ti composites as
59.
60.
61.
62.
63.
64.
65.
66.
67.
68.
69.
70.
71.
72.
Magnet Technol., Villigen, Switzerland: Swiss Institute Nuclear Res., 1985, pp. 564566.
B. A. Zeitlin, M. S. Walker, L. R. Motowidlo,Superconductors
having controlled laminar pinning centers, and method of
manufacturing same,US Patent 4,803,310, 1989.
M. K. Rudziak et al., Development of APC NbTi composite
conductors at Supercon, Inc., IEEE Trans. Appl. Supercond.,
5: 17091712, 1995.
R. M. Scanlan et al., IEEE Trans. Magn., 30: 16271632,
1994.
C. Renaud et al., First commercial application of NbTi superconductor employing articial pinning centers, IEEE Trans.
Appl. Supercond., 5: 11891192, 1995.
G. Stejic et al., Effect of geometry on the critical currents of
thin lms, Phys. Rev., B49: 12741288, 1994.
E. Kadyrov, A. Gurevich, D. C. Larbalestier, High critical current densities in Nb47%Ti multilayers with planar copper
ux pinning nanostructure, Appl. Phys. Lett., 68: 15671569,
1996.
L. R. Motowidlo et al., Multilament NbTi with articial pinning centers: The effect of alloy and pin material on the superconducting properties, Appl. Phys. Lett., 61 (8): 991993,
1992.
H. C. Kanithi et al., Further developments in NbTi superconductors with Articial Pinning Centers, Adv. Cryog. Eng.
(Mater.), 38: 675683, 1992.
P. J. Lee, D. C. Larbalestier, P. D. Jablonski, Quanticaton of
pinning center thickness in conventionally processed and powder processed articial pinning center microstructures, IEEE
Trans. Appl. Supercond., 5: 17011704, 1995.
K. Matsumoto et al., Enhanced Jc properties in superconducting NbTi composites by introducing Nb articial pins with a
layered structure, Appl. Phys. Lett., 64 (1): 115117, 1994.
R. W. Heussner et al., Increased critical current density in
NbTi wires having Nb articial pinning centers, Appl. Phys.
Lett., 70 (7): 901903, 1997.
N. D. Rizzo et al., Ferromagnetic articial pinning centers in
superconducting Nb0.36 /Ti0.64 wires, Appl. Phys. Lett., 69 (15):
22852287, 1996.
L. Rossi, Superconducting magnets for the LHC main lattice.
IEEE Trans. Appl. Supercond 14.2: 153158, 2004.
T. Boutboul, S. Le Naour, D. Leroy, L. Oberli, V. Previtali, Critical current density in SC Nb-Ti strands in the 100 mT to 11
T applied eld range, IEEE Trans. Appl. Supercond., 16.2:
11841187, 2006.
PETER J. LEE
University of
WisconsinMadison,
Madison, WI
13
Fig. 1. A generalized road map for the HTSs technology. YBCO 123 compound has been the most studied material among
the HTSs. Most applications have been demonstrated with the YBCO superconductor. Most applications in HTS wires have
been demonstrated using BSCCO.
magnets, and electronics. The excitement and challenges posed by these HTS materials have touched multiple
disciplines, such as physics, chemistry, material science, and electrical engineering. Tremendous progress
has been made in the application of HTS materials in such areas as Superconducting Quantum Interference
Devices (SQUIDs), passive microwave devices, and long-length wires, as illustrated in the road map for the HTS
technology, shown in Fig. 1. Better-quality materials emerging from refined processing methods have made
it possible to separate the intrinsic properties of HTS from its extrinsic properties. The interrelationships of
processing with structural, physical, electrical, and magnetic properties continues to be an area of intensive
scientific research. In this article, we provide an overview of important high-temperature superconducting
materials, their properties, and promising procedures for synthesizing bulk, thin film and wire forms of HTS
conductors for engineering applications.
Fig. 2. Temperaturecurrentmagnetic field (TJH) three-dimensional surface defining the operating limits for a superconductor.
important superconducting properties are enhanced below this temperature. Critical current density (J c )
is one of the most important superconducting properties for engineering applications. It is an estimate of
maximum current density (current per cross-sectional area of the conductor) a superconductor can support
before becoming a normal conductor. The critical field (H c ) for a superconductor is the maximum magnetic
field below which a superconductor exhibits diamagnetic behavior and above which the superconductivity is
quenched. The T c , H c , and J c parameters define a point in three-dimensional space, and for superconductors
these points span a volume as shown in Fig. 2.T c , H c , and J c values are relatively low in type I superconductors.
Type II superconductors are generally suitable for most electrical and electronic applications because of higher
T c , H c and J c values (11). In type I superconductors, the flow of shielding current in the superconductor is
restricted to a thin layer from the surface, called the penetration depth (), when the magnetic field is below
H c . The penetration depth is very small near 0 K, and increases dramatically as the temperature approaches
T c . The penetration depth at 0 K ranges from 100 to 1500 for type I materials (11). Above the critical field,
the magnetic field completely penetrates a type I superconductor, quenching superconductivity, as shown in
Fig. 3. Figure 3 also illustrates the T-dependence of resistivity in a superconductor at T > T c .
In type II superconductors,H c1 represents the lower critical field above which magnetic flux penetrates a
superconductor to form a mixed state in which superconducting and normal electrons coexist. When H > H c2 ,
the upper critical field, superconductivity is largely confined to the surface of the material. In the mixed state,
magnetic flux penetrates through small tubular regions on the order of the coherence length () (a length scale
that characterizes superconducting electron pair coupling), called vortices (or flux tubes), with each vortex
containing one quantum of flux, 0 (12). Abrikosov, in his study of type II superconductors determined that 0
= h/2e, where h is Plancks constant and e is the electronic charge (12). The vortices form a periodic lattice called
the Abrikosov vortex lattice. The resistivity of a superconductor may be vanishing in the mixed state, provided
the vortices are pinned or trapped. As the applied magnetic field (H a ) approaches H c2 , the number of vortices
increases until there can no longer be any more addition of vortices, at which point the material becomes a
normal conductor. Figure 4 shows the magnetic properties of type II superconductors. In the mixed state, each
vortex resides in a normal region, which is separated by superconducting regions. The vortices experience three
different types of forces: one is the Lorentz force due to the flow of external current, experienced in the direction
of and proportional to the vector product of the current and the vortex field. Second is the force of repulsion
from other vortices, and third is the pinning force from metallurgical defects. The Lorentz force causes motion
of vortices (also called the flux flow). The vortex motion produces an opposing electric field to the flow of current,
Fig. 3. A type I superconductors magnetic flux density versus applied magnetic field. A type I superconductor will not
have any flux enclosed in the bulk below the critical temperature. Above the critical field, the applied field completely
permeates in the bulk of the material.
essentially contributing to ohmic losses. However, vortices can become trapped or pinned (called flux pinning)
at metallurgical defects, in secondary phases or at impurity sites. Owing to the repulsive forces between each
vortex, pinning a few vortices may lead to a frozen vortex lattice, or a lossless state, true only for direct currents
and low-frequency alternating currents. The frozen vortex lattice occurs only below a critical field called the
irreversibility field (H irr ). Some of the trapped vortices could remain in the superconductor, contributing to a
hysteretic behavior when an alternating current is applied, which produces further dissipation of energy. The
trapped flux is analogous to remanent flux in ferromagnetic materials. While pinning centers tend to prevent
the movement of vortices, there is a tendency for vortices to jump over the pinning defects. This phenomenon is
called flux creep. At H a > H irr , vortices will move, causing additional energy dissipation. Resistive transitions
in a superconducting sample in applied magnetic fields are shown in Fig. 5. Transitions at higher fields clearly
show additional ohmic losses (13). Although the upper critical field is generally higher in type II materials, the
limiting field is the irreversibility field, which is an order of magnitude lower than H c2 . Owing to the complex
nature of the cuprate ceramic superconductors, and operation at higher temperatures, the ac losses in HTS
materials are generally higher than in LTS materials (14,15).
Structural Properties. The presence of one or more copper oxide (CuO2) planes in the unit cell is a
common feature of all HTS materials, also referred as cuprate superconductors. The most popular cuprate
materials are Y1 Ba2 Cu3 O (henceforth referred to as YBCO), Bi2 Sr2 Can 1 Cun O2n+4 (where n = 2, 3) BSCCO
(henceforth referred to as Bi2212, Bi2223 for n = 2, and n = 3, respectively), Tl2 Ba2 Cam 1 Cum O2m+4 (henceforth
referred to as Tl2201, Tl2212, and Tl2223), and HgBa2 Cam 1 Cum O2m+2 (where m = 1, 2, 3). Table 1. lists
the well developed cuprate superconductors, their superconducting properties, and important applications
demonstrated to date. In YBCO, there are two square planar CuO2 planes stacked in the c-direction, separated
by an intercalating layer of barium and copper atoms and a variable number of oxygen atoms. The conventional
wisdom is that the CuO2 planes are the conduction channels of superconductivity, whereas the intercalating
layers provide carriers or act as charge reservoirs necessary for superconductivity, although this view is
not shared universally (16). The charge density, the number of superconducting charge carriers per unit
volume, is determined by the overall chemistry of the system and by the charge transfer between the CuO2
planes and the CuO chains. The charge density in a HTS material (1019 /cm3 ) is two orders of magnitude
lower than conventional LTS (1021 /cm3 ). Remarkably, the oxygen content in the system changes the oxidation
Fig. 4. The magnetic behavior of a type II superconductor, showing the Meissner state behavior below H c1 , mixed state
behavior between H c1 and H c2 , and the surface superconductivity between H c2 and H c3 .
Fig. 5. Temperature dependence of resistivity for an epitaxial c-axis-oriented YBCO thin film at different magnetic fields,
with the field parallel to the c-axis. Courtesy of 13.
states of the copper chain atoms, which, in turn, affects their ability for charge transfer, charge density,
and superconducting properties. Depending on the oxygen content, the YBCO 123 material could have a
nonsuperconducting tetragonal (a = b = c) phase or a 90 K superconducting orthorhombic (a = b = c) phase.
When fully oxygenated, YBCO possesses an orthorhombic unit cell with typical dimensions of a = 3.85 , b =
3.88 , and c = 12.0 , and a T c = 90 K. Figure 6 shows the crystal structure of YBCO 123 and BSCCO 2223
superconductors, showing the conduction layers and the binding layers in each case.
BSCCO superconductor contains a weakly bonded double BiO layer that separates the CuO2 planes. The
Bi2223 structure is part of a family of several other HTS compounds in which Bi is replaced by Tl or Hg
(with different oxygen coordination) and partially by lead. In some cases, the double layer of these metal-oxide
layers can be reduced to a single layer-yielding another family of superconductors such as 1212 or 1223 [e.g.,
Fig. 6. The crystal structure of YBCO 123 and BSCCO 2223 compounds, showing the conducting layers, and the binding
layers.
(TlPb)1 Ba2 Ca2 Cu3 O10 henceforth is referred as Tl1223]. The single-layer compounds offer strong flux pinning
and low intrinsic defect structure compared to the double-layer compounds. The presence of a weakly bonded
BiO layer is crucial for their superconducting properties in the Bi2212 and 2223 systems. The mechanical
properties of Bi2212 and 2223 are micaceous, (mica-or clay-like), and they have highly anisotropic growth
rates along the ab- plane and c-direction. The latter is important to process long-length wires and to enhance
the electromagnetic connectivity of the grains, thus making high transport current densities over long lengths
possible (17). This is mainly due to the weak interlayer bonding of the BiO layer with the CuO2 planes. On
the other hand, the weak interlayer bonding of the BiO layer also leads to intermixing of Bi2212 and Bi2223
phases. In spite of this weakness, better grain connectivity and micaceous crystalline morphology are attractive
for developing long-length HTS wires. Tl2212 and 2223 compounds have a structure similar to the Bi2212 and
2223 compounds with (TlO) double layers replacing the BiO layers.
Other Important Properties. All the popular HTS materials possess a fundamental limitation, crystalline anisotropy, (i.e., they possess different structural and electrical properties in different directions). Superconducting properties such as critical current density (J c ) and critical magnetic field (H c ) along the ab-planes
(xy) are superior to those along the c-axis (z). A major challenge for researchers has been to develop textured
samples to take advantage of high J c in the ab-planes. The HTS materials also exhibit higher penetration
depths compared with LTS materials. The penetration depth is an important parameter for high-frequency
applications of superconductors. It is defined as the depth through which a magnetic field penetrates into a
superconducting sample and decays to 1/e of the field at the surface. The magnetic field decays in the form of
H = H 0 e x/ , where H 0 is the field at the surface,x is the depth through the sample, and is the penetration
depth of the superconductor, analogous to the skin depth in conventional electrical conductors. Penetration
depth (T) increases with temperature. Penetration depth is a frequency independent parameter in contrast
to the frequency-dependent skin-depth of normal conductors. This means that little or no dispersion will be
introduced in superconducting components, and that it will be negligible up to frequencies as high as tens of
gigahertz, in contrast to dispersion present in normal metals. Furthermore, lower losses in superconductors
lead to a reduction in physical size, and this feature represents another advantage for HTS thin-film based
circuits. Compact delay lines, filters, and resonators are possible with a high-quality factor (Q) due to lowconductor losses (see Superconducting filters and passive components). The challenge in processing involves
developing HTS materials with smooth-surface morphology to minimize high-frequency ac conductor losses.
The extremely short coherence length in HTS materials (<30 along the ab-plane) increases the difficulty of
making Josephson junctions (see (Tunneling and josephson junctions).
deleterious to J c (18). However, the elimination of high-angle grain boundaries in HTS materials has been a
difficult challenge to overcome. Recent developments in YBCO-coated conductors show promise in reducing
the presence of high-angle grain boundaries, discussed later. To date, YBCO is the most successful candidate
for enhancements in flux pinning (19). Enhancement of flux pinning and suppression of flux creep have been
achieved by the introduction of controlled amounts of defects, such as co-existing normal secondary phases by
alloying, or by neutron or proton irradiation to define normal regions along the particle trajectory that give
rise to higher J c s and higher H c s (20).
Tremendous progress has been made in the synthesis of bulk single-phase HTS conductors, silversheathed wires, tapes, and thin-film-coated conductors in the past decade as shown in Table 1.. Bulk conductors
with large volume fraction of superconductivity are being routinely synthesized in YBCO-, Tl-, and Bi-based
HTS materials. Improved powder synthesis, understanding of reaction chemistry, and phase diagrams have
led to increased phase purity and improved flux pinning (resulting in higher current density at self field).
Solid-state reaction and melt texturing are the two widely used processing methods for bulk HTS.
again and heat-treated for an additional 24 h; this step is repeated to promote homogeneity. The samples are
then pelletized in desired shapes using a hydraulic press at a typical pressure of 1500 psi. The pellets are
placed in a crucible made of gold or platinum or alumina, introduced into a furnace preheated to 900 C, and
sintered typically in air for 24 h. Oxygen is introduced into the furnace at around 500 C, during slow cooling
of the samples to room temperature. This gives rise to the growth of the orthorhombic superconducting phase.
Although the technique is fast, there are undesirable phases present in samples prepared this way, typically
BaCuO2 , CuO, and possibly some contamination from the heat-treatment process. In spite of these limitations,
it is possible to get T c of 90 K and current densities of 104 A/cm2 at zero field at 77 K. The polycrystalline
nature of grains in such samples results in poor field dependence of J c , with J c decreasing by three orders of
magnitude in a magnetic field of 0.1 T.
Texturing of YBaCuO 123 Superconductors. Texturing of YBCO can be achieved using mechanical, magnetic, and melt-growth techniques. The mechanical and magnetic means produce only a marginal
improvement in superconducting properties, mainly owing to the presence of large-angle grain boundaries,
whereas melt growth techniques reduce the large-angle grain boundaries and improve the critical currents
significantly. The melt-texturing techniques are the most suitable for enhancement of J c . Among the melttexturing methods, melt texture growth (MTG) (21), quench and melt growth (QMG) (24), and melt powder
melt growth (21) are techniques developed for nondirectional solidification. In these techniques, large YBCO
crystals grow stacked along the c-axis, with improved connectivity between grains. Directional solidification is
also possible by providing the right thermal gradient during the melt processing, either by the movement of
the furnace or the sample. Directional solidification methods align the grains along the ab-planes, the direction
of current flow, thus improving the current-carrying capability. It is also possible to align the grains along a
preferred orientation using seeded melt growth (25) and liquid phase removal methods (26). In the seeded melt
growth technique, a directionally oriented single crystal Sm123 or Nd 123 is used as a seed material to grow
YBCO, oriented along the same preferred direction.
The melt texture growth of YBCO 123 involves melting the YBCO above its peritectic temperature,
approximately 1010 C, in air. When heated above this temperature, the 123 compound undergoes incongruent
melting to form a solid and a liquid phase according to the following reactions (27)
On subsequent slow cooling, large oriented domains of 123 phase with 211 (green phase) inclusions are formed.
In such samples, grains grow preferentially along ab-planes, are stacked along the c-direction, and are coupled
by low-angle grain boundaries. This microstructure is in contrast to small randomly oriented polycrystalline
grains obtained by solid-state reaction. Quenching studies performed on MTG samples show the presence of
faceted growth interfaces where the 211 volume fraction and particle size decrease abruptly upon being included
into the growing 123 grains. The 211 phase appears to provide Y into the growing phase of 123. The MPMG
process is the most commonly used melt growth process designed for smaller sizes of the 211 precipitates, and
homogenous distribution of the precipitates. This technique involves reaction of Y2 O3 with a liquid phase to
form the 211 phase. The nonuniform distribution is handled by taking the powder and crushing and remixing
the melt quenched samples. This powder is pressed into a pellet and subjected to the melt growth. It was
found that the samples should not be held above the peritectic temperature for too long because the 211 phase
will grow into coarse grains. After a short time above the peritectic temperature, it is cooled to just below the
peritectic temperature and then slow-cooled in flowing oxygen to room temperature. The temperature profiles
for MTG and MPMG processing techniques are shown in Fig. 7. The critical temperatures are identified for
10
Fig. 7. Temperature profiles for (a) the melt texturing growth and (b) the melt process melt growth. Courtesy of 27.
Fig. 8. Schematic representation of microstructure evolution in the MPMG growth of YBCO: (a) after quenchingyttria
and solidified liquid; (b) during heating to the 211 + L regionyttria particles redesolving; (c) in the 211 + L region; and
(d) final microstructure showing the 123 and 211 phases. Courtesy of 27.
both processes. The evolution of the microstructure during the MPMG process is shown in Fig. 8 (27). The
figure illustrates the formation of 211 inclusions into the liquid phase.
The seeded melt growth is the most promising for large area domains of YBCO (27). In this technique,
a single crystal seed of Sm123 or Nd123 is embedded in a presintered Y123 bar, and the whole assembly is
introduced into a modified Bridgeman furnace for 15 min to 30 min and then withdrawn at a very slow rate
of 1 mm/h. As the growth front of Y123 passes by the seed, seeded growth occurs at the interface. Because of
the favorable alignment along ab-planes, large current densities, as high as 46,000 A/cm2 at 77 K and zero
field have been demonstrated. In the melt growth techniques, the hold time and cooling rate have a strong
influence on the microstructure of the samples, determining the grain size and nature of the grain boundaries.
The hold time determines the amount of liquid phase formed for the peritectic recombination. The cooling rate
determines the time available for the recombination of the liquid (25).
11
Processing of TBCCO 2212 and 1223 Superconductors. In general, processing of thallates with
high superconducting volume fraction (V sc ) has been difficult, primarily because of the volatility of Tl. Processing of Tl based HTS can be done either using an open system, or a closed system. In the open system, a sample
is heat treated in an oxygen atmosphere or air at temperatures between 850 C and 900 C, for 10 min to 15 min.
In a closed system, samples are sealed in a gold tube and heat-treated for longer times of 24 h to 48 h (28). The
open system is susceptible to loss of Tl and, hence, requires additional compensation for Tl. The closed system
has the disadvantage of sealing the samples in a container or a foil, resulting in reaction between the Tl-based
compound and the container. In our work, we were able to synthesize samples with T c s greater than 100 K for
the Tl2212 phase and 115 K for the Tl1223 phase, with superconducting volume fractions greater than 50%
in each case, by tuning the process parameters (29). The processing parameters that were optimized included
the starting compositions of the compounds, sintering temperatures, and sintering times. The starting compositions are critical mainly because of the loss of Tl during heat treatments. In the 1223 superconductor the
Hitachi composition of Tl0.5 Pb0.5 Sr1.6 Ba0.4 Ca2 Cu3 Oy has been a popular starting composition for high-quality
1223 superconductor (30). In our study, we examined the role of different Ba concentrations and excess Tl and
Pb doping concentrations to obtain a single phase 1223 superconductor in an open system (29).
Tl2212 samples were synthesized starting from BaCuO2 , CaO (99.999%) and Tl2 O3 (99.999%) compounds.
BaCuO2 was prepared by mixing BaO2 (99%) and CuO (99.99%) in equal molar portions and heat treating at
900 C for 24 h in air. The latter step was repeated after regrinding the pellet to promote homogeneity. BaCuO2 ,
CaO, and Tl2 O3 were mixed in a molar ratio of 2:1:1.07, pelletized, and reacted in an enclosed Pt crucible. Our
results indicate that samples sintered at 850 C for 10 min followed by a second heat treatment at 860 C for 10
min displayed optimum superconducting properties, which included a sharp T c of 97 K, with a superconducting
volume fraction as high as 54%. The porosity of the samples appears to be the limiting factor for the V sc .
The first step performed in the synthesis of (Tl,Pb)(Sr, Ba) CaCuO 1223 superconductor, was the preparation of a SrCa CuO precursor, starting from 99.999% pure CaO and CuO and 99% pure SrO. Appropriate molar
portions of SrO, CaO, and CuO were mixed, heated to 900 C for 24 h, cooled, and ground into a fine powder;
then the same process was repeated to obtain a homogeneous precursor compound. For the Ba-doped samples,
appropriate amounts of 99.99% pure BaO2 were alloyed with SrO, in the precursor. The second step was to add
appropriate amounts of 99.999% pure PbO and Tl2 O3 to the precursor. Pellets of approximately 500 mg were
made and heat-treated in an enclosed Pt crucible, at a temperature of 870 C for 10 min, followed by a slow
cooling.
12
Magnetic Properties. Vibrating sample magnetometer (VSM) is a widely used technique for the study
of all types of magnetic materials as it permits a measurement of the dc magnetization of a sample. In HTS
materials, VSM permits establishing the T dependence of the diamagnetic susceptibility in both a zero-fieldcooled (ZFC) and a field-cooled (FC) configuration. In the VSM technique, a sample is placed in a uniform
magnetic field and vibrated at a low frequency so as to induce a voltage signal in a pickup coil proportional
to the magnetic moment of the sample. The magnetic moment is proportional to the product of the sample
susceptibility and the applied field (30). Measurements are typically performed at several temperatures to
obtain the magnetic and superconducting properties. A plot of the magnetization versus applied magnetic field
can yield the magnetization J c using the Beans critical state model. For a cylindrical sample, the magnetization
J c is approximately 30 M/d where M represents the width of the hysteresis loop at a particular applied
field H, and d the effective thickness of the sample normal to the applied field (31). This model typically results
in an overestimate of the actual J c . A more direct method to determine the transport J c is by using the fourpoint probe method, in which a 1 V/cm electric field criterion is used to establish the transport J c . Other
magnetization measurement techniques include ac and SQUID magnetometers. In the ac magnetometer, a
sinusoidally oscillating field is used. The primary coil is surrounded by a set of oppositely wound detector coils.
Introducing a magnetic sample into the center of one or the other secondary detector coil leads to a voltage
imbalance in the secondary circuit (32). The resulting flux change leads to a measurable induced emf, which
is proportional to the sample susceptibility. Magnetic moment sensitivities as low as 10 8 emu are possible
using a commercial ac susceptometer. Enhanced sensitivity can be obtained using a SQUID magnetometer,
as SQUIDs are used in the detector circuitry. There are a large number of tools available for researchers to
correlate the physical properties with the processing variables. Table 2. lists characterization tools used by
HTS researchers, the outcomes from each tool, and corresponding observable.
Structural, Electrical, and Magnetic Properties of Bulk Superconductors. YBCO (123) Superconductors
The T c of YBCO is near 90 K, provided the oxygen content in YBCO is optimal. YBCO, in particular,
has remarkable of T c sensitivity to oxygen stoichiometry as displayed in Fig. 9 (33). Specifically, one finds
that at = 7.0 in the Y1 Ba2 Cu3 O superconductor,T c = 90 K. For < 6.2, T c = 0 K. The plot of Fig. 9 also
reveals that in the range 6.6 < < 6.8, T c = 60 K, and displays a plateau, a feature that is identified with
the existence of a superstructure in oxygen bonding. Figure 9 also reveals that it is not only the value of
, but also the distribution of oxygen in the structure that controls the value of T c . For YBCO, it is well
established from neutron Bragg diffraction measurements that oxygen in the CuO2 planes remains intact,
whereas oxygen in the chains (CuO3 ) diffuses at modest temperatures T > 300 C and effuses out of the
structure at T > 500 C. An orthorhombic to tetragonal phase transformation occurs near an oxygen content
of = 6.5. Oxygen can be reversibly desorbed and absorbed from YBCO either by heating in a vacuum or an
oxygen ambient, respectively. Plasma oxidation has been demonstrated as an attractive means to oxygenate
HTS at rather modest temperatures for microelectronic applications. At a local level, it appears that the T c ()
variation can be traced to the length of the Cu(1)O(4) bond that displays a threshold behavior as shown in
Fig. 10 (33). Superconductivity in YBCO commences when the Cu(1)O(4) bond length acquires a value of 1.82
or larger. Bond lengths less than 1.82 , apparently couple the pyramidal oxygen O(4) to the Cu(1) chain
cations, inhibiting charge transfer from the chains to the CuO2 planes. Oxygenation of YBCO to a value of
6.5 gives a Cu(1)O(4) bond length close to the threshold value.
The melt-textured samples have higher J c compared with conventional solid-state-sintered samples.
Figure 11 shows the enhanced J c typical of melt-processed samples (14,15). For comparison,J c of an epitaxial
YBCO thin film is shown. The figure shows improvement in J c for melt-textured samples compared with the
conventionally sintered samples. Melt-processed samples have at least an order of magnitude higher in-field
J c and do not drop as rapidly at higher fields as the sintered samples.
2212 superconductors. Synthesis of the Tl2212 superconductors was performed by reacting appropriate amounts of the Ba2 Ca1 Cu2 O5 precursor with Tl2 O3 . The results of three samples each processed differently
13
14
Fig. 9. Critical temperature versus oxygen content for YBCO samples. The dots and crosses were measured on samples
with oxygen removed by high-temperature quenching and low-temperature gettering, respectively. Courtesy of 33.
are presented here. Sample 1.1 was processed at 850 C for 10 min. Sample 1.3 was processed at 850 C for 10
min followed by an additional heat treatment at 860 C for 10 min. Sample 1.6 was prepared by heat treatment
at 850 C for 10 min followed by heat treatment at 860 C for 45 min. From XRD measurements, all samples
showed the characteristic Bragg reflection peaks of the Tl2 Ba2 CaCu2 O8 phase. No other impurity phases were
detected in the XRD scans. Figure 12 shows the XRD reflections for the three samples in which characteristic
peaks of the Tl2212 phase are clearly visible. Figure 13 shows the magnetization hysteresis loops of the three
samples recorded at 15 K. The magnetization scale for all samples was normalized to their mass. As seen
in Fig. 13, the diamagnetism displayed by sample 1.3 is the largest among the samples investigated. This
result has been reproduced in several samples. Figure 14 displays the temperature dependence of magnetic
susceptibility. In this figure, the scans are labeled according to the second heat-treatment temperature and
time. One finds that the second-step heat treatment at 860 C for 10 min not only increases the saturation
diamagnetization at T = 15 K but also significantly narrows the width of the superconducting transition, without affecting the T c value. Our conclusion is that the second-step heat treatment produces more strain-free
material, or chemical ordering of the phase. The underlying structural growth does not alter the bulk T c , but do
significantly enhances the diamagnetic response (Fig. 14), owing due to growth of a more strain-free material.
The superconducting volume fractions for samples 1.1, 1.3, and 1.6 were 37%, 54%, and 27%, respectively.
Clearly, the process conditions used for sample 1.3 appear to optimize growth of the superconducting phase.
Further increase in the second-step heat treatment time, resulted in an increase of T c to above 110 K. It has
been shown by Sugise et al. (34), that prolonged heating of Tl2212 samples in air can grow bulk 2223 samples.
Depletion of Tl2 O3 from Tl2212 samples essentially leads to the transformation of the two-layered cuprate
Tl2212 into the three-layered cuprate Tl2223 structure. Since the XRD scans provide no evidence of presence
of the 2223 phase, it must be present as stacking faults, or planar defects, as documented by Raveau et al. (35)
using high-resolution electron microscopy. Also, in Fig. 14, note that the sample with second-step 20 min heat
treatment in a sealed gold tube displays much smaller diamagnetism than the samples heat-treated in a Pt
15
Fig. 10. Critical temperature versus Cu(1)O(4) (copper in the chain bridging oxygen) bond length in the 123 phase. Also
shown are data for dopants introduced into the YBCO phase, with similar structural changes. As shown in the inset, the
CuO2 CuO2 plane distance increases, the O(4) moves toward the CuO chains, and the Ba moves toward the CuO2 planes.
Courtesy of 33 and references therein.
crucible. This is evidence of a reaction between the thallates and the gold tube at 860 C in a closed system and
suggests that gold should be avoided as a crucible for processing in a closed system of thallate superconductors.
Tl1223 Superconductors. For the synthesis of 1223 compound, the starting composition of
Tl0.5 Pb0.5 Sr2 Ca2 Cu3 O9 (1223) stoichiometry upon thermal processing yielded samples with 1223 and 1212
phases that had a T c of 107.5 K and a volume fraction of 55%. Replacement of some Sr by Ba in the starting
composition Tl0.5 Pb0.5 Sr2 x Bax Ca2 Cu3 O9 , on the other hand, yielded samples that had the 1223 phase. Samples with a Ba content x < 0.4 clearly were found to have both 1212 and 1223 phases, whereas samples with x
> 0.4 showed principally the 1223 superconductor. At x = 0.75, samples containing only the 1223 phase were
obtained upon heat treatment at 870 C for 11 min, using starting materials in which 50% additional Tl2 O3 and
PbO were compensated. Low-field ac susceptibility measurement of the sample shown in Fig. 15 reveals an
onset T c of 117 K with a superconducting volume fraction of about 50%. Note that the in-phase susceptibility
does not indicate the presence of minority phases. Samples with a Ba content of x = 0.75 showed the highest
T c of 117 K. Our results indicate that a minimum Ba content of x = 0.4 is necessary to produce a majority
1223 phase, which appears to be consistent with the Hitachi composition. Our study also demonstrates that
the highest T c , with the largest superconducting volume fraction are obtained at a Ba content of x = 0.75, in
Tl and Pb over compensated samples.
Metal-Doping Effects in YBCO Bulk Superconductors. Doping transition metals of group III (Fe,
Co, Ni) in YBCO exemplifies the trials and tribulations of working with high T c superconductors. As material
quality has improved, so have the challenges to understand the nature of the pairing state. One of the areas
that has been intensively studied is the doping of Fe in YBCO. More than 1000 papers have been published
16
Fig. 11. Comparison of field dependence of critical current density at 77 K, for conventional solid-state-sintered YBCO,
melt-textured YBCO, and the epitaxial YBCO thin films. The figure shows improvement in J c as a function of processing.
Courtesy of 14 and 15.
in this area, with the main conclusion that the broken line curve in Fig. 16 displays the T c (x) variation
separating the superconducting phase (x < xc ) from the antiferromagnetic insulators (x > xc ) near x = xc =
0.13 (36). This is the typical result for samples prepared by standard solid-state reaction at ambient pressure
of oxygen (henceforth referred to as APO). Recently, it has been demonstrated that the underlying phase
diagram is actually an artifact of sample processing. When these samples undergo an additional sinter at
915 C at elevated pressures of oxygen (220 atm of pure oxygen),T c (x) increases qualitatively, and all the
samples studied up to x = 1/3 are rendered superconducting with a T c greater than 50 K. In particular, samples
that were considered to be antiferromagnetic insulators (x > 0.13) have been rendered superconducting, thus
altering the presently accepted superconducting-antiferromagnetic phase diagram. Powder X-ray diffraction
measurements have shown that the average structure of the samples synthesized at high pressures of oxygen
(henceforth referred to as HPO) are also tetragonal as the usual samples synthesized at APO, except for a small
excess of oxygen. The Fe local environments as revealed directly in Mossbauer spectroscopy measurements in
the two types of samples (APO and HPO) at the same value of x are, however, qualitatively different, suggesting
that aspects of dopant-centered local structures control in a remarkable way the superconducting behavior in
these cuprates. There are plausible reasons to suggest that the majority of Fe resides in the CuO3 chains, but
that in APO samples at least Fe3+ does not replace Cu2+ but instead acquires a tetrahedral co-ordination as
exemplified by Ga3+ cations in Y1 Sr2 Ga1 Cu2 O7 superconductors (37). In HPO samples,Fe3+ is oxidized to Fe4+
with localization of an additional oxygen atom in its near-neighbor coordination, thus qualitatively changing
the local site symmetry. The Co doping effects in YBCO bear a similarity to those of Fe doping, although the
effects are less dramatic. The HPO sintering of APO samples increases the T c quantitatively in general at
doping concentrations x < xc = 0.20. At x > xc , antiferromagnetism sets in, and even HPO synthesis is unable
to transform such samples to superconductors. The Ni doping effects in YBCO are apparently unaffected by
17
Fig. 12. Powder X-ray diffraction spectra obtained three Tl2212-sintered samples processed at different conditions as
outlined in the text.
HPO synthesis as revealed by the results shown in Fig. 16. The T c (x) variation of Ni-doped YBCO samples
sintered at APO is similar to the variation in HPO samples. In contrast to Fe and Co doping, Ni doping in
YBCO does not alter the lattice symmetry, which remains orthorhombic, probably because the dopant enters
the structure substitutionally as Ni2+ replacing Cu2+ . The Fe and Co dopants enter the structure in higher
charge states Fe3+ and Fe4+ , Co2+ and Co3+ largely in planes and bring in additional oxygen to alter not only the
18
Fig. 13. Diamagnetic response of the samples 1.1, 1.3, and 1.6 obtained using VSM at 15 K. Sample 1.3 shows the largest
diamagnetic susceptibility among the three samples, indicating that the heat treatment procedure used for sample 1.3 is
close to the optimum.
lattice symmetry, which changes from orthorhombic to tetragonal, but also to create new local structures. The
nature of these local structures continues to be a subject of current interest in large part because there appears
to be a close correlation between the existence of specific structures and appearance of bulk superconductivity.
These new results also demonstrate that Fedoped YBCO samples synthesized at HPO are chemically more
19
Fig. 14. The temperature dependence of magnetic susceptibility obtained from VSM measurements. Sample 1.3 shows
the sharpest transition among the samples studied.
Fig. 15. The ac susceptibility measurement of a 1223 sample with x = 0.75 obtained using an ac susceptometer.
stable that those synthesized at APO. The higher chemical stability of HPO-synthesized YBCO samples may
be attractive for various applications of bulk, thin films, and wires.
In-Situ Versus Ex-Situ Processing. Thin films of HTS materials (see THIN FILMS) have been deposited
on a wide variety of substrates using two different procedures: in-situ processing and ex-situ processing. Both
processes require high-vacuum deposition systems. In-situ processing is a technique in which the samples
are deposited and processed inside a vacuum chamber and may not require any postprocessing outside the
20
Fig. 16. T c plotted as a function of metal doping concentration x for M = Fe (top panel),M = Co (middle panel) and M =
Ni (bottom panel) in YBCO samples synthesized a high P (filled symbols) and at ambient P (open symbols). Lines drawn
through data points are guides to the eye. The T c values determined either magnetically or resistively are representative
of a vast literature. Magnetization measured in a 20 G field, in the zero field cooling mode, are displayed in insets.
chamber (i.e., the samples they will be superconductors when they are removed from the vacuum chamber).
The ex-situ processing method, on the other hand, requires postprocessing of samples outside the chamber.
A deposition process will yield an amorphous material if the substrates are not heated; therefore, additional
heat-treatment becomes necessary to obtain superconducting samples. The In-situ procedure is preferred
because it leads to thin films with uniformity, with good control over the growth process and stoichiometry.
21
An in-situ deposition process usually uses a complex deposition system configured with a substrate heater
assembly and process-monitoring capabilities. in-situ processing allows growth of multilayers with precise
thickness control, essential for applications such as coated thin film conductors on metallic substrates with
buffer layers. A drawback of the method is that it is not suitable for deposition on large area substrates. An exsitu procedure is a simpler process because it is easier to optimize, and one can obtain reasonably good quality
HTS thin films with postprocessing outside the vacuum chamber. The system requirements are less expensive
compared to in-situ processing and are possibly applicable for thick or coated films of superconductors.
Physical and Chemical Deposition Methods. Deposition of HTS thin films can be done using either
a physical or a chemical deposition method. The physical deposition methods include techniques such as
sputtering, electron beam evaporation, and pulsed laser ablation or deposition. Chemical deposition methods
include techniques such as metal-organic chemical vapor deposition (MOCVD) and metal-organic decomposition
(MOD) (see THIN FILMS). Primarily, physical deposition techniques include some form of high-energy ion or
electron bombardment of the target to release the material for deposition onto substrates. A chemical deposition
method involves chemical vapors (transported using a gas such as hydrogen or nitrogen) reacting at the surface
of heated substrates to form a thin film of desired composition. Both physical and chemical methods can be
tailored for in-situ or ex-situ processing. Among the deposition methods, sputtering and pulsed laser deposition
(PLD) are the most popular methods used, especially for the in-situ processes (see THIN FILMS).
Pulsed Laser Deposition. Pulsed laser deposition has been successfully used to deposit HTS thin
films for in-situ processing; PLD is designed to replicate target stoichiometry in the deposited thin films (38).
A typical PLD system consists of an ultra high vacuum chamber containing fused quartz windows for in-situ
spectroscopic investigations. Pulsed laser deposition is a vapor phase deposition process in which a focused
pulsed laser beam of energy density (also called fluence) exceeding 1 J/cm2 strikes a sintered composite target
material at an angle of 45 , vaporizes the target, and grows a thin film on a heated substrate placed nearby.
A CO2 laser, a Nd:YAG laser, a XeCl, or a KrF excimer each have been successfully used for PLD growth of
HTS. Multiple targets can be used with each target ablated for a required amount of time. In multitarget
systems, a target is rotated and synchronized with an optical encoder for pulsing the laser. This helps reduce
the focused laser beams heating effects on the target. A water-cooled target holder is normally used for further
heat removal. in-situ deposition of YBCO thin films is typically performed at an oxygen partial pressure in
the 70 mTorr to 200 mTorr range, on substrates heated in the range of 600 to 800 C depending on the choice
of substrates. The presence of oxygen is required for compositional control of the oxide superconductors. After
deposition, an oxygen anneal of the deposited film is performed for several hours at a reduced temperature
(400 to 500 C), with slow cooling, in a higher oxygen partial pressure of 500 mTorr to 700 mTorr to obtain
superconductivity (38,39).
Typical growth rates using a PLD system are in the range of a few angstroms per second. The growth
parameters controlling the quality of thin films include the choice of the substrate, substrate temperature,
background pressure of the vacuum system, laser energy density, frequency of the laser pulse sequencer, and
oxygen partial pressure in the system. The HTS thin films prepared by PLD have excellent surface morphology,
although one of the problems encountered has been the presence of particulates on the surface of such thin
films. Understanding the chemistry of the laser interaction with emitted radicals of the target material is
essential to control the growth quality of thin films over large areas and continues to be a subject of current
research.
Sputtering of Thin Films. Sputtering is perhaps one of the more popular deposition methods used
in the semiconductor industry for metals and dielectric materials. Broadly, there are two methods used: dc
sputtering and RF sputtering. Both methods use ion plasma, typically argon, because of its inertness. Highenergy ions bombard a target material to release target atoms, which are deposited on a substrate. Substrates
can be heated to a high temperature for in-situ processing of materials. A modified method in sputtering, called
magnetron sputtering, uses a magnetic field at the target to confine the plasma, which leads to higher deposition
rates at low working gas pressures. Sputtering systems can employ either a single target or multiple targets. A
22
multitarget sputtering system gives precise control in multilayer depositions, because one can deposit a chosen
target for a desired time, close a shutter and move on to the next target, essential for deposition of multilayers.
Single-target systems are inexpensive and easier to use. Due to differing sputtering rates of various atoms,
control of their relation content in a thin-film is difficult. In general, sputtering is susceptible to effects such as
negative ion bombardment, which are primarily due to oxygen ions bombarding and resputtering the deposited
thin film. One way to minimize negative ion bombardment is to use an off-axis geometry in which a substrate
is mounted transverse to the target assembly. Process optimization is difficult to achieve for deposition of
complex oxides such as the HTS materials. Some of the process parameters that need to be optimized include
the target stoichiometry, partial pressure of the inert gas, RF or dc power density, substrate-target distance,
and the substrate temperature. High-quality YBCO, TBCCO, and TPSCCO superconducting thin films and
multilayers have been deposited using off-axis magnetron sputtering (40,41).
Metal-Organic Chemical Vapor Deposition. Metal-organic chemical vapor deposition is a proven
technique for deposition of high-quality compound semiconductors. In principle, the advantages of MOCVD
are the ease of large-area depositions, with high deposition rates and without a need for sophisticated high
vacuum systems. However, the control of precise composition of the precursors is very difficult, and only small
area films have been made to date. The MOCVD uses a deposition technique in which the required atomic
constituents are transported in the form of vapors of metal-organic precursors by an inert transport gas such
as argon or nitrogen, premixed with an oxidizing gas. Metal-organic precursors are placed in stainless-steel
containers and heated to temperatures between 200 to 500 C to release vapors of the metal-organics. These
vapors react with the heated substrate inside a reaction chamber. Mass flow controllers are used to control flow
rates for each precursor. Mass flow rate, oxygen partial pressure and temperature of the substrate are some
of the important process parameters. Typical metal-organic precursors for YBCO include metal -diketonates
such as Y(C11 H19 O2 )3 , Ba(C11 H19 O2 )3 , and Cu(C11 H19 O2 )3 (42). A modification of this deposition technique is
also currently used and is called the metal-organic decomposition (43). In this technique, metal carboxylates
or acetates dissolved in an organic solvent are spin-coated on a substrate. The coated material is heat-treated
at high temperatures to obtain the desired HTS phase. The technique is simpler to use because it does not
require high vacuum equipment and is easily scalable for large area samples. The MOD does show promise for
long-length wires. However, it is not pursued by many researchers due to the difficulty of producing films with
only c-axis-oriented grains.
In our study, we have deposited in-situ films of YBCO on MgO substrates using the off-axis RF magnetron
sputtering (18) process. Typical sputtering conditions for YBCO thin films are substrate temperature of 700 C,
sputtering gas pressure of 500 mTorr, oxygen partial pressure of 10 mTorr, RF power of 60 W, and a target
dc bias of 30 V. We have also processed Tl2212 thin films by on axis RF magnetron sputtering, and ex-situ
processing. Tl2212 films were fabricated from both Tl2212- and Tl2223-sintered superconducting compounds
at an RF power of 220 W, chamber pressure of 5 mTorr. Such thin films had to be postprocessed in an excess
Tl2 O partial pressure, provided in the form of sintered 2223 pellets in a platinum crucible used for sintering. In
both cases, heat treatment times and temperatures were optimized to obtain smooth morphology, high phase
purity, and superior electrical and microwave properties (44).
Sputtering of TlCaBaCuO thin films from a sintered powder target was performed using pure argon
gas. The reason for not using oxygen and reactive sputtering is the volatility of Tl from the target. Tl readily
combines with oxygen and forms Tl2 O3 which can be easily pumped out from the chamber. The target gets
depleted of Tl much faster when oxygen is used as part of the reactive gases. The sputter-deposited thin films
were postprocessed in two steps: first, sintering in air at 850 C for 12 min to 15 min in an excess Tl2 O partial
pressure and, second, annealing in an oxygen flow of 500 sccm at 750 C for 15 min to 30 min. An excess Tl
partial pressure was maintained during the annealing process. Sintering was performed in a small box furnace
in the free surface configuration (45). Thin films were placed on a sintered pellet of Tl2223 with the film side
facing the free surface in a small covered platinum crucible. A second pellet was placed above the sample in a
platinum wire mesh support. The pellets provided the excess Tl partial pressure in the crucible to minimize the
23
loss of Tl from the thin film. Sintering of TlCaBaCuO thin film at 850 C in air for 12 min to 15 min established
the superconducting phase and morphology. At 850 C, Tl2 O3 decomposes into a liquid Tl2 O phase, which rapidly
sinters with other constituents. After sintering, the crucible was removed from the furnace and cooled rapidly.
Oxygen annealing of the air-sintered TlCaBaCuO thin film was carried out in the same configuration as used
for sintering, with an oxygen flow of 500 sccm to 1000 sccm. During oxygen annealing, thin-film grains grow
into large platelets. Oxygen annealing thus improves the electrical properties of the thin film by increasing its
oxygen content.
Another promising method for the growth of high-quality Tl-based HTS thin films is called the Tl2 O3 vapor
process or the thallination process (46). In this process, thin films of the precursor materials of BaCaCuO or
SrCaCuO are deposited using any of the thin-film deposition methods, and then the samples are heat-treated
in a Tl2 O3 vapor pressure. Tl2 O3 vapor diffuses into the precursor and reacts to form the superconducting
phases in the films. This technique is safer because the vacuum deposition chamber is not contaminated with
the Tl2 O3 vapors.
Characterization of Processed Superconducting Thin Films. The XRD provides one of the direct
characterizations of grown thin films because it gives information on the phase purity, their lattice constants,
and their crystallographic orientation with respect to the film plane. Electrical transport measurements are
performed for accurate measurement of transport current density at zero field as well as at finite magnetic
fields. The transport current measurements involve patterning a four-probe test structure on the HTS films.
The four-probe test devices are designed with line widths of 10 m to 1 mm depending on the nature of the
measurements.
The geometry of a typical test device is shown in Fig. 17. The test structure consists of two outer pads
through which a constant current source is applied and a voltage is measured across the voltage sensing lines,
which are 1 mm apart. The width of the voltage sensing should be less than the width of the line connecting
pads 1 and 2 in order to approximate a point contact as closely as possible. The test devices are patterned on
superconducting thin films using standard positive photoresist photolithography and a wet chemical etching
technique using a 1:100 phosphoric acid:DI water solution. A pulsed current is applied between the outer pads,
and the voltage across the outer sense lines is monitored. The electric field criterion of 1 V/cm is typically used
(i.e., the current through the sample at which measurement of 1 V potential difference over a 1 cm spacing
develops gives an estimate of the superconductors critical current). Also, the same test structure can be used
to determine the T c from temperature (T) dependence of resistivity (47). In such a measurement, a constant dc
current of 10 A is applied through the outer terminals, and the voltage across the sense lines is related to the
resistance of the sample. Knowing the exact thickness of the superconducting thin film and the cross-section,
the T dependence of resistivity plot can be obtained.
Structural, Electrical, and Magnetic Properties of Thin Films. High-quality YBCO and Tl2212
HTS thin films have been grown on various substrates such as YSZ, LaAlO3 (LAO), SrTiO3 (STO), CeO2 , and
MgO. Figure 18 shows an XRD scan of an annealed Tl2212 thin film on LAO substrate. The figure shows
characteristic reflections of Tl2212, Tl2223 phases as well as those of the LAO substrate. From the prominent
(0 0 l) reflections observed in the XRD spectrum, the highly c-axis-oriented growth is evident. The Tl2212
phase is the dominant one in the film, as determined from the intensities of the XRD peaks. The c-axis lattice
constant calculated from the XRD scan is found to be 29.2 . The a-axis lattice constant of LaAlO3 is 3.7801 .
The a-axis lattice constant of Tl2212 phase is 3.8503 . Thus, the lattice mismatch is less than 2%.
Figure 19 shows the temperature dependence of resistivity for one of the Tl2212 thin films deposited
on LAO, using a 50 m wide four-probe device with zero applied magnetic field. The zero-resistance T c is
approximately 100 K for the thin film. Figure 20 shows the typical zero field J c variation with temperature
obtained using a four-probe test device.J c Values at zero magnetic field as high as 5 105 A/cm2 at 77 K
and approximately 1 106 A/cm2 at 60 K were obtained. The surface morphology of the films was essentially
featureless and smooth, which is typical of high-quality films. In general, the J c of Tl2212 and Tl1223 thin
films are lower than the J c of epitaxial YBCO thin films. The presence of grain boundary weak links and
24
Fig. 17. Geometry of four-probe test devices used for electrical transport measurements. A current source is connected
between pads 1 and 2, and corresponding voltage measurements are taken across either pads 3 and 4 or pads 5 and 6.
weak flux pinning in thallate thin films may be the main reasons for the lower J c compared to epitaxial insitu-grown YBaCuO thin films. However, among the polycrystalline HTS, Tl2212 and Tl1223 thin films have
shown superior electrical properties; hence, such films are very attractive for electronic applications (48). insitu processing of Tl2212 and TlPb1223 superconducting thin films has not been highly successful owing to
the complex chemistry of the thallic oxides. Tl2212 and Tl1223 thin films with very low surface resistance (at
least two orders of magnitude below the oxygen-free copper conductor) at frequencies as high as 12 GHz and a
current density greater than 106 A/cm2 are routinely obtained (48).
25
Fig. 18. XRD reflections observed on a Tl2212 thin film on a LAO substrate. The reflections correspond to the Tl2212
phase, the Tl2223 phase, and the substrate.
Fig. 19. Temperature dependence of electrical resistivity for a 50 m wide Tl2212 thin film four-probe test device.
phase with a typical starting composition of Bi:Pb:Ca:Sr:Cu given by 1.8:0.4:2:2:3 for such growth (50). Figure
21 pictorially shows the four steps involved for the OPIT method of preparing HTS wires and tapes. In step
1, the precursor powder is prepared from a solid-state reaction (or calcination) of mixtures of Bi-, Cu-, and
Pb- oxides and Sr- and Ca- carbonates in an alumina crucible at temperatures between 800 and 860 C for
48 to 60 h in air. Another approach for synthesis of the precursor material is to mechanically alloy the
chemicals for higher packing density. In step 2, the precursor powder is filled inside a silver billet and then
drawn into fine filaments less than 1 mm in diameter. Several tens to a hundred of these filaments are stacked
in a silver tube, drawn, and then rolled into tapes 4 mm to 6 mm wide, and typically 0.2 mm thick in step 3.
Finally, in step 4, OPIT tapes are processed in the presence of a partial melt, which aids in densification and
26
Fig. 20. Typical temperature dependence of zero field current density for a postprocessed Tl2212 thin film. Measurements
were performed on the four-probe test devices.
grain growth. To create a partial melt, the sample is heated above 900 C for a short time, followed by a long
anneal of approximately 100 h at 840 to 850 C. At present, such tapes can be reliably manufactured in several
kilometer scale lengths. The main process parameters involved in the synthesis of wires thus include precursor
material synthesis, mechanical processing, and heat treatment conditions. The precursor composition, particle
size, and density are important factors in the quality of precursor material synthesis (51). Billet dimensions,
filament restacking geometry, and mechanical properties of the tape are the important mechanical aspects for
wires and tapes of HTS. Heating rate, sintering temperature, sintering time, and cooling rate are important
parameters for heat treatment conditions that control electrical and mechanical properties of drawn wires.
Heat treatment is performed after cutting wires to required lengths. Each filament is embedded in a silver
matrix, which adds mechanical strength and flexibility. A threshold value for silver content is required to
enhance electromagnetic grain connectivity, essential for long length conductors. At the same time, one needs
to maximize the superconducting volume fraction so that the HTS rather than the silver present in the
conductor provides the percolative path for charge carriers. Fill factor is one of the critical parameters in HTS
wires, defined as the ratio of the volume of the superconductor core to the entire volume of the conductor.
Typical fill factor in most HTS conductors to date is below 60% (51). Magneto-optic studies indicate that most
of the current transport occurs at the interfacial region between silver and the bulk BSCCO rather than in the
bulk BSCCO (52). The interfacial region with well-aligned grain structure appears to be the key to improve J c s
in these wires. The Bi2212 phase is attractive for low-temperature applications at 4 K because it is economical
to produce long length multifilamentary conductors (52).
HTS Wires and Tapes: Second Generation, YBCO-Coated Conductors. Primarily because of
the higher J c s demonstrated in biaxially textured thin films;. YBCO has enormous advantage over BSCCO for
wire applications. One approach is to grow YBCO on a biaxially textured chemically compatible buffer layer.
A processing technique proposed for biaxial texturing of chemically compatible buffer layers on untextured
substrates such as alloys of nickel is called the ion-beam-assisted deposition (IBAD) (53). Another approach
proposed is the biaxial texturing of the substrates. It is well known that metals when deformed into wires
27
Fig. 21. Schematic diagram showing the general OPIT process used to produce BSCCO tapes, developed by American
Superconductor Corporation. Courtesy of 15.
develop alignment along preferred directions. Recent work at Oak Ridge National Laboratory has shown that
biaxially textured [i.e., both in-plane and out-of plane alignments (ab)] nickel can be produced over long lengths
(54). Such substrates are called rolling assisted biaxially textured substrates (RABiTS) (54). An interesting
example of biaxial texturing of metallic sheets already used in electrical engineering is in silicon-doped steel
stampings used in the electric power industry, in which texturing results in the easy direction of magnetization
parallel to the length of sheets, reducing hysteresis losses.
The IBAD process is used primarily for deposition of buffer layers such as yttrium-stabilized zirconia
(YSZ), or cerium oxide (CeO2 ) on untextured nickel alloy tapes (53). The IBAD process is a thin-film deposition
process developed by IBM for preferential growth of biaxially textured buffer layer material on untextured
substrates. In this process, a rare gas ion beam is used to bombard the buffer layer along a preferred crystallographic direction as it is being deposited. The angle of incidence of the ion beam with respect to the plane
of the substrate is the critical parameter for the preferential orientation of the buffer layer grown. Typical
buffer layer of choice for IBAD has been YSZ, grown to a thickness of 200 nm to 500 nm. A thin layer of CeO2
is interposed between YSZ and YBCO for better lattice matching. Subsequent growth of postprocessed YBCO
thin or thick films on the biaxially oriented buffer layers have yielded high J c s > 105 A/cm2 at 75 K and in
magnetic fields up to 5 T. Figure 22 shows the J c versus magnetic field characteristics obtained for a short
sample of a 1.6 m thick YBCO/IBAD-YSZ/Ni alloy tape, at 75 K (53). The figure also shows the magnetic field
dependence of J c for a proton-irradiated Bi-2223 OPIT wire for comparison. The figure clearly shows the vastly
improved performance of the IBAD tape compared to the best OPIT wire. An advantage of the IBAD-buffered
HTS tapes compared to OPIT wires is that the tapes can be wound with the HTS in compression, attractive for
electromagnetic applications such as electric motors, and generators. Current efforts are underway to scale-up
the process for long lengths necessary for commercialization.
The Rolling assisted biaxially textured substrates (RABiTS) process was developed by Oak Ridge National
Laboratory for epitaxial growth of HTS thin films over long lengths. Figure 23 schematically shows the process
for the development of RABiTS (54). Nickel is the primary candidate for the RABiTS because of its higher
oxidation resistance compared with copper. Also, thermomechanical texturing of Ni gives a cube-textured substrate. A typical YBCO thin-film-coated conductor fabrication process involves thermomechanical processing
of Ni substrate (125 m), followed by PLD of buffer layers of CeO2 (0.2 m), YSZ (0.2 m), and finally 1 m
thick YBCO film PLD deposited at 780 C in an oxygen pressure of 185 mTorr (54). After deposition, the film
is cooled at 10 C per min, in an oxygen pressure of 700 mTorr at 400 C. All oxide buffer layers are grown at
temperatures of 780 C. RABiTS of lengths up to 100 feet (only the substrates with no HTS) have been processed
28
Fig. 22. J c versus applied magnetic field for a 1.6 m IBAD tape as a function of magnitude and orientation with respect
to the tape plane, compared with a proton-irradiated OPIT BSCCO 2223 wire. Courtesy of 14.
Fig. 23. Schematic representation of the RABiTS process developed at Oak Ridge National Laboratory. Starting with a
randomly oriented Ni bar/plate, cold rolling is used to produce a distinct copper-type rolling texture. This is followed by
recrystallization to a cubic structure. Epitaxial metal and/or oxide buffer layer(s) are then deposited on the textured Ni.
Courtesy of 54.
already.J c values of a short YBCO thin-film-coated conductor are shown in Fig. 24. For comparison, thin films
of YBCO on LAO and thin films of Tl2212 and 1223 on LAO are also shown. Because PLD and sputtering
techniques are not scalable, MOCVD may be the primary technique for long-length HTS-coated conductors on
RABiTS. The MOCVD-grown YBCO on RABiTS has yielded zero field J c as high as 0.64 MA/cm2 at 77 K.
29
Fig. 24. The critical current density versus magnetic field for YBCO thin film on a RABiTS substrate of configuration
ML3 corresponding to YSZ(110) (0.5 m)Ni(100) (125 m). Data for both Hc and Hab are shown. Also shown are data
for YBCO thin film on STO, Tl2212, and Tl1223 thin films on LAO substrates. For Hc, the high-field properties of YBCO
thin films on RABiTS are better than those on STO. Courtesy of 54.
30
Fig. 25. Electric field tunability of a tunable bandpass filter using a thin film YBCO/STO/LAO multilayered microstrip
structure. The filter uses the electric field dependence of the nonlinear dielectric constant of the STO ferroelectric thin film.
stores multiples of the basic flux quanta, SQUIDs are the most sensitive sensors of magnetic fields at levels of
10 14 T at 77 K, thus making possible measurements of magnetic fields produced by current flow in a human
brain, about 10 13 T (see SQUIDS). The key to the HTS SQUID technology is the reproducible fabrication of
grain boundary Josephson junctions. This technology has currently matured to the level of commercial usage
in magnetic imaging for medical diagnostics such as a magnetoencephalography (MEG), mapping the brains
magnetic activity. Another area of application for HTS thin films is in interconnect technology for semiconductor
multichip modules. With the promise of high-quality YBCO thin films on various buffer layers such as CeO2 ,
and YSZ, it is feasible to conceive of applications of HTS thin films on silicon substrates.
Applications such as nuclear magnetic resonance (NMR) require uniform magnetic fields over large
volumes. Nuclear magnetic reasonance requires a field level of 10 T to 20 T. The NMR technique uses RF
and magnetic fields to study transitions between nuclear spin states. An RF coil surrounds a sample, placed
inside a magnetic field. The magnetic field is swept through a small range with a separate coil. A secondary
RF coil (may be a superconducting coil) picks up the resonance signal. Use of superconducting coils in magnet
technology has made possible high magnetic fields with minimal energy dissipation. Use of superconducting
lines for power transmission is attractive for energy efficiency. The current densities needed for the utility
power lines are considerably lower than most other power applications. It is estimated that current densities
below 104 A/cm2 at 77 K are sufficient for utility power lines. The Bi-based superconductors are the most
attractive for these applications. The design of underground cables cooled to liquid nitrogen temperatures is a
challenging aspect because these superconductors are detrimentally affected by moderate mechanical stresses.
Superconducting magnetic energy storage (SMES) is an energy storage device wherein energy is stored in the
magnetic field associated with circulating currents in superconductors. An SMES system consists of an ac/dc
31
power converter to charge a large torroidal magnet. When needed, the energy stored in the magnetic field can
be tapped and converted by ac power. Again HTS wires are needed for the large magnets used in such a system.
Other applications attractive for HTS wires and tapes include power devices such as motors, generators and
transformers. With the current technology, several prototypes of HTS wires and tapes have been demonstrated.
American Superconductor Corporation had tested a 125 hp ac synchronous motor built using a BSCCO 2223
composite tape. A 5 kJ HTS SMES magnet, a high-current pancake coil (100 A), and current development of
500 and 1000 hp motors indicate that HTSs have potential for large-scale power applications (49). Table 3.
shows some of the HTS companies which provide superconducting materials and fabrication services.
BIBLIOGRAPHY
1.
2.
3.
4.
5.
6.
7.
W. Meissner R. Oschenfeld Ein Neuer Effekt bei Eintritt der Supraleitfahigkeit, Naturwiss., 21: 787, 1933.
F. London H. London The electromagnetic equations of the superconductor, Proc. R. Soc. A, A149: 7188, 1935.
F. London Superfluids, Macroscopic Theory of Superconductivity, vol. 1 New York: Wiley, 1950, pp. 3-4.
J. Bardeen L. N. Cooper J. Schreiffer Theory of superconductivity, Phys. Rev., 108 (5): 11751204, 1957.
B. D. Josephson Possible new effects in superconductive tunneling, Phys. Lett., 1 (7): 251253, 1962.
J. G. Bednorz K. A. Muller Possible HTS superconductivity in the BaLaCuO system, Z. Phys. B, 64: 189193, 1986.
M. K. Wu et. al. Superconductivity at 93 K in a new mixed phase Y-Ba-Cu-O compound system at ambient pressure,
Phys. Rev. Lett., 58 (9): 908910, 1987.
8. H. Maeda et al. A new HTS oxide superconductor without a rare earth element, Jpn. J. Appl. Phys., 27 (2): L209210,
1988.
9. Z. Z. Sheng A. M. Hermann Bulk superconductivity at 120 K in the T1-Ca-Ba-Cu-O system, Nature, 332 (6160):
138139, 1988.
32
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
35.
36.
37.
38.
39.
33
40. D. S. Ginley Thallium films for microelectronic applications, in A. M. Hermann, and Y. Yakhmi (ed.), Thallium based
superconducting Compounds, Singapore: World Scientific, 1994.
41. W. Holstein et al. Superconducting epitaxial Tl2212 films on sapphire with cerium oxide buffer layers, Appl. Phys. Lett.,
61 (8): 982984, 1992.
42. J. Zhao P. Norris Metalorganic chemical vapor deposition of YBCO 123 thin films, Mater. Sci. Forum, 130132: 233254,
1993.
43. G. Braunstein et al. Process of formation and epitaxial alignment of SrTiO 3 thin films prepared by metal-organic
decomposition, J. Appl. Phys., 73: 96170, 1993.
44. G. Subramanyam et al. Studies on sputtered TlCaBaCuO high T c superconducting thin films for microelectronics
applications, Materials Sci. Forum, 130132: 613632, 1993.
45. D. S. Ginley et al. Morphology control and high critical currents in superconducting thin films in the Tl-Ca-Ba-Cu-O
system, Physica C, 160: 4248, 1989.
46. B. Johs et al. Preparation of high Tc Tl-Ba-Ca-Cu-O thin films by pulsed laser evaporation and Tl2 O3 vapor processing,
Appl. Phys. Lett., 54: 18101812, 1989.
47. W. Pelster Accurate automated measurement of superconductor material resistance, Res. & Develop., 30: 8084, 1988.
48. DuPont Superconductivity, Technical Note on Tl based superconducting thin films, Wilmington, DE, 1998.
49. A. P. Malozemoff Q. Li. S. Fleshler Progress in BSCCO-2223 tape technology, Physica C, 282287: 424427, 1997.
50. U. Balachandran et al. Processing and fabrication of high T c superconductors for electric power applications, Appl.
Superconductivity, 5 (1-6): 187191, 1997.
51. V. Selvamanickam et al. High temperature superconductors for electric power and high energy physics, J. Metals, 50
(10): 2730, 1998.
52. S. Brody The last days of BSCCO?, Superconductor and Cryoelectronics, featured article on BSCCO wires, 11: 2630,
Spring 1998.
53. Y. Ijjima et al. In-plane aligned YBCO thin films deposited on polycrystalline metallic substrates, Appl. Phys. Lett., 60:
769771, 1992.
54. A. Goyal et al. Epitaxial superconductors on rolling assisted biaxially textured substrates (RABiTS): A route towards
high critical current density wire, Appl. Superconductivity, 4 (1112): 403427, 1996.
55. A. Erb E. Walker R. Flukiger The use of BaZrO3 crucibles in crystal growth of the high T c superconductors: Progress
in crystal growth as well as in sample quality, Physica C, 258: 920, 1996.
56. F. A. Miranda et al. Tunable microwave components for Ku and K-band satellite communications, Integrated Ferroelectrics, 22: 269278, 1998.
GURU SUBRAMANYAM
University of Dayton
PUNIT BOOLCHAND
University of Cincinnati
SUPERCONDUCTING MAGNETS,
QUENCH PROTECTION
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
Mi
OS
CS
Lstray
To power supply
Li
DS
Ri
SR
OS
Rbus
PS
CS
Lcoil
the basic external dump circuit as shown in Fig. 1. The magnet is represented by an inductance L, while the mutual inductance M, and the coupled inductance and resistance, Li
and Ri, represent the sum of all coupled magnets and passive
conducting structures in the magnet system. The external
dump circuit consists of a power supply, a closing switch CS,
to shunt out the power supply during quench dump, an opening switch OS to interrupt magnet current, and a dump resistor R (). The basic principle is that the dump resistor is
much, much larger than the resistance of the magnet normal
zone, so that almost all of the energy is deposited, at room
temperature, in a resistor sized to safely absorb all of the
magnet energy.
Leads to the dump resistor should generally be coaxial, in
order to minimize the voltage overshoot, due to Lleads dI/dt.
Several equivalent simple dump circuits may be used, as
shown in Fig. 2. Under normal operation, they all have the
same effect on the magnet. The tradeoffs are in cost versus
reliability and in the specifics of the power supply and magnet
grounding system. A magnet ground/interrupter switch configuration should be selected that allows the switch and magnet to float on a single short to the magnet case ground without drawing large fault currents.
Iload
DCCB
Dump
DCCB
Magnet
2a R series, DCCB NC
Power
supply
Switch
Switch
Magnet
Dump
Magnet
2b R parallel, DCCB NC
Switch
DCCB
Power
supply
Dump
Power
supply
Dump
Power
supply
Magnet
(1)
S1
S2
T1
S3
+
C1
T2
+
C2
Cold zone
VS
Advantages
Simplicity, cost, maintainability
Simplicity, energy density
Energy density, elimination
of solid structure; Disadvantage: negative temperature coefficient
Faster dump for fixed peak
voltage; Disadvantage:
High cost/Joule
The voltage across a linear resistor declines with the current. Since electrical integrity is limited by voltage, it would
be more efficient to use a resistor that discharged at constant
voltage. With a perfect voltage source, either the peak dump
voltage could be lowered by one-third or the dump time could
be improved one and a half times. This can be approximated
by highly nonlinear resistors, such as Zener diodes, Metal Oxide Varistors (MOVs) or Zinc Oxide (ZnO) arresters (7). At
very high energy levels, these are prohibitively expensive. An
inexpensive alternative with a useful degree of nonlinearity
is stainless steel, which has a resistance temperature coefficient of 0.001/K. If the temperature of a stainless steel resistor is allowed to rise 500 K by the end of a dump, its resistance will have increased by 50%. With nickeliron alloys, the
resistance can be quadrupled by the end of a pulse (1).
External Quench of Multiple Magnets. Both magnets and
switches are limited in voltage and current. When a magnet
Ri
Power
Cold
switch
supply
Ri
Li
Cold
Zone
Um
Alternative options for dumping energy in a mutually coupled multicoil system are summarized in the table.
Dump Strategy
Advantages
Disadvantages
Internal Dump
Magnets are dumped internally when it is desirable to eliminate helium loss through the vapor-cooled leads and when
terminal voltages have to be severely limited, as with commercial products, such as MRI magnets, where users have to
be in the same room as the magnet. The least aggressive
action that is typically taken with a pool-boiling magnet is
simply to disconnect the superconducting coil from the powersupply and allow its current to die down slowly as it freewheels through a superconducting switch or normal joint.
This would be an appropriate response to a low liquid-level
reading, in which an active dump might do more harm than
good.
Cold dump resistors may still be either internal or external
to the magnet. That is, a large fraction of the energy can be
deposited into resistors or diodes that are outside of the magnet, but inside the cryostat. However, since there is now no
benefit in refrigeration or cooldown requirements in removing
energy from the magnet, it is almost always desirable to return as much of the heat as possible to the magnet, thereby
creating longer quench zones and more uniform heat deposition with the magnet. This can be done by making the resistive element a heater, closely coupled to the outer layer of
the magnet or cowound with the magnet superconductor.
Cold Dump Circuits. In a cold dump circuit, either the interrupter or the resistor or both will be inside the cryostat at
the magnet temperature. Cold switches include superconducting switches and fuses, cold diodes, and cold transistors. Cold
resistors include cowound, insulated normal metal, surface
heaters, and power dissipation in the switch itself. One benefit of having all elements of the dump circuit cold, when the
switch is superconducting, is that the vapor-cooled leads can
be detached, allowing current to circulate losslessly in the
magnet. Cold heaters have two additional benefits, especially
when they are cowound through the entire magnet. They can
prevent hot spots by reducing the peak local/average heating,
and they can also cancel the dI/dt voltage of the magnet with
the resistive voltage, greatly reducing internal voltages in the
coil. Both the thermal peaking factor and the internal voltage
Internal resistive
heaters
Advantages
Low $/kg; uniform heating easy
Ideal for low energy coils
with persistent currents
Can develop higher voltage, faster dump than
superconducting
switches
Guarantee uniform heating of coil, without imposing high quench
propagation velocities. High degree of redundancy can be designed in. No bulky
dump structures outside coil
No bulky dump structures outside coil
Disadvantages
Extremely massive,
bulky
Much too expensive for
large coils; both massive and high $/kg
Much too expensive for
large coils
It is our opinion that cowound, resistive heaters have the fewest theoretical limits in almost all cases. They will always
QQ
;;
Current
leads
LHe
reservoir
NC
Bypass
switch
Storage coil
Gate connector
bushings
Figure 8. Arrangement of cold bypass switches in toroidal SMES
system [Kaerner et al. (10)].
2
4
Rs
3
5
6
1
Figure 9. Highly sensitive passive protection circuit: (1) Superconducting magnet, (2) Shunt resistor, (3) Superconducting switch, (4)
Main switch heater, (5) Auxiliary switch heater, (6) Secondary winding, (7) Detachable current leads, (8) Current supply [Anashkin et
al. (11)].
50K
V2
L2
10K
Helipot
V1
L1
50K
(14). During a quench, circulating supercurrents will be suppressed more rapidly than overall current, causing a rapid
collapse of diamagnetism in the conductor that can be detected by the antenna. Both techniques have the advantage
of not interrupting the coil insulation.
Detection Circuits. The basic detection circuit by St. Lorant
(15), shown in Fig. 12, would trigger a quench dump, based
on a resistive voltage level that exceeds a preset threshold,
typically 10 mV to 200 mV. In a bridge circuit, resistive voltages of either polarity must be expected. Low-pass filters prevent false positive signals due to ambient noise. The comparator is the quench detector itself. The rectifier allows either
positive or negative voltages to be used as unipolar digital
triggers. A signal inhibit may be used to prevent quench triggers during coil ramping, and the Schmidt trigger creates a
trigger pulse of fixed amplitude and duration.
Advanced Detection Techniques
In a large magnet, the terminal voltage during pulsing may
be as high as 5 kV to 25 kV. The POLO coil set a worlds
record for CICC at 23 kV (16), while recent designs of large
CICC coil systems, such as ITER (10 kV), TPX (7.5 kV), and
NAVY SMES (10 kV) have specified voltages in this range,
while the important pool-boiling Anchorage SMES system is
being designed to withstand 4.2 kV. By contrast, a large number of quench simulations have shown that in order to hold
hot-spot temperatures to 150 K, a quench must be detected
at a threshold voltage of 0.2 V to 1.0 V (17,18). If, as in the
TPX design (19), a desired value of signal/noise of 10 : 1 is
specified, the quench detection sensors must be capable of reducing noise levels to 20 mV to 100 mV. This implies that
the voltage rejection capability of the quench detection system
should be on the order of 100,000500,000 : 1. Although this
may seem optimistic, recent experiments at MIT, the Lawrence Livermore Laboratory, and the Ecole Polytechnique
Federale de Lausanne have demonstrated the feasibility of
such high levels of voltage noise rejection (17,20).
New techniques that promise the greatest cancellation of
inductive noise and the highest signal/noise ratios include the
use of internal sensors, digital differencing and signal processing, and fiberoptic temperature sensors.
Advanced Voltage Sensors. Several noise rejection techniques can be used simultaneously in order to obtain ultra-
D
Superconducting
magnet
L = 1.48mH, R = 5.92m
+
70m
Transrex 500-5
power supply
100V, 5000A
102mF
102mF
Water
cooled
dump
Pick-up
coil
25
50W
D
Magnet
shunt
Power supply
shunt
Ramp
generator
circuit
Dewar
Quench
detection
circuit
Figure 11. Use of pickup coil quench detector in the Fermi National Accelerator Laboratorys
energy doubler magnet [D. F. Sutter et al. (13)].
Vcoil
or
Filter
Variable
attenuator
Comparator
Rectifier
;;;
;;;
;;;
Fiberoptics
Triplet
Schmidt
trigger
Signal
inhibit
Signal out
+
Reset
Valley
Figure 12. Basic quench detection circuit block diagram [S. J. St.
Lorant et al. (15)].
Central tap
Copper
braid
Braze joint
S-glass
braid
Copper wire
;;;;
QQQQ
;;;;;
;;;;;
QQQQ
Stainless steel
capillary tube
10
Self Field
Grad-B
Ir 2
L
V
4R 2
Wire in center
1
V
2
z
B
r 2last stage dl
0 r
L
Parallel Field
B r l
2
subcable, n
n1
dl
p,n
Geometry
VB
Wire in valley
Nturns d 2cable
2
Grad-B
1
V
2
IL IL
4
12
z
B
r 2last stage dl
0 r
L
B r l
2
subcable,n
n1
p,n
r 2cable
dl
lp,cable
Geometry
V f (Inhom)
Wire in triplet
1
2
B r
L
z cable
dl
Grad-B
1
V
2
V f (Inhom)
z
B
r 2last stage dl
0 r
L
IL
12
V f (Inhom)
B r l
2
subcable,n
n1
dl
p,n
Geometry
V f (Inhom)
Wire in center
of final stage
1
2
B r
L
z last stage
dl
Grad-B
1
V
2
z
B
r 2last stage dl
0 r
L
IL IL
12 16
B r l
3
2
subcable,n
n1
dl
p,n
Geometry
V f (Inhom)
1
2
B r
L
z last stage
dl
internal sensor in cable final stage (1001000) central difference average (510) filter, integrate, signal process (5
10) 104 106. Two TPX noise injection experiments, one with
copper, the other with NbTi cable, demonstrated transverse
field noise rejections of up to 60,000 (17). The ITER QUELL
coil had both conventional and cowound voltage taps on the
outside of the cable in its natural valleys. In this experiment,
the voltage rejection of the cowound sensor was 80 times better than that of the noninductive winding with a voltage rejection of 6 : 1 or 500 : 1 total. Another noise-rejection method
used in ITER of differencing multiple in-hand windings was
calculated to achieve noise rejection ratios of 300400. A better way to normalize the results to design for all noise sources
is to show that the V/(km-T/s) are 1 for transverse field and
10 for parallel and self-field, corresponding to 1 V for 1
T/s over a kilometer. Placement of the sensor in the center of
;;;;;
;;
;;;;;
Stainless steel
capillary tube
Superconducting cable
Voltage
taps
Figure 15. Inexpensive voltage sensor concept.
Cu braid
Sglass
braid
Cu wire
Figure 16. Six internal voltage sensors, terminated at equal distances through cable.
;;;;;
;;;;;;;
;;;;;
Sglass
braid
Stainless steel
capillary tube
Stainless steel
capillary tube
Fiber optic
2
[nL + nL]
(2)
Temperature Sensitivity
(radians/m-K)
Strain Sensitivity
(radians/m-)
1.2
2.18
0.1
5 103
55 103
0.5 103
11
Sglass
braid
Gold sputtered
mirror
thermal time constant, (2) they are insensitive to pulsed magnetic fields (25), helium flow, and pressure, (3) they are very
small (50 m), so as many fibers as desired can be placed
in a single steel can, (4) the length of a fiber between joints is
practically unlimited, 50 km being a routine commercial
length, and (5) they have great scientific potential in the use
of signal processing to provide a complete profile of temperature and field versus length and time.
Fiberoptic temperature sensing works on the principle of
measuring optical path length, taking advantage of the temperature dependence of the glass index of refraction. The
change in phase from either effect is
=
;;;;
QQ
QQ
Fiber optic
(3)
The increasing sensitivity saturates at about 15 fringes/mK, and then only increases to about 25 fringes/m-K at room
temperature, as shown in Fig. 19.
If the fiber optic sensor is considered to be a length-temperature rise integral measurement, the sensitivity is 200
times higher at 30 K than at 5 K. Therefore, a global disturbance that raises the helium temperature throughout a 1 km
winding from 5 K to 5.2 K will give a signal that is smaller
than a quench that raises 1 m of conductor to 30 K.
12
16
350
14
300
250
10
200
8
150
Fringes /m
Fringes / Km
12
100
4
2
50
11 13 15 17 19 21 23 25 27 29
For an unclad fiber, the sensitivity is almost exactly onetenth that of a clad fiber, the thermal strain of the plastic
cladding acting as an amplifier of the temperature signal. The
curves in Fig. 19 can be used for design of temperature sensors with NbTi; but fringes/m-K should be multiplied by 0.1
for design with Nb3Sn.
Fiber optic sensors would use the same sort of prefabricated and pretested seal as the voltage sensors. However, a
few inches of clearance would be needed between a joint and
the initial position of the seal piece, in order to use a handheld field splicer. The optical fiber can then be coiled into the
pocket of the seal piece.
Quench Detection Conclusions
1. Fiber optic temperature sensors and internal voltage
sensors have been shown by simulation and experiment
to improve signal/noise ratios in quench detection systems by several orders of magnitude.
2. A leak-free method for extracting sensors has been demonstrated, and a redundant and replaceable sealing system has been designed.
3. A method for coil/sensor electrical design has been defined that is robust against arbitrary helium pressures
and magnetic fields. Enormous safety margins are feasible with NbTi and fused teflon or kapton insulation.
4. Advanced quench detection sensors can also be used as
scientific instruments, measuring the internal properties of CICC conductors.
MAGNET PROTECTION CRITERIA
Adiabatic Protection Criterion
A popular and conservative protection criterion is to assume
that there is no heat transfer from the local hot spot where
quench is initiated and that all Joule heating is absorbed by
the stabilizer. In this case, the relation between the peak allowable hot spot temperature and the J2t integral of the conductor stabilizer during a coil dump is a unique property of
the stabilizer material, usually copper. The maximum allowable current density is then determined by the peak allowable
hot spot temperature and the peak allowable terminal voltage
for a coil dump. Typical values of peak temperature allow-
2Wm
VmaxIcond
(4)
Z(T f ) =
Tf
Tb
C(T )
dT
(T )
(7)
Z(T f ) =
1363
1016
4173
Tf +
T f + 47.89
(8)
20
8 108
Copper
Iron
Nickel
Niobium
Titanium
Tin
Aluminum
Teflon
Helium: 130g/m^3
Helium: 150g/m^3
6 108
5 108
1
2
15
Z (1016 A2 s/m4)
7 108
13
4 108
3 108
3
4
10
5
5
2 108
1 108
0
50
100
150
200
Temperature (K)
250
300
100
200
300
T(K)
Figure 21. Z(Tf) functions: (1) Silver (99.99%); (2) Copper (RRR 200);
(3) Copper (RRR 100); (4) Copper (RRR 50); (5) Aluminum (99.99%)
(30).
Copper(RRR-200)
Copper(RRR-100)
Copper(RRR-50)
Al Alloy(RRR-5.5)
A12219
1.6E + 17
4E + 16
1.4E + 17
1.2E + 17
3E + 16
1.0E + 17
8E + 16
2E + 16
6E + 16
4E + 16
Aluminum Z(A2s/mm4)
Copper Z(A2s/mm4)
0 100
1E + 16
2E + 16
0
50
100
150
T (K)
200
250
0
300
Figure 22. Z(Tf) functions: (1) Copper (RRR 200), (2) Copper
(RRR 100), (3) Copper (RRR 50), (4) Aluminum alloy (RRR
5.5), (5) Aluminum alloy 2219.
14
Copper,
RRR 200
Copper,
RRR 100
0
3.173
4.776
3.847
6.757
8.633
1.097
1.285
1.410
1.505
1.561
0
1.597
2.481
2.798
5.457
7.274
9.567
1.142
1.268
1.360
1.416
1014
1015
1016
1016
1016
1017
1017
1017
1017
1017
1014
1015
1016
1016
1016
1016
1017
1017
1017
1017
QUENCH PROPAGATION
Adiabatic (Potted) Magnets
Adiabatically cooled magnets are selected for applications
that are relatively small, and quasi-steady state, since they
are incapable of absorbing large amounts of local energy.
They are particularly suited to applications where there cant
be any cryogenic fluid within the magnet and where small,
compact winding packs are required. Iwasa has argued convincingly (31) that most high-temperature superconductor
(HTS) magnets are also likely to be adiabatically cooled, because the local energy absorption is improved by orders of
magnitude at higher temperatures.
Adiabatically cooled magnets may be protected either by
internal or external energy dumps. If the simplifying assumption is made that the thermal conductivity and heat capacity
of all materials is temperature independent, the longitudinal
quench propagation velocity is expressed by the balance of
constant local heating density and thermal diffusion through
the winding pack as (30)
vpropagation = J
CnCs
n kn
Tcs + Tc
Top
2
(10)
where vpropagation is the longitudinal quench propagation velocity in the winding direction (m/s), J is the current density in
the composite wire (A/m2), n is the electrical resistivity of the
composite wire (W-m), kn is the thermal conductivity of the
normal wire (W/m-K), Cn and Cs are the heat capacities of
the wire in its normal and superconducting states respectively (J/kg-K), and Top, Tc, and Tcs are the operating and superconductor transition temperatures, respectively (K) (32).
Again, because the heat capacity of all materials rises much
more quickly with temperature than resistivity does, the
quench propagation velocity of HTS quenches should be much
lower than that of LTS quenches and the coils will be harder
to protect.
Copper,
RRR 50
0
7.953
1.256
2.005
4.283
5.990
8.206
9.793
1.116
1.192
1.247
1013
1015
1016
1016
1016
1016
1016
1017
1017
1017
Al Alloy
(RRR 5.5)
Al2219-T85
0
2.280
2.584
9.466
2.898
5.538
1.050
1.504
1.941
2.195
2.386
5.688
6.422
2.517
8.521
1.827
4.055
6.451
9.016
1.062
1.186
1012
1013
1014
1015
1015
1016
1016
1016
1016
1016
1011
1012
1014
1014
1015
1015
1015
1015
1016
1016
Pool-Boiling Magnets
Pressure Rise. The quench pressure rise during the quench
of a pool-boiling magnet involves a design tradeoff. The maximum pressure can most easily be controlled by the setting
of external pressure relief valves or rupture disks (33). The
additional pressure in the magnet due to pressure drops in
the vent lines and the disks or valves can be solved by the
time-dependent model of Krause and Christensen (34), assuming frictional, adiabatic (Fanno) flow in the vent lines. An
alternative, recommended by Powell (35) is repressurization
with warm helium gas. The motive for maintaining a high
pressure, discussed in the section on electrical integrity, is
that it will improve the dielectric strength of warm helium.
The disadvantage is that it will increase the required thickness of the liquid helium cryostat, the pulsed eddy currents
in the thicker cryostat, and the conduction losses through the
cold mass supports.
Quench Propagation. Under normal conditions of cooling by
a pool of liquid helium, the propagation velocity should obey
the proportionality (36):
v p = a( j0 jr )
(11)
(12)
CICC Magnets
Quench propagation in CICC conductors is usually treated as
a one-dimensional problem, quench propagating from an interior normal zone toward the inlet and outlet of a hydraulic
channel. Bottura has written a general three-dimensional numerical solution for quench propagation (38), which, to the
best of our knowledge, is also the only commercially available
general quench propagation solution for any coil topology.
However, since three-dimensional effects have only a secondorder effect on the key design parameters of temperature,
pressure, and expulsion velocity, one-dimensional solutions
are still used.
Pmax = 0.65
Q (Lcoil/2) f
Dh
2
Z=
p=
vexpulsion 0.952
Qc0
C p
2/3
Dh t
f
2/3
Q2 Dt 4
2 c2 f
1/3
(18)
3( 1)
Qt, p p0
3 + 4
(19)
Z = 0.25 3
(14)
3( 1)
2C(3 + 4 )
Pmax
(17)
(13)
0.36
0.36
Q2 (Lcoil/2)3 f
p0
1
= 0.65
Dh
pmax
(16)
0.36
15
Q2 Dt 4
2 c2 f
(20)
and:
p = 0.207Qt, p p0
(21)
1/3
(15)
0.10
TDresner(t) =
R0 LIQZ
4dh
f02 c20
1/3
(0 J 2 )5/3t 7/3
(22)
(23)
and
Time-Dependent Normal Zone. Quench propagation scaling
for long coils and uniform helium properties were first derived
by Dresner (40). Dresners scaling laws remain valid for describing the early stages of quench in a long hydraulic channel. However, the engineering limits of CICC coils are usually
defined by the hot spot temperature, peak pressure, and peak
helium expulsion flow toward the end of a quench. By this
time, the material properties have changed significantly and
heat absorption is dominated by the cable-and-conduit metal,
rather than the helium. In this regime, the scaling of quench
5
VqDresner X q = 0.42
4
4dh
f02 c20
1/3
(0 J 2 )2/3t 1/3
(24)
16
(25)
L<
24dh c20tm
fVq
(27)
Xq =
LIQZ
2
(28)
+ (Vqt)
3/2
(31)
R0 0 J 2 LIQZ
2p0
(33)
The short coil limit also provides a simple analytical expression for the density outside the normal zone as a function of
length and time:
2
0 f V q
Lcoil
x
(34)
(x, t) = 0 +
2dh c20
2
where 0 is the initial density (kg/m3). The density decreases
linearly with x, while the velocity outside the normal zone is
a constant versus both space and time.
Long Coil Solutions
Long Coil, High Pressure. The long coil solution is defined
by the two criteria that the coil length is much greater than
the length between diffusion edges (L/2)2 XD2 (tm), and that
the length of the quench zone is much less than the length
between diffusion edges [Xq2 XD2 (tm)]. The criterion for the
long coil solution can then be stated as
L2coil
2/3
3/2
24dh c20tm
2
4Vq2 tm
f Vq
(35)
p0
2p0Vq (tm )
(36)
Xq = (LIQZ /2)
5/3
+ (Vq t)
5/3 3/5
(37)
2dh
Vq = 0.613
f
1/5
RLIQZ 0 J 2
2/5
1
t 1/5
c0
v(x, t) =
0.8Vqt
t + 21 (x LIQZ /2)2
cot
K K + 2
32t 2
302
2
(x LIQZ )3/2
t 3/4 + 3/2
2
(40)
0 =
2dh 0 c20
(41)
(42)
R0 LIQZ (T + T Tt=0+ )
2p0
LIQZ
2
(43)
p(t) = 902 c20t 2
L coil /2
Xq
dx
(x LIQZ /2)2 + XD2
(45)
2
302 t
0 Vq
(46)
For the combined short coil plus small p case, the solution
for p reduces to Eq. (23). For the combined long coil plus
small p case, the solution for p reduces to
p(t) = 1.36
f
2dh
1/2
0 c0 t 1/2Vq3/2
(47)
(39)
902
(x, t) = 0 +
2K 3/2
(38)
17
Universal Scaling Regimes. Shajii recast the preceding criteria into a universal form that predicts the boundaries between the four quench regimes. Two dimensionless variables
x and y are defined and all quench regimes are shown as filling four corners of xy space. First a dimensionless variable
4/3
5/3
and a dimensional variable L0J4/3
0 (A /m ) are defined:
2
c0 0
0 RT max
(48)
= 1.7
p0
p0
where 0, c0, and p0 are the density (kg/m3), sound speed (m/
s), and pressure (Pa) of the background helium. R is the universal gas constant (8314.3 J/kg-mole-K), and Tmax(x,t) is the
maximum temperature of the quench zone (K).
p50 d
2.6
4/3
3
L0 J0 =
(49)
2
R
f 0 c20 05 Tmax
and L0J4/3
0 tend to be relatively constant over a wide range
of time and space for a given design. In order to distinguish
better between the widely different quench regimes, these
variables are reordered by being normalized to the strongly
varying J (A/m2) and Lq (m):
x=
Lq
L
(50)
y=
Lq J 4/3
L0 J04/3
(51)
This now allows the criteria for entry into each of the four
quench regimes to be written in the following simple universal form:
Quench Regime
Long coil, high pressure
Short coil, high pressure
Long coil, low pressure
Short coil, low pressure
Pressure
Condition
y
y
y
y
1
1.2x1/3
0.8
1.2x1/3
Length
Condition
y
y
y
y
x5/6
1.1x5/6
x2/3
x2/3
Vq
2p0
(44)
Thermal-Hydraulic Quenchback. The misnomer thermal-hydraulic quenchback (THQB), which is certainly thermohy-
18
y = Lq J4/3 / L0 J04/3
y = Lq J 4/3 / L0 J04/3
;;;;;;;
;;;;;;;
;;;;;;;
102
102
I
10 1
II
10 0
III
10 1
10 2
IV
10 1
100
x = Lq/L
10 1
10 1
10 0
III
10 1 2
10
10 2
Figure 23. Boundaries in xy space defining the four quench regimes: (I) long coil-high pressure rise, (II) short coil-high pressure
rise, (III) long coil-low pressure rise, and (IV) short coil-low pressure
rise [Shajii, 1995 (44)].
II
IV
10 1
100
x = Lq/L
10 1
10 2
draulic, but has nothing to do with quenching backwards, has
become sufficiently popular as a term that we wont try to
rename it Joule-Thomson quench propagation. It refers to a
condition in which compression heating of helium in front of
a quench zone leads to rapid propagation of quench in which
the thermal/quench wave travels much faster than the mass
flow of helium expulsion in front of the quench zone. A key
dimensionless parameter in predicting whether there can be
a rapid onset of THQB, again introduced by Shajii (44), is
the safety margin between current sharing and background
temperature M:
M=
Ch (T0 )
C (T0 )
0 c20
p0
Tcs T0
T0
(52)
C (T0 )0 T0
p0
(53)
1 C (T0 ) RT 0 0 J 2 Lq
T f (t) T0 +
2 Ch (T0 )
c20Vq (t)
(54)
5/3
(55)
For the short coil-low pressure rise regime, the condition for
THQB to occur before T Tmax is
y > 1/3 M 1/3
(56)
T f (t) = T0 +
f Vq3
2dCh (T0 )
t
(57)
1/2
x1/3
1 + 1 + 4(x M)
2
(58)
19
Table 4. Paschen Minimum Gaps and Gaps at 20 kV, According to Olivier Equation
Pressure
(atm)
Density
(kg/m3)
Gap at
Paschen
Minimum
(mm)
Gap at
20 kV
(mm)
127, liquid
15.2, vapor
117
0.973
103
0.178
7.9 105
6.6 104
8.5 105
0.011
10
0.056
0.133
1.17
0.144
20.0
20,000
112
Temperature
(K)
1.0
4.229
3.0
3.0
104
1.0
5.0
150
5.0
273
(60)
20 C barmm
Low temp. (g/cm3)mm
Townsend
Fallou et al.
McCallum and Klatzow
Gerhold
Levi
Meats
Brand
Comsa and Cohen
Kusko
Bortnik
Schhuber
105
Volts
(59)
10 2
10 3
Pressure-spacing product @ 20 C
10 2
10 3
10 2
10 6
10 1
10 5
10
10 4
10 3
Density-spacing product
10 2 bar-mm 10 3
10 1
10 2
(g/cm3)-mm
+
p = 0.1 MN m 2
5.2
45 MVm1
40
35
30
10 k
15 MVm1
20
25
20
1.0
20
0.4
U (KV)
=5
4.0
0.6
4.5
P (MN m2)
0.8
al
Critic rm
e
h
t
o
is
10
+
10
20K
0.2
Phase line
0.1
20
40
5K
60
80 100
(kg m3)
120
20K
140
0
0
10
d (mm)
Figure 28. Helium nonuniform field breakdown voltages in low temperature helium gas at atmospheric pressure [Gerhold, 1979 (48)].
Conditioned sparking
voltage Vs (kV)
50
40
d
d
d
d
d
30
20
10
0
5
6
nd (cm2)
=
=
=
=
=
0.05cm
0.10cm
0.15cm
0.25cm
0.30cm
8 9 1020
Figure 27. Depicts low temperature (10 K) dc breakdown characteristics for helium gas under uniform field conditions [Meek, 1979
(47)].
21
Ea
Ea
Ea
(a)
(b)
(c)
(62)
The higher the dielectric permittivity of the insulation surrounding the void, the lower the applied electric field must be
to keep Ev Eb.
Cylindrical Void. In the absence of any volume charge in a
uniform permittivity dielectric, Laplaces equation can be
solved in cylindrical coordinates for the electrical field inside
and outside the cylindrical void:
Ea ix
+
0
R2 (
)
cos ir
E = Ea 1 + 2 0
r (
0 +
)
R2 (
)
sin i
1 2 0
r (
0 +
)
E =2
Ea < Eb
+
0
(64)
3
Ea iz
2
+
0
R3 (
0
)
cos ir
E = Ea 1 + 2 3
r (2
+
0 )
R3 (
0
)
sin i
1 3
r (2
+
0 )
E=
0<r<R
(66)
r>R
3
Ea < Eb
2
+
0
(63)
(67)
0<r<R
r>R
The internal electric field is purely z directed, while the external electric field has the applied uniform electric field plus a
point dipole field. To avoid breakdown in the spherical void:
E v = E a E v =
3.5
3.8
4.95.0
5.15.2
The internal electric field is purely x directed, while the external electric field has the applied uniform electric field plus a
line-dipole field. To avoid breakdown in the cylindrical void
Ev = Ea
Multiplier
1
3
1.5
9/7 1.286
1.61.7
1.61.8
22
pressure in the insulation voids. As an example, in a superconducting magnet, the worst gas and the most likely gas to
have a partial pressure is helium, whose Paschen minimum
is 160 V. Adopting 2 kV/mm as a typical allowable for glassepoxy and a geometry/dielectric constant mismatch multiplier
of 2, this design rule would then put the burden on the mechanical design to assure that the largest possible void in the
solid insulation would be
dmax,allowable
VPaschen min
=
Emax,allowableRmult
160V
= 0.040 mm
kV
x2
2
mm
(68)
Rb
Ctg
Ctg
Ctg
Ctg
Ctg
Ctg
Ctg
Ctg
Ctg
Ctg
N
Ctg
Ctg
Pancake
Cpp
Cpp
Ctt
Cpp
Ctt
Cpp
Ctt
Cpp
Ctt
Ctt
3
Ctg
Cpp
Cpp
Ctt
Cpp
Ctt
Cpp
Ctt
Ctt
Ctg
Cpp
Ctt
2
Ctg
Cpp
Cpp
Cpp
Ctt
Ctt
Cpp
Ctt
Ctg
Cpp
Ctt
Ctt
Node
1
Ctg
2
Ctg
Ctg
1
3
Ctg
2
n+1
4
Ctg
3
Ctg
Ctg
Tum
Equipotentials
Conductor
Area of field
enhancement
Insulation
Ground plane
Tracking path
23
24
Insulating
tube
HV piping
Insulating
tube
Grounded
piping
Grounded
piping
HV piping
Coolant
Coolant
Insulation
Insulation
Ground plane
Ground plane
(b)
(a)
Figure 32. Avoidance of tracking with full-insulated coolant connections. (a) Tracking at coolant
connection. (b) Fully insulated coolant connection.
tures. Some rules of thumb for leak rate testing are that the
leak rate at 1 atmosphere and room temperature is approximately 1000 times better than the leak rate at 10 atmospheres and 4 K. A state-of-the-art measurement, using mass
spectrometry, might test helium stubs down to leak rates of
1010 torr-L/s at room temperature, corresponding to 107
torr-L/s at 4 K.
It is generally acknowledged that quality assurance alone
wont guarantee an absence of electrical discharges in vacuum, because of the difficulty of guaranteeing zero leaks and
because of the deleterious effects on breakdown of modest
transverse magnetic field. Three design ideas have been proposed that can decrease the probability of discharges by several orders of magnitude: (1) grading of the insulating ground
planes, (2) the use of a guard vacuum, and (3) the use of insulating beads.
1. The use of graded ground planes around all insulating
surfaces has the greatest generality as a design concept.
If all metallic surfaces that are facing each other with
high potential differences are insulated, then there
cant be an arc between those surfaces acting as electrodes; although there could still be partial discharges
that would gradually degrade the insulation. However,
if each insulating surface or wrap has a ground plane,
the resistance of the ground plane can be graded, so
that the insulating or ground plane surfaces facing each
other never have a potential difference greater than 160
V. In this case, no combination of pulsed fields, capaci-
Pressure
(atm)
LHe
4K
GHe
4K
GHe
293 K
LN2
77 K
GN2
77 K
GN2
293 K
0.9
1.6
0.9
1.6
0.9
1.6
0.9
1.6
0.9
1.6
0.9
1.6
79
79
95
118
118
158
79
118
95
158
68
79
3.6
2.7
2.8
2.3
3.3
2.6
2.7
2.0
2.0
2.8
3.1
2.2
2.8
158
189
158
189
158
236
158
236
79
158
118
158
39
79
32
39
30
59
47
59
43
53
30
68
4.7
6.8
4.3
7.9
5.9
7.9
4.7
7.9
4.7
7.9
4.7
7.9
4.3
4.3
3.8
3.9
3.9
3.9
Units
Design
Criterion
V/mil
V/mm
V/mil
V/mm
V/mil
kV/mm
V/mil
kV/mm
57
100300
1.55
60200
50150
1.56
125500
520
10
1.0
0.1
25
10
100
Pressure (mm Hg)
(a)
1000
26
not only of the magnets, but also the leads, bus, and instrumentation feedthroughs. The goals of these improvements
would be to achieve orders of magnitude decreases in the
probability of failure to detect a quench rapidly, to successfully interrupt current in a magnet, and to simulate and adequately predict the ability of quench propagation to absorb
magnet energy without damage.
BIBLIOGRAPHY
1. C. Neumeyer et al., Quench protection circuits for superconducting magnets, Proc. IEEE Symp. Fusion Energy, U. Illinois, p.
1275, Sept 30Oct 5, 1995.
2. P. Dokopoulos and K. Kriechbaum, DC circuit breaker for 73 kA,
24 kV. Electrotech. Zeitschrift A. 97 (8): translated by H. Vogel,
Los Alamos Report LASL-TR-77-27, 1976.
3. S. Yokota, Poloidal field power supply using vacuum circuit
breaker, IAEA Second Large Tokamak Meeting, Princeton, New
Jersey, Nov. 1976.
4. V. Kuchinski et al., High-power fast switches, IPEC-Yokohama,
899, 1995.
5. Argonne National Laboratory Superconducting Magnet Group,
Final design of a superconducting MHD magnet for the CFFF,
Argonne National Laboratory, ANL-MHD-79-6, pp. 250251,
March, 1979.
6. C. Neumeyer, Liquid rheostat dump resistors, Princeton Plasma
Physics Laboratory 40-940217-PPPL/CNeumeyer-01, Feb 17,
1994.
SUMMARY
7. T. Ishigohka and Y. Kushiro, Quench protection of superconducting magnet using ZnO arrester, Cryogenics, 31: 562, 1991.
Superconducting magnet protection during a quench is an important but special case of the larger problem of magnet protection against structural, electrical, and thermal failures.
The usual method of guaranteeing protection is to build conservative magnets with more structure, stabilizer, and superconductor than they need. The techniques of providing adequate conservatism in the design of magnet protection
circuits, quench detection, protection criteria, quench propagation modeling, and electrical integrity have already been
described. However, experience with magnet failures suggests
that new design approaches may be more successful in the
future.
In most cases, redundancy should be favored, where possible, as a method of guaranteeing reliability, over just adding
more material, because of its ability to multiply low probabilities of failure. Redundant techniques include the use of in
series, independent interrupters; paralleled independent
quench detectors and paralleled independent cowound heaters. It also includes the use of leak-tight welds and guard
vacuums, insulation systems with no voids below the Paschen
minimum and long discharge or tracking life, and simultaneous use of signal-noise improvement techniques, such as selecting the best spot in the cable for a cowound sensor, differencing, and filtering the optimized signals.
Given the certainty that data processing will continue to
improve more rapidly than magnet technology, future magnets are likely to concentrate on using redundancy and intelligent signal processing in order to improve performance and
reliability simultaneously. Smart interlocks should check for
wiring errors, while simulators check and calibrate sensors.
Reviewed projects must adopt more stringent review standards, insisting on a complete design of the protection system,
27
36. J. R. Miller, J. W. Lue, and L. Dresner, IEEE Trans. Mag., MAG13 (24): 1977.
58. M. Irmisch et al, Breakdown characteristic of helium gas at cryogenic temperatures and low pressures with respect to a local helium leak. KFK Report 31-03-05 P02B, June 1992.
38. L. Bottura and O. C. Zienkiewicz, Quench analysis of large superconducting magnets, Cryogenics, 32 (7): 659, 1992; L. Bottura, A
numerical model for the analysis of the ITER CICCs, J. Comp.
Phys, 125 (26): 1996; L. Bottura, Numerical aspects in the simulation of thermohydraulic transients in CICCs. J. Fusion Eng.,
14 (1): 13, 1995.
39. L. Dresner, Protection considerations for force-cooled superconductors, Proc. 11th Symp. Fusion Eng., II: 12181222, Austin TX;
Nov. 1822, 1985.
40. L. Dresner, Thermal expulsion of helium from a quenching cablein-conduit conductor, Proc. 9th Symp. Eng. Prob. Fusion Res., 618,
Chicago, 1981; reformulated in L. Bottura, Quench propagation
and protection in cable-in-conduit superconductors. Encyclopedia
of Applied Superconductivity, Comett 4090 Cb, 1996.
61. P. G. Marston et al, Magnet Failure Workshop, Journal de Physique, Colloque C1, Tome 45, Jan 1984, C1-637; F. Arendt and
P. Komarek, Potential failures and hazards in superconducting
magnet systems for fusion reactors. Nuc Tech/Fusion, 1: Oct,
1981; R. J. Thome, J. Bart Czirr, and J. H. Schultz, Survey of
selected magnet failures and accidents.
JOEL H. SCHULTZ
Massachusetts Institute of
Technology
Figure 1. Critical linearized F(I, H, T) surface of a superconductor. It should be noted that in a typical magnet-grade superconductor the critical current I, magnetic eld H, and temperature T are
not linearly related. However, the classical theory of cryostability
assumes linear relationships.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
instrumentation devices, and a considerable amount of investigation into superconducting electric power transmission lines has been done (11, 12), but no such power lines
have been built to date. The greatest application of superconductivity on a large scale has been in the building of
magnets with very high eld capabilities (13).
The achievement of the higher operating parameters of
the type II superconductors was not in itself sufcient for
the construction of high-eld magnets with maximum performance. All the superconducting magnets designed until the early sixties of the twentieth century, without exception, suffered from so-called degradation, i.e., from the
loss of the superconducting state before reaching the full
design eld. This phenomenon has been attributed to the
fact that internal and/or external disturbances trigger an
irreversible instability causing the appearance of normal
zones followed by a so-called quench (the destruction of
the magnetic eld, with the stored energy being converted
to heat). Degradation can be attributed to a number of
sources, which may be mechanical, magnetic, or thermal
in nature. Any source of heat in the system can cause local
temperature increases in the superconductor to the point
where the appearance of a normal resistance region is imminent. In early attempts to build large magnets it was
discovered that because of effects like these, the performance of superconducting wires fell far short of the shortsample results, a phenomenon called coil degradation (14).
A magnet would quench after reaching only a fraction of
its design eld. However, after each such quench, the next
try resulted in a higher operational eld. After repeated attempts, the eld attained can be considerably higher than
that attained at rst, but not as high as the short-sample
results. This phenomenon is called training.
At rst it was thought that degradation was the result
of weak spots in the long lengths of superconducting wire.
However, this would not explain training, and production
techniques have been shown to produce remarkably uniform properties in long lengths of superconducting wires
(many kilometers, even in small magnets). It was concluded that degradation must be caused by one or more
of a number of possible disturbances, such as a source of
heat or the penetration or rearrangement of the magnetic
eld.
Such disturbances can lead to severe consequences, in
which both functional and mechanical integrity of the device can be compromised: It can become unstable. An engineering application cannot tolerate such an instability.
It has become obvious that a new technological solution is
needed to overcome these difculties.
Studies of thermomagnetomechanical instabilities are numerous (1922); however, the problem is open for further
studies.
METHODS OF STABILIZATION
Because there are inevitable inputs of heat to the system
as well as disturbances that can affect the temperature of
the superconductor, it is necessary to provide methods to
maintain the system at its operating temperature to prevent the catastrophic loss of the superconductivity. In the
case of a superconducting magnet, the key problem of stability is that of sustaining the successful operation of the
magnet system without loss of the magnetic eld and without damage to the system. The problem is not only a possible appearance of normal zones within the superconductor,
but the need to sustain conditions for the reestablishment
of the superconducting state after the appearance of instability. Furthermore, the continuous operation of the device
during the transition period must be assured.
Flux-jump stabilization suppresses an initiation of a cycle of disturbances that may cause the transition into the
normal state. Thus, it is directed toward the prevention of
an instability. The role of cryostabilization, in contrast, is to
restore the superconducting mode of operation, once a disturbance has already initiated the existence of a normal
zone.
There are several ways to approach the problem of stabilizing a superconducting system. First, the design of the superconductor wire can be used to minimize, or possibly even
prevent, the damaging effect of a ux jump. The energy
released during the passage of the ux lines through the
conductor is proportional to the distance traveled across
the wire. Thus the conductor is usually fabricated to consist of many very ne laments (10 m to 100 m in diameter). These laments are distributed within a matrix
of a metal, which, while not a superconductor, does provide a highly conductive path for the current in case the
superconductor can no longer handle the entire current.
Typically the matrix metal is made of copper. However, for
HTSCs silver is also used. One of the ac loss mechanisms
arises from a coupling of the currents in adjacent superconductor laments, and this loss can be minimized by twisting the superconductor composite so that the laments are
transposed along the length of the wire (3). An additional
measure that can be directed toward a suppression of the
ac losses is adding a more resistive metal, such as CuNi,
around the outer surface of the superconductor, thus decoupling the superconductor laments and reducing the eddy
currents owing in the normal metal matrix (3).
Second, heat generated within the magnet system must
be removed to maintain an acceptable operating temperature. This cooling can be provided by pool boiling in a
suitable cryogenic uid, or by the forced ow of the cryogen, either as a liquid, or as a uid at supercritical pressure to avoid the problems of two-phase ow. In some cases
where very low-temperature operation is required, use has
been made of superuid helium to obtain its excellent heat
transfer capabilities. In this case an operating temperature
in the vicinity of 2 K must be used.
The stabilization of the HTSCs utilizes the same methods as those for type II superconductors. In one respect,
the task is eased by the fact that the specic heats of the
magnet system become considerably higher as the temperature is raised. Thus the temperature increase from a given
amount of heat is less than it would be at lower temperature. Another factor in favor of stabilization comes into
play when the system is operated at the higher end of its
temperature range. In this case, the current cannot be as
high, which results in lower Lorentz forces, and thus the
likelihood of ux jumps is diminished.
Cryogenic Stabilization
According to Reid et al. (23), cryogenic stabilization is
achieved if, after the release of a certain amount of Joule
heat and a local rise in temperature (caused by either internal or external perturbations), efcient cooling is provided
to remove that thermal energy more rapidly than it is generated. This goal assumes balancing of the following energy ows: (1) the energy brought to an intrinsically stable
superconductor in the form of a thermomechanical disturbance or thermal energy from any source, including that
generated by the current that is redirected into the stabilizing, resistive matrix, and (2) the energy removed from a
superconductor element by convective cooling and by conduction. These processes can be highly transient in nature;
indeed, their duration is usually very short (on the order
of 103 to 102 s). Consequently, the use of a steady-state
energy balance should lead to a very conservative stability
criterion.
Cryostabilization can be full or limited. Full cryostabilization means stable operation after the entire conductor
has been driven normal by a large disturbance (23). Design
based on full cryostabilization is as a rule the most conservative and involves large conductors. Limited cryostabilization refers to recovery from a disturbance of limited
size. By using the stability criteria, one can decide whether
the superconductor is going to be stable (in the sense of either full or limited stabilization) or unstable. For example,
full cryostability can be dened either using the so-called
Stekly criterion or the MaddokJamesNorris model (see
below).
All the early-developed stability criteria discussed in
the following sections have been based on quasi-steadystate balances. The theory behind these static criteria will
be (somewhat arbitrarily) called the classical theory of
cryostabilization. The formulation of a transient problem
and some of the issues involved will be given subsequently.
The Stekly Criterion. Stekly and his collaborators (24
26) were the rst to formulate a method to prevent a catastrophic quench in a magnet. They discovered that reliable
stabilization can be achieved by the simultaneous application of two measures: (1) an alternate path for the current
through an adjacent material (such as copper) with high
electrical conductivity (although nonsuperconducting) on
the appearance of normal zones in the superconductor, and
(2) very efcient cooling, such as in liquid helium. The
so-called current sharing between a superconductor that
abruptly loses its superconducting capability (becoming
highly resistive) and the normal conductor (the matrix in
which the superconductor is embedded, having a smaller
resistivity than the adjacent superconductor in its normal
state) secures a continuation of the magnet operation. By
the intense cooling, the conductor can then be cooled back
This balance assumes a composite conductor material surrounded by a coolant and subject to transients as well as
internal and external instabilities. The goal of a design is to
keep the operating point of the conductor within the limits imposed by the critical surface (T, I, H)c but with an
additional requirement formulated as follows: If the disturbance upsets this operation, the restoration of the superconducting state is still possible.
Let us rst formulate the classical theory of cryostability, the concept originally introduced by Stekly and collaborators (25, 26). We will not present this approach either
in its entirety or in a chronological perspective. Rather, we
will discuss the main points, which depend upon an energy
balance that is astonishingly simple compared to the complexity of the superconducting instability phenomena. This
simplicity commends this criterion as the most conservative of several that have been developed over the years.
First, the physical background for the analysis should
be emphasized. Three distinct physical situations can be
distinguished in a conductor operating at a given magnetic
eld H, carrying constant current I, and operating at various temperatures T. These situations are indicated in Fig.
4(a) as a superconducting mode, a current-sharing mode,
and a normal mode.
superconductor operates in the normal mode and the current is carried exclusively by the matrix.
In an adjacent diagram [Fig. 4(b)], the corresponding
distribution of thermal energy generated by Joule heating
is presented. In the superconducting-mode Joule heating
is zero. In a current-sharing mode thermal energy generation per unit of area of the conductor surface is equal to GA
= IIm (/AP)m = I(I Ic )(/AP)m (W/m2 ), where m (m) is
the electrical resistivity of the resistive part (matrix), and
Im = I Ic (A) is the current through the matrix. The symbols I and Ic represent the operating and critical currents
as dened in Fig. 4(a). The quantities Am (m2 ) and Pm (m)
are the cross sectional area of the matrix and the conductor perimeter, respectively. (Note that the units for electrical resistivity, area, and length, often used in practice, are
cm, cm2 , and cm, respectively. Then the generated thermal energy per unit of conductor area is in W/cm2 .) In a
normal mode, say for I = Ic,b , thermal energy generation
has a constant value of I2 c,b (/AP)m .
To start the analysis we introduce a series of farreaching assumptions but still preserve the main features
of the process:
The general energy balance equation in this case should include only thermal energy generation and heat convection,
that is,
The concept of cryostability assumes that the rate of thermal energy generation caused by instability is, in a limit,
equal to the rate of heat removal by either pool boiling or
forced convection of liquid helium (usually supercritical,
and in some cases superuid). This assumes that current
may ow partly through the superconductor and partly
through the matrix (current sharing) or it may be completely rerouted to the matrix if the superconductor becomes resistive (normal mode of operation). If the energy
generated by Joule heating (both in the superconductor
and in the matrix, in the current sharing mode, or entirely
through the matrix, in the normal mode) is more than compensated by the heat removed from the conductor, its temperature will return to below the critical temperature, and
the conductor will stay stable. So the stability criterion can
be expressed as follows:
When a composite conductor operates under current sharing, the total current I is the sum of the currents owing
through the superconductor, Ic , and the matrix, Im . In general,
or
and consequently,
From Eqs. (5) and (6), after rearrangement and introduction of the reduced voltage drop, current, and temperature,
as well as the Stekly parameter, one can obtain
10
reads as follows:
A geometric interpretation of the Eq. (19) is straightforward. If one replaces the abscissa in Fig. 6 using the same
transformation that led to Eq. (19), then Eq. (19) represents the equality of the areas presented in Fig. 6. If this
condition is satised (i.e., the energy balance is preserved),
any excess of thermal energy generated within the hot zone
of a superconductor will be compensated by the excess of
the heat transfer rate removed from the conductor in the
cold zone thanks to the conduction between the two parts
of the conductor.
Wipf Minimum Propagation Zone. The concept of the
minimum propagation zone (MPZ) was introduced by Martinelli and Wipf (30). It has since been developed into a
comprehensive stabilization theory (17). The original approach was based on a simple energy balance of a superconducting material idealized to be innite in space, but
with a localized thermal energy generation source within
a preexisting normal zone of nite size. This balance, in a
generalized form, is as follows:
Note also that at the boundaries between the localized normal zone and both superconducting zones, the temperature gradients must be equal. Hence, Eqs. (21)(23) (and
also an additional equation representing the equality of the
temperature gradients at the junctions between the zones)
11
dene the mathematical model of the temperature distribution in the conductor. The closed-form solution can be
readily obtained (17). Of particular interest is an analytical relationship between the heat ux caused by heating,
qtr [dened by Eq. (23)] and the length of the normal zone.
This relationship can be obtained from the rst of the two
equations in Eq. (23) by differentiating the temperature
distribution inside the normal zone, and by subsequently
applying the result at the normal zone boundary. The nal
result is as follows:
where is a stability parameter dened in the same manner as the one introduced by Eq. (14). The relationship
given by Eq. (24) is presented graphically in Fig. 8. The
abscissa in Fig. 8 represents the dimensionless length of
the normal zone, l = 2X/(rk/2h)1/2 . Note that the length of
the MPZ is equal to twice the normal-zone half length X
for the critical magnitude dened by Eq. (24), that is, for
qtr = 0:
12
Figure 9. Reduced cooling rate, q = QA /[h(Tc,0 Tb )], and reduced thermal generation, g = GA /[h(Tc,0 Tb )], versus reduced
temperature, = (TI Tb )/(Tc,0 Tb ). Curves 01Aa, 01Bb,
01Cc, 01Dd, and 01Ee, (each denoted by a dotted line)
correspond to various values of the reduced thermal generation g,
each with different but xed current. The reduced cooling curve
is the same for all these cases. In a fully cryostable region (curve
01Aa) the corresponding current causes a Joule heating lower
than the cooling rate for any reached temperature. The stability
conditions for the curve 01Bb satisfy the Steckly criterion; see
the curve for = 1.0 in Fig. 8. The stability conditions for the curve
01Dd satisfy the Maddock criterion (the shaded areas both below and above the cooling curve are equal to each other); see the
curve for = 0.5 in Fig. 8. This representation is valid in the absence of current sharing (compare with Fig. 8).
13
SAFETY
In working with any cryogenic uid, safe operation requires that there be a satisfactory understanding of the
14
ADDITIONAL READING
Several references mentioned in the bibliography deserve
additional attention as useful sources for further reading.
The book of Wilson (14) is a classical text and must be read
as an upper-level introduction to the problems of design of
superconducting magnets. A two-volume book by Collings
(27) provides extensive insight into many highly technical aspects of metallurgy and physics of low-temperature
superconductors, as well as a very comprehensive bibliog-
BIBLIOGRAPHY
1. H. K. Onnes Commun. Phys. Lab. Univ. Leiden, Nos. 120b,
122b, 124c, 1911; see also K. Gavroglu and Y. Goudaroulis
(eds.), Heike Kamerlingh Onnes: Through Measurement to
Knowledge, The Selected Papers, Norwell, MA: Kluwer, 1990.
2. K. D. Timmerhaus T. M. Flynn Cryogenic Process Engineering,
New York: Plenum, 1989.
3. R. V. Carlson Applications of superconductivity, in K. D.
Williamson, Jr., and F. J. Edeskuty (eds.), Liquid Cryogens,
vol. II, Boca Raton, FL: CRC Press, 1983, chap. 4.
4. D. C. Larbalestier M. P. Maley Conductors from superconductors: Conventional low-temperature and new hightemperature superconducting conductors, Mater. Res. Soc.
Bull., 18: 5056, 1993.
5. K. Mendelssohn Superconductivity and superconducting devices, in C. A. Bailey (ed.), Advanced Cryogenics, London:
Plenum, 1971, chap. 10.
6. D. S. Beard et al. The IEA large coil task, Fusion Eng. Des., 7:
1232, 1988.
7. V. A. Altov et al. Stabilization of Superconducting Magnetic
Systems, New York: Plenum, 1977.
8. F. J. Edeskuty Cryogenics, in E. A. Avallone and T. Baumeister III (eds.), Marks Standard Handbook for Mechanical Engineers, 10th ed., New York: McGraw-Hill, 1996, chap. 19.2.
9. M. P. Maley personal communication, 1998.
10. X. D. Wu et al. Properties of YBa2 Cu3 O7 thick lms on
exible buffered metallic substrates, Appl. Phys. Lett., 67:
23972399, 1995.
11. P. Chowdhuri F. J. Edeskuty Bulk power transmission by
superconducting dc cable, Electr. Power Syst. Res., 1: 4149,
1977/78.
12. E. B. Forsyth The high voltage design of superconducting
power transmission systems, IEEE Electr. Insulation Mag., 6:
716, 1990.
13. R. J. Thome Magnet program overview for the international thermonuclear test reactor, IEEE Trans. Magn., 30:
15951601, 1994.
14. M. N. Wilson Superconducting Magnets, Oxford: Claredon
Press, 1983.
15. H. Wada et al. VII-1: Appendixterminology for superconducting materials, Cryogenics, VAMAS Suppl., 35: S113S126,
1995.
16. A. A. Abrikosov On the magnetic properties of superconductors
of the second group, Sov. Phys.JETP, 5: 11741182, 1957,
transl. of Zh. Exp. Teor. Fiz., 32: 14421452, 1957.
17. S. L. Wipf Stability and degradation of supeconducting
current-carrying devices, Tech. Rep. LA-7275, Los Alamos Scientic Laboratory, Dec. 1978.
D. P. SEKULIC
F. J. EDESKUTY
University of Kentucky,
Lexington, KY
15
16
Fig. 1. Three extruded hollow superconductors: (a) Al matrix with NbZr filaments (1967, courtesy of CERN), (b) Cu matrix
with NbTi filaments (IMI, 1968), (c) round in square Cu matrix with thick NbTi filaments (MFO, later ABB, about 1968).
Superconducting cables for power transmission lines are not in the scope of this review. Their manufacturing methods, dominated by the high-voltage insulation requirements, are similar to those for conventional
high-voltage conductors for underground lines.
Fig. 2. A hollow superconductor (7), manufacture in 1974 by a tube mill method (courtesy of K. Agatsuma, ETL, Japan).
The manufacture of a hollow superconductor at the Electro-Technical Laboratory, Tsukuba, Japan (ETL)
was reported in 1974 (7). The method is shown schematically in Fig. 2(a). Twelve square rods of superconducting
composite (6 6 mm), each with 19 NbTi filaments in a copper matrix, were inserted in a rectangular copper
tube and rolled with intermediate annealing to a flat strip, 1.6 mm thick. The strip was then welded in a
conventional tube mill and drawn down to form a rectangular hollow conductor, 4 5 mm; see Fig. 2(b). Due to
the rolling process, the 116 filaments, with equivalent diameter 0.25 mm, are flattened and nonhomogeneously
spaced.
The major drawbacks of such superconducting pipes are the large size (leading to poor critical current
density) of the superconducting filaments due to the limited reduction ratio, and their nontransposition with
respect to the transverse field, due to the lack of twisting. As a result, large flux jumps were observed in
operation.
Conductors Assembled by Electroplating. An original method was developed at the Kurchatov
Institute (Moscow) in the late sixties to bond together the superconducting strands into large composites by
continuous electroplating in a CuSO4 electrolyte (8). The same method was later used to assemble the force
flow conductors for the toroidal coils of the Tokamak-7 (T-7) and Tokamak-15 (T-15).
The T-7 conductor (9) is made from a linear array of nine copper pipes, 2 mm inner diameter, with 16
multifilamentary NbTi strands sitting in the grooves between the pipes; see Fig. 3(a). Another 32 thin, single
core NbTi wires are placed in the grooves between the multifilamentary strands and the copper pipes to obtain
an even surface. The pipestrands assembly is bonded by electroplating a 0.6 mm copper layer up to the final
size of 28 4.5 mm. The strands are not transposed, and the conductor has suffered from severe flux jumps,
triggering quenches during ramp up and down. The large number of parallel cooling channels, supplied by two-
Fig. 3. Cross section of the conductors assembled by copper electroplating at Kurchatov Institute: (a) the NbTi-based T-7;
(b) the react-and-wind Nb3 Sn conductor for the T-15. (Courtesy of Kurchatov and Bochvar Institutes, Moscow.)
phase helium, results in a highly nonhomogenous distribution of the mass flow rate. Over 10 km of conductor
have been manufactured in units longer than 200 m.
In the conductor for the T-15 (10), a flat cable of 11 nonstabilized Nb3 Sn strands (1.5 mm diam) around a
bronze strip was bonded after heat treatment to two copper pipes (3 mm inner diameter) by an electroplated
Cu layer, 1.2 mm thick; see Fig. 3(b). Some copper wires were included between the cable and the pipes to
obtain a more regular envelope. To minimize the bending strain during winding, the heat-treated cable is close
to the neutral bending axis of the conductor. In the winding of the T-15 coils, a wet insulation method had to be
applied to smooth the uneven conductor surface. Over 100 km of conductor have been manufactured, in units
of 200 m.
After the T-7 and T-15 manufacturing experience, the technique of assembly by electroplating was not
applied any more. The low process speed, the large electric power requirement, and the poor dimensional
tolerance overcame the advantage of a good, low-resistance bonding of the components.
Fig. 4. OMEGA conductor: (a) prototype (left) and (b) final soldered cable. Courtesy of ABB.
18 mm [Fig. 4(a)]. This first approach was abandoned because of the high cost, the limited manufacturing
length (40 m), and the lack of transposition.
The final cross section is shown in Fig. 4(b). Manufactured in 1970 at BBC (Switzerland), the 18 18 mm
conductor is a square copper pipe with residual resistance ratio (RRR) >250, surrounded by two layers of wires
(1.5 mm diam) cabled in opposite directions. In the first layer, 30 out of 36 wires are superconducting, with
four NbTi thick filaments (250 m) in a low RRR copper matrix. The strands are initially not twisted, but, as
they are cabled on the copper pipe, no back rotation of the cage strander is allowed, so that the filaments in the
strand have the same twist pitch as the cable (200 mm). The second layer, with protective function, contains
40 copper wires and is cabled in the opposite direction, with pitch 180 mm.
The cabled conductor was driven into a SnAg5 bath and pulled through a square die, with a die angle of
45 , cooled at constant temperature to solidify the solder; see Fig. 5. Twelve sections, about 1 km each, were
produced for a total of 24 tonnes (11.4 km). The soldering process for each section took about 35 h, at a speed
of 0.45 m/min. The online quality assurance included eddy currents and ultrasonics to check the quality of the
solder impregnation.
The OMEGAs Brothers. The smallest brother of the OMEGA is a rectangular conductor developed
in the early seventies at ETL, Japan (7), consisting of a copper pipe with one layer of 52 wires (0.32 mm
diam) cabled around and impregnated with SnAg solder. Half of the wires are multifilamentary NbTi strands,
alternated to copper wires. In contrast with the OMEGA, the wires are cabled and soldered as a round conductor
and later drawn down to the final rectangular size of 5 4 mm; seeFig. 6(a)
In the mid seventies, a conductor of identical size to the OMEGA (18 18 mm) was manufactured at
CERN for a large superconducting dipole (12). The copper pipe, with 10 mm bore, is round, and only one layer
of NbTi multifilamentary strands and copper wires is cabled on it. The cable is encased between two copper
profiles and bonded by SnAg soldering; see Fig. 6(b). The unit length is up to 270 m, and the overall production
is more than 5 km.
In the late seventies, a hollow conductor [see Fig. 6(c).] was manufactured at VAC, Germany (13). A loose
layer of 20 NbTi multifilamentary strands and 10 copper wires were cabled and soldered in the same process
Fig. 6. Soldered, hollow conductors, manufactured similarly to the OMEGA conductor. See text for details.
around a square copper pipe. The solder is SnPb eutectic alloy, and the final size is 8.2 8.2 mm. A first
conductor batch had to be replaced, as it did not withstand the tight bending radius (over 4% bending strain).
About 500 m of conductor was used for the test facility SAFFO at ENEA (formerly CNEN), Italy.
A similar, bigger conductor [see Fig. 6(d)] was manufactured in 1981 at Europa Metalli, Italy (14). The
33 NbTi strands (1.3 mm diam) were first cabled on a round copper pipe and soldered by SnPb alloy. The
conductor was then shaped approximately to a rounded square, 14.6 14.6 mm. About 9 km of conductor have
been manufactured and wound into the outer module of the SULTAN test facility (Villigen, Switzerland).
Fig. 7. Nb3 Sn hollow conductor, with four braids soldered in the slots of the Cu pipe (16), according to the react, wind,
and solder process (courtesy of K. Agatsuma, ETL, Japan).
Another little brother of the OMEGA [see Fig. 6(e)] has been manufactured at BBC in 1981, for a Sector
Cyclotron, SuSe (15), in Munich, Germany. The rectangular copper pipe has a tiny hole, 1 2 mm. Two kinds
of NbTi strands, with 0.7 mm diameter and different Cu: non-Cu ratios, were cabled around the copper pipe
and soldered with SnAg alloy. The overall conductor size is 4.5 5.5 mm. About 4.5 km of conductor has
been wound into the winding of the sector cyclotron, with a minimum bending radius of 68 mm (3.3% bending
strain).
The Nb3 Sn Hollow Conductor at ETL, Japan. Apparently, the method of cabling and soldering the
superconducting strands on a copper pipe does not apply to Nb3 Sn conductors: soldering must be carried out
after heat treatment, but a heat-treated strand cannot be cabled because of its brittleness. Agatsuma et al.
(16) reported in 1978 an ingenious method to prepare a Nb3 Sn conductor soldered on a copper pipe, according
to the steps in Fig. 7. called the react, wind, and solder method.
Initially, two round, hollow braids (12 and 24 strands) are made from thin, Sn-plated Nbbronze composites (0.1 mm diam). The hollow braids are flattened to a 0.6 mm thick ribbon, wound on a large-diameter
holder, and heat treated to form the Nb3 Sn composite. In a single process, two small and two large braids are
driven, together with a SnAg solder foil, into longitudinal slots on a rectangular copper pipe. The conductor,
wrapped with a polyimide film and a reinforcing steel tape, is wound into a coil with 75 mm minimum bending
radius. After winding, the coil is heated in vacuum to melt the solder and bond the braids to the copper pipe;
see Fig. 7.
If the conductor were a solid monolith during the winding process, the bending strain at the Nb3 Sn
filaments would be in excess of 3%, which would be fatal for the conductor (to avoid irreversible damage in
Nb3 Sn, the bending strain should be below 0.5%). However, as the braids have not yet been bonded to the
copper pipe, the strands can settle in the slots and the actual bending strain is much smaller. A length of 160 m
conductor was used for the test coil, which was successfully tested, demonstrating the viability of the process.
The strands in the braids are transposed, but not the four braids placed in the longitudinal slots. To
obtain a high-current conductor, a very large number of thin strands must be braided. The conductor layout,
with thin braids placed on the perimeter of the pipe, does not allow a large operating current density. Since the
successful demonstration, no further application of the process has been reported.
The Swiss LCT Conductor. The most complex conductor belonging to the OMEGA family is the Swiss
LCT conductor, manufactured in 19811983 at BBC for the Swiss contribution to the Large Coil Task at Oak
Ridge. Initially, two forced flow conductor layouts were developed, one being a two-stage cable in conduit and
the other a multistage soldered cable around a copper pipe (17). The reasons to prefer the second option were
mostly the cost and the slowness of the first. Today, this choice may sound surprising and the same reasons
would rather lead us to prefer the cable-in-conduit option. However, in 1981 BBC had developed a broad
knowhow on soldered conductors, and almost no industrial experience was available on welding of long lengths
of steel conduit.
The cable layout is summarized in Fig. 8. The first cable stage consists of 10 multifilamentary NbTi
strands (0.46 mm diam) cabled around a copper wire and solder-impregnated. To limit the ac losses, the first
cable stage is tightly wrapped with a 0.05 mm thick CuNi strip and soldered again to bond the wrap to the
cable. To maintain full transposition, the second cable stage is made of six subcables around a thick copper core
(2.25 mm diam). Eight second cable stages are eventually cabled with the tight pitch of 150 mm around a round
copper pipe (7.5 4.5 mm), wrapped with CuNi foil. The cable is compacted and shaped by rolls to a square
of 18.5 18.5 mm. Despite the large load required to compact the rigid, solder-impregnated components down
to a local void fraction of 30%, neither damage nor performance degradation was observed in the compacted
cable.
For the final solder filling of the multistage cable, a special CdZnAg alloy was selected (18), with superior
mechanical properties to those of PbSn and SnAg alloys. As the melting point of the solder is about 350 C, the
process speed had to be maintained in the range of 2 m/min to avoid degradation of the NbTi critical current
density. Due to the high speed, the die needed to be specially laid out. To prevent solder oxidization and for
environmental reasons (Cd vapor), the process was carried out under nitrogen atmosphere. The overall length
produced for the Swiss LCT conductor was 5.5 km, in units of 250 m.
The Swiss LCT conductor marked the end of a successful series of hollow conductors cabled and soldered
around a copper pipe. As in the OMEGA and its brothers, a single-stage cable was used, so the achievable
current density was low, because only one layer of strands could be placed on the outer perimeter of the
pipe. The option to use a multistage cable around the pipe was viable, but turned out to have drawbacks: the
solder cross section climbed above 25%, and complex, high-resistivity barriers had to be included to avoid large
coupling-current losses.
Flat Cables Soldered to Copper Pipes. In Nb3 Sn react-and-wind conductors, the serconducting strands
must stay as close as possible to the neutral bending axis to avoid substantial strain-induced degradation during
winding. This requirement, together with the wish to maintain the advantages of the copper pipes, drove in
the eighties the development of a number of flat cables assembled by soldering to copper pipes. The ECN (The
Netherlands) and the SIN (later CRPP, Switzerland) carried out the conductor development and manufacture
as part of the construction of the SULTAN test facility.
The smallest of this conductor subgroup is a NbTi-based flat cable soldered to the broad side of a rectangular, half-hard copper pipe to build a square conductor, 8.4 8.4 mm; see Fig. 9(a). The cable is made by
16 multifilamentary strands with 75 mm pitch and is not at the neutral bending axis, but this is not crucial
for the ductile NbTi conductor: the bending strain in the winding is smaller than 1%. The soldering process
Fig. 9. Flat cables soldered to copper pipes: (a) NbTi ECN 8 T, 8.4 8.4 mm; (b) Nb3 Sn SIN 12 T, 21.5 8.4; (c) Nb3 Sn
ECN 12 T, 26.2 7.0 mm; (d) Nb3 Sn SIN 9 T, 26.3 9.6 mm. (Courtesy of the SULTAN group.)
was carried out at MCA, Massachusetts, in 1982 (19). A wave soldering device was not sufficient to fill the
interstices satisfactorily and had to be modified by adding a restraining die, where the solder becomes solid, as
in the OMEGA process. The solder cross section is less than 5%. Ten sections have been produced, each 500 m
long.
The Nb3 Sn react-and-wind conductor in Fig. 9(b) was manufactured at SIN (later CRPP) in 1985 (20).
The flat cable is made of 2016 multifilamentary strands (0.125 mm diam) according to the external Sn method.
The first cable stage (not fully transposed) is composed of 7 strands (1 + 6). The second cable stage (also
nontransposed) is composed of two layers of strands (6 + 12) cabled around a copper core. The last stage is a
970 m long flat cable (3.3 13.8 mm) of 16 subcables around a bronze strip. The flat cable is heat-treated on
an Inconel drum (7 layers) at a radius of 600 mm. This allows straightening the cable after heat treatment
without irreversible damage of the Nb3 Sn filaments and bending it eventually to the minimum winding radius,
300 mm, with the tolerable bending strain of 0.28% in Nb3 Sn.
The other components to be attached to the flat cable are a copper pipe, a copper profile as stabilizer,
and two stainless steel strips as a structural reinforcement. These four parts have been assembled with three
brazing foils and brazed at 630 C for a total length of 930 m in a continuous process, at the rate of 0.3 m/min.
10
The process could be stopped and restarted to replace the heating elements. The 200 m long sections of the
copper pipe were preliminarily brazed to a full length, using a higher-melting alloy.
The brazed steelcopper composite and the heat-treated Nb3 Sn cable were assembled in a combined
continuous millingsoldering process. A slot is milled in the copper strip, and the cable is carefully placed in
the slot. Bonding of the cable to the copper is achieved by a wave soldering device: the PbSn alloy fills up to
80% of the void area in the cable. The process speed is 0.5 m/min. Stop and restart was necessary every 70 m to
replace the cutting tool. As the thickness of the heat-treated cable varied at the layer transition, the thickness
of the slot had to be periodically adjusted. The 21.5 8.4 mm conductor was produced in a single length of
870 m and wound into a solenoid. The coil performance confirmed that, besides the expected bending strain,
no significant degradation occurred during the manufacturing process. The dc-operated coil did not suffer from
the lack of transposition in the cable.
For the Nb3 Sn conductor manufactured in 1986 at ECN (The Netherlands), see Fig. 9(c), some features
have been simplified (21). The flat cable, 18.5 1.85 mm, is made of 36 multifilamentary strands (1 mm
diam, powder-in-tube method), without central strip. The seven cable sections, about 140 m long, are wound
as loose pancakes on steel supports and heat-treated. The other conductor components (two steel strips, two
copper pipes, and two copper strips) are all assembled to the heat-treated cable in one run by a wave soldering
device. The steel strips were preliminarily electroplated with solder to ease the bonding. The process speed
was 1 m/min. Six out of seven conductor sections (total about 800 m) were wound as double pancakes with
interpancake joints.
To complete the SULTAN test facility in the split-coil configuration, three additional conductor lengths
were manufactured at SIN in 1988 and 1990; see Fig. 9(d). (22). The flat cable, 13.7 3.54 mm, is made of 98
multifilamentary strands (0.65 mm diam, bronze method), cabled in two stages, (1 + 6) 14, around a central
bronze strip. After heat treatment, the components are assembled in a single run (See Fig. 10), as in the ECN
conductor, by a wave soldering device, at the rate of 0.3 m/min. Two conductor lengths, 27.0 10.3 mm, each
800 m long, were wound into the two 9 T coils of the SULTAN facility. A third conductor section, 26.1 7.6
mm, 800 m long, with thinner steel strips, was used for the innermost, 12 T coils.
11
Fig. 10. The millingsoldering line for the final assembly of the Nb3 Sn react-and-wind conductor for SULTAN (courtesy
of G. Pasztor, CRPP).
wires cabled together with the superconducting composites keep the 0.8 mm space between the strands. In the
same process, the strands are bonded to the core by melting the solder foils. This is achieved by pressing hot
metal blocks on the cable: the strand temperature is 200 to 210 C for about 10 s. The load is maintained till full
solidification occurs. Afterwards, the NiCr spacing wires, coated with a refractory varnish, are removed. The
transposition pitch is long (400 mm); however, the interstrand coupling-current loss is small, as the strands
are electrically in contact only through the thin solder layer. The speed of the cablingsoldering process (See
Fig. 12) is 1 m/min.
The 0.8 mm thick sheath is a folded strip of nitrogen-alloyed austenitic steel (316LN). To create additional
cooling channels and to limit the heat load to the superconductor during the welding, six steel spacers, 0.6 mm
thick, are placed between the cable and the sheath; see Fig. 11. The six spacers are preliminarily attached to
the 98 0.8 mm strip in a continuous process, by spot electron beam welding, with minimum heat load to
the thin strip. To avoid excessive outgasing in the vacuum environment of the electron beam, the steel for the
spacers is 316Ti (not nitrogen-alloyed).
12
The cable sheathing is the fourth and last continuous process for the conductor assembly; see Fig. 12.
Six shaping rollers fold the ribbed strip into a U where the cable is fitted, and another six rolls fold the strip
around the cable, leaving a welding gap <0.1 mm. A tungsten inert gas (TIG) pulsating torch carries out the
closing weld. The strand temperature underneath the weld increases to 260 C during 5 s; the temperature
rise does not affect the NbTi critical current density. Due to the electrode replacement, the process needed to
be interrupted every 50 m. The welding parameters at the restart points are adjusted to guarantee a reliably
tight weld transition.
The final tolerance on the conductor size is 0.1 mm. The corner radius is 2 mm. The conductor has been
produced in units of about 500 m, for a total length of 7 km.
Nb3 Sn Sheathed Flat Cables. The flat cable geometry is attractive for the Nb3 Sn react-and-wind
method because the active, strain-sensitive superconductor can be placed, after the heat treatment, on the
neutral bending axis, allowing the conductor to be wound into a coil with minimum degradation. Several such
developments were started in Europe and Japan in the eighties, but only few led to significant manufacturing
applications.
The three prototype conductors, developed in the mid eighties for the initial phase of the Next European
Torus (NET) project, were eventually manufactured only in 10 m sections (25,26,27). The rectangular
conductors include a heat-treated Nb3 Sn flat cable, 4.0 to 6.6 mm thick. An insulating core in the center of the
cable to reduce the coupling loss was shown not to be necessary (27), so the cable thickness could be reduced.
The stabilizer, assembled either as a mixed matrix monolith or as a transposed cable, is soldered to both sides
of the flat cable. The conduit is assembled around the soldered conductor by welding four steel strips. The
cooling channels are placed underneath the full-penetration weld seams: a strip folding process is not allowed,
due to the required conduit thickness (2.5 to 3.2 mm). Laser beam welding was needed to reduce the heat load
to the soft soldered cable and to maintain the tight assembly tolerance.
A Russian prototype react-and-wind conductor (28) has a layout similar to the NET prototypes, with four
stainless steel strips welded to form the outer sheath. However, the helium channels are eight parallel copper
pipes soldered to the central Nb3 Sn flat cable, and the weld seams do not need to be helium-tight.
The ETL Wind-and-React Flat Conductor. An unusual example of a Nb3 Sn flat cable-in-conduit, windand-react conductor was developed in the early eighties at ETL, Japan (29). Two coreless flat cables, each
made of 15 stabilized Nb3 Sn strands 1.4 mm in diameter, are separated by a CuNi strip to reduce the coupling
loss (lack of transposition). Two thick copper strips are rolled to form ribbed, U-shaped profiles with large
13
Fig. 12. The cablingsoldering (upper) and the sheathing (lower) processes for the EU-LCT conductor (courtesy of Vacuumschmelze, Germany).
cooling channels for the helium flow (the coolant is also allowed to flow in the interstices between cables and
stabilizers). The cable sandwich is assembled with the copper stabilizer and wrapped with a punched CuNi
tape: the components are not bonded together. The 0.75 mm thick outer copper sheath is welded in a tube mill.
The wrapped cablestabilizer is pulled through the welded copper pipe and drawn down to the final dimension
14
Fig. 13. The 13 23 mm Nb3 Sn wind-react conductor developed at ETL: coppersheathed, conductors, left and middle;
stainless-steel-sheathed conductors, right (courtesy of K.Agatsuma, ETL. Japan).
Fig. 14. React-and-wind Nb3 Sn conductors developed at JAERI for toroidal field coils: (a) STEP-1, and (b) TMC-FF
(courtesy of JAERI, Naka).
of 13 23 mm; see Fig. 13.. After the die, the conductor passes through a high-frequency annealing furnace to
soften the sheath. The production unit length ( 40 m) is limited by the size of the drawing bench.
Eight conductor sections (total about 300 m) were insulated by glass tape, wound into a coil with 300 mm
inner diameter (over 4% bending strain) and eventually heat-treated to form the Nb3 Sn.
The same manufacturing process was also applied for a stainless-steel-sheathed conductor (30). The
selected steel was 316L, the wall thickness 0.6 mm. Wrinkling of the sheath was observed at the smallest
winding radius. The properties of the longitudinal TIG welding seam were investigated before and after heat
treatment (800 C, 50 h). A pancake coil was wound, heat-treated, and tested with eight other pancakes based
on Cu-sheathed conductors. The busbars for the coil are manufactured with a thicker (1.5 mm) steel sheath;
see Fig. 13.
The TMC-FF Conductor at JAERI. The development of Nb3 Sn flat cable, react-and-wind conductors
for toroidal field coils started at JAERI in the early eighties with a short prototype, called STEP-1 (31); see Fig.
14(a). A Nb layer was included at the outer surface of the strand as a thermal barrier, to delay the diffusion
inside the strand of heat from friction at the strand surface. Eventually, the strand was drawn through a starshaped die cutting four grooves in the Nb outer shell to allow heat exchange between coolant and stabilizer. The
sheath was planned to be a thin CuNi strip with an outer steel reinforcement. However, the short prototype
was jacketed in a steel U profile with a welded lid.
The actual toroidal model pancake was wound in 1991 with 90 m of monolithic flat cable manufactured
by Hitachi Cable 32; see Fig. 14(b). The 4.8 mm thick Nb3 Sn cable consists of 23 nonstabilized strands soldered
after heat treatment to a number of U-shaped copper profiles, to build a monolithic, ribbed assembly. To
15
Fig. 15. React-and-wind poloidal Nb3 Sn conductors developed at JAERI: (a) the DPC-EX, 10.2 40.8 mm; (b) the DPC-TJ
with the steel shell machined reinforcement welded longitudinally around the thin conduit. (Courtesy of JAERI, Naka.)
increase the loop resistance and lessen coupling-current losses, a few epoxy spacers are interleaved between
the stabilizer elements. It was planned to build the conduit by longitudinal welding of two hot-rolled, 3 mm thick
steel profiles to obtain sharp outer corners and improve the conductors rigidity. However, this development
was skipped, and the conduit for the 90 m model conductor was a 2 mm thick steel sheath, TIG-welded in a
tube mill process.
The DPC-EX Conductor at JAERI. The Nb3 Sn conductor for the Demonstration Poloidal Coil (DPC-EX
coil) was manufactured in 198889 at Mitsubishi Electric (33); see Fig. 15. Over 500 m of the 10.2 40.8
mm conductor have been produced in two sections and wound after heat treatment into two double pancakes.
From the design point of view, the DPC-EX represents the transition between the generation of the flat hollow
conductors and the emerging group of bundle conductors (rope-in-pipe or cable-in-conduit).
The 153 Cr plated strands (0.81 mm diam) are cabled in three stages, 3 3 17. The last cable stage has
a steel strip core to cut the interstrand coupling loss. The helium void fraction in the cable space is in excess of
40%. At both sides of the cable, two stainless steel squared pipes provide the main cooling channels. The helium
in the cable space is quasistagnant: every 0.3 m, the steel pipes have mixing holes (3 mm diam) to improve the
heat exchange with the cable and to avoid a large pressure rise in case of quench. The outer sheath and the
two pipes are made of a stainless steel (JK-1) specially developed (34) to maintain high strength and ductility
at low temperature after the Nb3 Sn heat treatment at 700 C, 30 h. The conduit has constant thickness, 1.5
mm, and is assembled from two strips, folded and welded by two simultaneous TIG seams at the edge side, in
a modified tube mill (the steel pipes underneath the seams allow achieving full penetration without damaging
the strands).
Cable-In-Conduit Conductors
The development of cable-in-conduit conductors (CICCs) started slowly in the late seventies, with only few
significant applications till the late eighties. However, as soon as the manufacturing methods had been mastered
by the industry, the CICCs became very popular, and today they dominate the restricted market for forced flow
superconductors. The main design motivation for introducing the cable-in-conduit conductor is its large wetted
perimeter, improving the heat exchange and stability for pulsed field applications. The initial development was
aimed at Nb3 Sn react-and-wind technology. In the nineties, the use of CICCs was extended to the wind-andreact method, as well as to the NbTi technology.
16
Investigations of the stability and ac loss performance of the CICCs stimulated a number of experiments
with short-length, small-size conductors manufactured on purpose. This was in contrast with the former forced
flow conductors, where the experiments were carried out only on full-size conductors, manufactured to wind a
real magnet. The short conductors for small-scale experiments are ignored in this review, as they are mostly
irrelevant for the issue of manufacturing methods.
Instead of a one-by-one description of each conductor, the manufacturing aspects are grouped into four
categories, with cross-references that cannot always reflect the historical sequence.
Strand Coating. A coating of the strand surface may be applied to control the transverse resistance
of the cable as well as the friction and bonding at the strand contact points. The choice of coatings is broad,
ranging from the bare strand surface (no coating) to insulating varnish. The design criteria for ac loss and
stability (interstrand current sharing) drive the selection of the strand coating; see also the article HYSTERESIS
AND COUPLING LOSSES IN SUPERCONDUCTORS. The coating options for Nb3 Sn strands are restricted by the
requirement of withstanding the heat treatment.
The properties of metallic coatings for NbTi strands have been thoroughly investigated for accelerator
cables (not forced flow conductors). The coating methods include galvanic deposition of Ni, Cr, and Zn, as
well as dipping in molten SnAg alloy (35,36). For NbTi-based CICCs, copper oxide has been used as a strand
surface treatment in a SMES coil (37), and Cr plating for a prototype SMES conductor (38). The bare copper
surface has been selected for the dc-operated poloidal coils of the Large Helical Device (39), as well as for a
100 kA conductor of a flux pump (40). Organic-varnish-insulated strands have been used for the CICC of the
DPC-U coils (41). In the NbTi CICC for the 45 T hybrid magnet (42), the drawing lubricant is intentionally not
removed from the strands to enhance the contact resistance. The bare copper surface, as well as the oxidized
copper surface, proved to be not satisfactory for pulsed operation (because of high interstrand coupling loss).
Conductors with fully insulated strands showed severe instabilities due to their inability to redistribute the
current among the strands.
In Nb3 Sn-based CICCs, an antibonding coating is highly recommended to avoid intermetallic diffusion
at the strand crossovers during heat treatment. If the strands bond together without possibility of sliding
during the winding process (react-and-wind), the performance degradation due to the bending strain and the
interstrand coupling loss may become unacceptably high. An example of noncoated Nb3 Sn in a CICC, with very
high coupling-current losses, is provided by the DPC-TJ coil (43).
A 5 m copper sulfide layer was originally specified, but eventually not applied, for the WestinghouseLCT
conductor (44). The antibonding properties of the copper sulfide have also been assessed in the JA development
for Nb3 Sn CICC (45). In the US-DPC conductor,some lubricant was accidentally used during the cabling,
providing an oil film on the Crcoating (46) Based on this experience and on special ac loss experiments (47),
the bare Nb3 Sn strands of the CICC for the 45 T hybrid magnet were intentionally coated with Mobil 1 oil;
during the heat treatment the organic film partly vaporizes, leaving a blackened strand surface. The organic
vapors may have a detrimental effect on the other conductor components during the heat treatment, and the
loose carbonized particles may affect the flow dynamics in operation.
The Cr Electroplating. Today, the large majority of Nb3 Sn strands for CICCs are Cr-coated in a continuous electroplating process. The plating of wire with Cr is not a standard process, and a number of new
plating lines have been set up, especially for Nb3 Sn strands. Because of the environmental issues associated
with Cr electrolyte, the plating lines are mostly assembled at Cr plating companies rather than at companies
for continuous galvanic coating of wires.
Compared to other galvanic processes (e.g., Cu, Sn, and Ni), Cr electroplating is much slower (and more
expensive too): at a current density of 50 A/dm2 , the growth rate of the Cr layer is in the range of 1 m/min.
To obtain reasonable process speed and prevent the Cr plating from becoming bottleneck in strand production,
several strands can be pulled in parallel through the same galvanic bath, or the same strand can make multiple
passes through the bath. The process speed is obviously inversely proportional to the required thickness of the
Cr layer. As the antibonding effect of the Cr plating is due to the surface oxide, a thin coating (in the range of
17
2 m) is preferable, for cost reasons, to a thicker one. Typical process rates for a 2 m coating are in the range
of 1 m/min for a single pass and can be substantially increased by multiple passes.
Prior to Cr plating, the strand needs to be cleaned, usually by galvanic etching. This step may be either
integrated in the Cr plating line (48) (see e.g., Fig. 16) or done separately, together with a surface passivation
(49).
The antibonding properties of the Cr plating are well established. However, the contact resistance at
the strand crossovers (i.e., the interstrand coupling loss) and the worsening of the copper RRR (due to grain
boundary diffusion during the heat treatment) may vary over a broad range, depending on the proprietary
electroplating process of the different vendors (50). A discussion of the role of the electrolyte composition is
given in Ref. 51.
Strand Bundling. The way of bundling the strands in a CICC may have a crucial effect on the conductors performance. For an even current distribution under time-varying current operation, the path, and
thus the self-inductance, of each strand in the bundle must be identical. This requirement may also be formulated as full transposition with respect to the perpendicular applied field. Layered cables of superconducting
strands, for example 1 + 6 or 1 + 6 + 12 configurations, should be avoided. However, it is allowed to place a
nonsuperconducting core at the center of a cable, as for example in the Swiss LCT cable (17): this option is
satisfactory for transposition issues, but further investigations are required to assess the role of the central
core (segregated copper) as a stabilizer for transient events. Among the coreless stranded bundles, the triplet
is definitely the most stable geometry. With increasing number of strands (e.g., five or six) there is a tendency
for one strand to slip to the center, making an imperfect, non-fully-transposed, 1 + 4 or 1 + 5 cable.
To bundle together a reasonable number of superconducting wires, multiple stranding (multistage cabling)
is necessary. To preserve the transposition, the pitches of each cable stage must not be integer multiples. To
avoid strand damage (45) and to reduce the interstrand coupling loss (52), the pitch direction must be the
same in all the cable stages. The typical pitch lengths are in the range of 10 to 20 times the diameter of the
cable stages. A sequence of tight pitches turns into a stiff cable with a large average angle of the strands
to the conductor axis, leading to ineffective use of the cross section. On the other hand, a sequence of loose
pitches may lead to strand slippage during the bundle compaction, with local transposition errors. The pitch
of the last cable stage is crucial for coupling loss. In very large bundles, the subcables may be wrapped with a
high-resistivity metal strip to cut the largest coupling-current loops (53). The wrap direction must be opposite
to the pitch direction to avoid loosening and crinkling of the strip when cabling the next stage with back twist.
The wrap may be spaced (no overlapping) to allow some coolant mass exchange. The use of the subcable wraps
to cut the interstrand coupling currents must be carefully watched in the design, as it may lead to a severe
penalty in interstrand current sharing and current redistribution.
The selection of the cable stage sequence, especially the number of elements in the last cable stage, is
made according to the final cable shapea rectangle, an annulus with central hole, a square bundle, or the
18
like. Table 1 (54,55,56,57,58,59) lists a number of fully transposed cable configurations for large bundles (more
than 200 strands).
The natural void fraction of a stranded cable prior to compaction is larger than 50%. However, the typical
void fraction required in the cable space is in the range of 30% to 45% (higher void fraction may allow strand
movement in operation; lower void fraction may affect the integrity of the strands and limit the stability or
the hydraulic performance). Compaction of the strand bundle may be achieved either with a die at the cabling
point or with shaped rolls (either freely revolving or engine-driven). For large compaction loads, a die is not
recommended, as abrasion may occur at the strand surface and large pulling loads may damage the cable.
In large multistage cables, progressive compaction is advisable at each cable stage for an even distribution of
the voids and to avoid very high compressive loads at the outermost strands. The springback of the bundle
after passing the compacting tool must be allowed for in setting the size of the rolls or die. The cross-section
reduction of the bundle is preferably done in one step. A thin, overlapped metal wrapping is sometimes applied
after compaction to protect the bundle in the subsequent handling and manufacturing processes. A final
calibrationshaping pass may be necessary if tight dimensional tolerance is required.
The hydraulic diameter of a strand bundle with 40% void fraction is in the same range as the strand
diameter. To reduce the pressure drop, whenever large mass flow rate is required in operation, parallel helium
channels, with larger hydraulic diameter, may be attached to the strand bundle. In the DPC-EX, the extra
channels are two perforated steel pipes assembled at both sides of the flat cable (33). In the US-DPC, the fourcorner area between the central, wrapped bundle and the outer square conduit provides high-speed helium
channels (46).
A practical way to add a pressure-relieving helium channel is to cable the strand bundle as an annulus
around a central hole. This also provides a sound, fully transposed layout for the last cable stage. The central
hole can be obtained by preshaping the subbundles with rollers (in the last but one cable stage) as wedges
and fitting them together in the last cable stage (60). In this way, the subbundles form a vault and the central
hole does not need a mechanical support. However, matching the preshaped subbundles correctly requires an
accurate, continuous adjustment of the back twist of the individual components. The cabling rate is very low,
and only a limited compaction load can be applied after cabling. In the ITER conductors (53) [Fig. 17(d, e)], as
well as in the prototype 200 kA SMES (61) [Fig. 17(b)], the QUELL conductor (62) [Fig. 17(c)], and the HT-7U
(63) [Fig. 17(f)], the last cable stage is formed on a steel spiral that allows helium exchange between the central
hole and the bundle. No preshaping is necessary in the subbundles of the ITER conductor, which are compacted
against the spiral core, yielding a homogeneous filling of the annulus.
19
Fig. 17. Examples of CICC with pressure-relieving helium channels: (a) the Nb3 Sn US-DPC, 22.3 22.3 mm with central
heater (courtesy of MIT); (b) the NbTi 200 kA SMES, 55.1 mm diam (courtesy of Bechtel); (c) the Nb3 Sn QUELL CICC, 19.4
mm diam (courtesy of CRPP); (d) the Nb3 Sn ITER-CSMC, 51 51 mm (courtesy of ITER); (e) the Nb3 Al insert conductor,
45.7 mm diam (courtesy of JAERI); (f) the NbTi HT-7U conductor (from Ref. 63).
The subbundles of the Polo conductor (64) are cabled around a solid steel pipe (See Fig. 18), which forms
a separate, central conduit for forced flow of two-phase helium at 0.12 MPa. The inner conduit is also wrapped
with adhesive layers of Kapton and can be used in the quench detection system to balance the inductive voltage
component. The annular space contains stagnant supercritical helium pressurized at 0.4 MPa. The 13 subbundles of six NbTi strands around a CuNi core are spaced by an insulating wrap covering 70% of the surface.
Neither instabilities nor ramp rate limitations have been observed in the perfectly transposed conductor, but
the dc performance was degraded by 30% (65).
Braided Bundles. Instead of multiple stranding, an alternative way to bundle together the superconducting strands for a CICC is braiding. The hollow braids in common use in electrical engineering (e.g., for
20
Fig. 18. The Polo conductor, 22.5 22.5 mm. with double, separate cooling channels and insulated subbundle (courtesy
of VAC).
high-frequency shields) consist of two interlocked multiple spirals with opposite pitch direction. Such hollow
braids are transposed for perpendicular field, but are not suitable for a CICC, because the symmetry of the
hollow geometry is destroyed during compaction and, in case of parallel time-varying field component, large
voltage may be induced between the two opposite by running spirals. The flat braids proposed for superconducting accelerator dipoles are fully transposed for perpendicular and longitudinal applied field, but do not fit,
because of the large aspect ratio, into a practical CICC.
In lattice braids, similar to some type of alpine ropes, all the elements are interlocked in a bulk bundle.
For selected layouts, the strand bundle is fully transposed for both transverse and longitudinal applied field
(notice that stranded cables are never transposed for longitudinal applied field). Lattice braids were proposed
as an option for the WH-LCT conductor (66). The full transposition, high flexibility, and mechanical stability
of the bundle, as well as the large wetted perimeter, made very attractive the idea of a lattice braid as the first
cable stage for a CICC bundle. If all the strands are braided at once into a large bundle, the aspect ratio of the
braided bundle can be designed starting from the layout of the braiding platform (See Fig. 19) without the need
of final shaping as with stranded bundles. The only drawback for practical application of the lattice braids is
that such braiding machines are not industrially available and must be specially built. The complexity (and
the cost) of the braiding machine sharply increases with the number of elements to the braided at once. For
21
Fig. 19. The 29-strand lattice braid: scheme of the braiding platform with the movements of the carriers (left) and
operating braiding machine (right). (Courtesy of ABB.)
this reason, the braid option was abandoned in the WH-LCT, after a few meters of 34-strand bundle had been
manually braided.
A powered braiding machine, able to handle 29 strands at once, was built in 1989; see Fig. 19 (48). The
29-strand lattice braid was used as a first cable stage for a rectangular Nb3 Sn prototype CICC (67), with a total
of 609 strands (29 3 7). Compared to a similar conductor with purely stranded cable configuration ( 3
3 73 3 3 7) and identical overall void fraction, it is evident from Fig. 20 that the braid-based conductor
has a more homogeneous distribution of voids. Mechanical tests (68) also indicate a higher transverse modulus
for the braided bundle because of the interlocked structure (no line contacts among strands).
Conduit Materials. The selection of the conduit material is dictated by the mechanical requirement
in operation, as well as by manufacturing issues (weldability, industrial availability of strips or pipes, and
compatibility with the heat treatment, if any).
Copper is seldom used as a conduit material because of its low strength. The ETL wind-and-react Nb3 Sn
flat cable (see above) has a 0.75 mm thick, Cu welded sheath (29), manufactured in straight, 40 m long sections
by longitudinal welding. A 200 m long section of NbTi CICC with 55 strands was manufactured in a continuous
tube mill process, using a 0.6 mm thick copper strip (69). Although the coils wound with the copper-sheathed
conductors were small (inner radius 0.15 m and 0.26 m respectively), in both cases the sheath cross section
was not designed to withstand alone the hoop load in operation.
Low-carbon-content, austenitic steel is the favorite material for CICC sheaths, because of its ductility,
strength, broad availability in almost any shape, and low cost. Whenever higher strength is required, nitrogenalloyed austenitic steels (e.g., AISI 316LN and AISI 304LN) may be used. Some precautions must be taken using
the nitrogen-alloyed steels with Nb3 Sn conductors, due to the possible reduction of the fracture toughness after
22
Fig. 20. Cross sections of two prototype CICCs for NET: braided bundle, 29 3 7 (left) and stranded bundle, 3 3 3
3 7 (right). (Courtesy of ABB.)
Fig. 21. Use of Al alloys for CICCs: (a) an MIT test conductor (courtesy of B. Montgomery) with Al primary conduit and
co-wound profiles; (b) the W7-X conductor, with coextruded All6060 alloy (courtesy of EM-LMI); (c) the GEM conductor
with steel primary conduit and coextruded outer Al cladding. (Courtesy of N. Martovetsky, LLNL.)
heat treatment. A large effort has been carried out, mostly in Japan, to develop and select suitable stainless
steels to prevent degradation of the mechanical properties after heat treatment (70,71,72,73).
Among the relevent Nb3 Sn-based CICCs with austenitic steel conduits, we recall the 45 T-A and B hybrid
(74), the DPC-EX (33), the DPC-TJ (54), the ENEA conductor (75), the ITER-TFMC (76), the HFTF (77), the
FC 150 m coil (78), and the 12 T TFMC pancake (79).
Most of the NbTi-based CICCs, have an austenitic steel conduit, for example, the poloidal LHD coils (55),
and the DPC-U (58), the EU-LCT (24), the Italian MHD (80), the Polo conductor (64), the 45 T-C hybrid (42),
the JF-30 (81), the 200 kA SMES (61), and the HT-7U (63).
Aluminum-Based Conduit. An Al-sheathed CICC [nontransposed cable of 3 (+ 6 + 121 + 6 + 12)
NbTi strands] was manufactured in short lengths in 19771978 (82). The 0.9 mm thick Al round pipe, 12 mm
diam, was co-wound with two matching Al extruded and anodized profiles; see Fig. 21 The test conductor was
bent to small radius and used for stability experiments.
For the W7-X coil system, consisting of nonplanar windings with small bending radius, a NbTi based
CICC was proposed (83) with conduit made from a coextruded Al alloy; as extruded, the CICC is soft (y
100 MPa) and can be easily bent to small radius. After aging at 130 to 180 C, which can be combined with
23
the epoxy impregnation process, the Al 6060 alloy hardens (y 280 MPa at 4 K), providing the required
mechanical stiffness to the winding (56). The square conduit, 14.8 14.8 mm (See Fig. 21), is coextruded
on the 10 mm diam cable, without any protective wrapping, in unit lengths of 600 m. To avoid degradation
of the NbTi conductor performance, the temperature and speed of the coextrusion process must be carefully
controlled: a decrease by 5.5% of the strand Ic performance was observed for the W7-X conductor (84). The
coextrusion of superconducting cables and pure Al is well developed for particle detector magnets. For W7-X,
the process was first used to produce an internally cooled conductor.
A coextrusion method was also used for the GEM detector conductor (85). However, here the cable (See
Table 1) is preliminarily sheathed with a 1.5 mm thick 304L steel conduit and the thick, pure Al clading has
no helium containment function. The bonding of Al to steel was proved to be effective for stability. With an
overall size of 49.8 68.5 mm (84% consisting of the pure Al clading), the GEM conductor is the largest forced
flow conductor manufactured in useful length; see Fig. 21
Incoloy 908 Conduit. The use of a superalloy as a conduit material for CICC was first considered for the
Nb3 Sn WH-LCT conductor, due to the disappointing experience after heat treatment with the nitronic 40 (a
steel with 0.33% nitrogen content). The R&D work (86) led eventually to the choice of JBK-75, a 30% Ni alloy
specially developed from the A-286 superalloy, as a sheath material.
The main drawback of the steel as conduit material for Nb3 Sn CICCs is its large coefficient of thermal
expansion: from the heat treatment down to the operating temperature, the differential contraction of steel
and Nb3 Sn causes a degradation of the superconducting properties, especially severe at high field. In the scope
of the US-DPC coil R&D program, a new superalloy of the Incoloy group was developed as sheath material
for Nb3 Sn CICCs, with coefficient of thermal expansion matching that of Nb3 Sn (87). Several test programs
have been carried out to compare the mechanical properties of Incoloy 908 and 316 LN steel (see, e.g., Ref.
88). However, the most impressive advantage in the use of Incoloy 908 as a conduit material is the gain in
the high-field performance of the Nb3 Sn (89). On the other hand, a big concern in the use of Incoloy 908 is
the possible occurrence of stress-accelerated grain boundary oxidation (SAGBO) during the heat treatment,
leading eventually to catastrophic failure of the material. Relaxation of the surface stress in the conduit by
shot peening, as well as tight control of the oxygen content (below 1 ppm) in the heat treatment atmosphere,
are the key measures to avoid SAGBO (90,91).
The US-DPC conductor was sheathed with a 2.35 mm thick strip of Incoloy 908, TIG-welded in a tube
mill (46). The first large-scale application of Incoloy 908 as a conduit material for a Nb3 Sn CICC is ITER-CS
model coil conductor (53). Several tens of tonnes of round-in-square tubing, as 6 m to 11 m long sections, have
been manufactured by combined hot, hollow extrusion and cold drawing (92).
Pure titanium has been proposed as an alternative low-coefficient-of-thermal-expansion material for
sheathing of Nb3 Sn-based CICCs. Its low-temperature mechanical properties after aging are reported in Ref.
93. The only important CICC with Ti conduit is the 100 m long QUELL conductor, with 3 3 4 6 Nb3 Sn
strands and 1.2 mm thick pure Ti round pipe (62).
Jacketing Methods. The selection of the jacketing method depends to a large extent on the dimension
and cross section of the jacket. A key issue affecting the jacketing is the wall thickness. Conduits with constant
wall thickness can be manufactured and assembled by a variety of methods, some of which are the cheapest.
On the other hand, a conduit with variable wall thickness at the corners allows better packing of the conductor
in the winding and offers superior mechanical performance.
The Tube Mill. The large majority of CICCs are jacketed by the tube mill method. The generic scheme
of the process is shown in Fig. 22, from Ref. 54. The metal strip is formed by rolls around the cable in a large
number of steps; see Fig. 23(a) for the WH-LCT conductor (94) Fig. 23(b) for the DPC-U (58), and Fig. 23(c)
for the 45 T hybrid (59). The longitudinal TIG weld is usually done while the conduit is oversize, to limit
the thermal load on the strand bundle underneath the welding seam and to avoid contact between the weld
bead and the bundle. However, it is also possible to fold the strip exactly to the final size and weld it without
damaging the superconductor, as for example in the DPC-EX (33) and the EU-LCT (24).
24
Fig. 22. Scheme of the tube mill method for CICC, courtesy of JAERI.
After forming and welding the round pipe (a highly standardized industrial process), the conduit is
compacted in a number of steps to suppress the gap between pipe and bundle, and to give the square or
rectangular shape required for winding; see Fig. 23 Typical conduit elongation during the compaction process,
by rolling and/or drawing, is up to 10%. It is crucial to control the cable elongation during the compaction:
at large cross section reduction and low void fraction, plastic axial deformation of the bundle may start, with
fatal consequences for the stranded cable, which does not withstand elongation larger than 2% to 3% without
permanent damage. On the other hand, good mechanical engagement between cable and conduit during the
final shaping steps is highly desirable, to restrain dangerous strand movements under the operating load.
Starting from a metal strip, it is not possible to achieve sharp corners in the final, squared conductor.
The typical range for the outer corner radius is 3 mm to 6 mm, depending on the wall thickness. The final cold
work of the conduit (starting from the fully annealed strip) depends on the cross-section reduction, the conduit
thickness, and the corner radius.
The thickness of the conduit (typically 1.5 mm to 2.5 mm) ranges from 0.8 mm (EU-LCT) up to 3.5 mm
(LHD-OV). The tube mill method is used to form conduit from a variety of metals, including copper, titanium,
Incoloy 908, and austenitic steels of different composition. A list of selected CICCs sheathed by a tube mill is
given in Table 2 (95). Some cross sections of CICCs jacketed in tube mill are shown in Fig. 24.
Quality control of the weld (59) is obtained through tight monitoring of the process parameters. The
presence of the strand bundle underneath the weld seam precludes a check by X rays or eddy currents after
compacting and shaping. Stop and restart of the process (e.g., to replace the TIG electrode and the gas bottles)
is common practice for the tube mill method.
The Pullthrough Method. In the pullthrough method (see scheme in Fig. 25) the sheath is first manufactured as a straight, oversize conduit of the same length of the final conductor section. In a second step, the
bundle, wrapped with a protective metal foil, is pulled through the oversize conduit. Eventually, the conduit
cable assembly is compacted by rolling or drawing and coiled on a take-up reel.
The pullthrough method can be applied to any conduit geometry; however, it is especially attractive for
thick-walled conduit and conduit with nonconstant wall thickness (which cannot be welded in a tube mill).
Compared to the tube mill, an advantage of the pullthrough method is that the welds of the conduit can be
fully inspected (and repaired) before assembling the superconductor.
25
Fig. 23. The forming steps for the metal strip in a tube mill: (a) the WH-LCT (courtesy of P. Sanger); (b) the 45 T hybrid
(courtesy of J. Miller); (c) the DPC-U (courtesy of JAERI).
The straight, oversize conduit can be assembled by different methods, including longitudinal welding of
strips or profiles. However, all the pullthrough applications to date have used the butt welding of extruded
tubing sections (typical unit length 6 m to 12 m). In one case (96), the 1 mm thick Incoloy 908 pipe was first
manufactured in a tube mill, then cut in 10 m sections, and eventually reassembled by butt welding at the
jacketing site. The pullthrough method was first applied for short conductor length and prototype conductors
26
Fig. 24. Examples of CICCs jacketed in a tube mill: top left, the WH-LCT (94); top middle, the LHD-IV (courtesy of
NIFS); bottom left, the DPC-U (courtesy of JAERI); bottom middle, the JF-30 (courtesy of JAERI); right, the 45 T hybrid
conductors (courtesy of NHMFL).
(e.g., Refs. 30,60). Table 3 reports the pullthrough jacketing applications with useful (>50 m) conductor length.
Except for the ITER-CS conductor, the conduits quoted in Table 3 have constant wall thickness.
The butt welding of the thin-wall conduits (2 mm) is done with a TIG single-pass orbital welder without
filler. A second pass with filler was necessary for the 3 mm thick conduit of GEM (85). For the circle-in-square
27
Incoloy tubing of ITER-CS, a number of orbital passes with filler were carried out after the first root pass.
Eventually, the corner area was filled manually. Special attention has to be paid to the weld protrusions (drops)
inside the conduit: these can be monitored either by an endoscope or by inserting a calibrated gauge. The
quality assurance on the butt welds may include X rays, ultrasound, dye penetrant, and leak tightness.
The applicable pulling load, F p , is limited by the yield strength of the cable (i.e., the threshold d where
strand damage occurs) and by the strength of the conduit, which must react the pulling load at the insertion
point (this is an issue only for thin-walled jackets). The pulling load per unit length has been found (96) to be
a function of the clearance between the cable and the oversize conduit. The coefficient of friction, , defined
as the pulling load divided by the cable weight can also be monitored. There is broad agreement (53,75,76,96)
28
that the insertion clearance must be larger than 1.5 mm for friction coefficients < 1. However, according
to the actual tolerance on the size of cable and conduit, a larger clearance may be necessary. The longer the
conductor section to be jacketed, the more crucial becomes the issue of clearance. For short length, the risk of
higher friction may be accepted. For long section jacketing, high initial friction may lead to an avalanche effect
and the cable may eventually get stuck in the conduit (76).
After pullthrough, the conductor is compacted by rolling or drawing to suppress the insertion clearance.
For conduits with nonconstant wall thickness, large cross-section reduction should be avoided to keep tight tolerance in the final conductor geometry. On the other hand, the compaction must achieve a positive engagement
of cable and conduit to restrain strand movement in operation, which may result in dangerous instabilities.
The jacketing of long sections of CICC by the pullthrough method has been successfully demonstrated at
VNIIKP, Moscow, where a 1 km long jacketing line has been set up and operated (96). The maximum conductor
length Lmax that can in principle be jacketed by the pullthrough method can be assessed by imposing the
constraint that the pulling load at the head of the cable does not exceed the tensile cable strength where strand
damage occurs, d :
where is the density of the cable, typically in the range of 7 103 kg/m3 to 8 103 kg/m3 . The range of
d depends on the cable pattern and strand properties. For the friction coefficient , a safety margin must be
considered. Assuming, conservatively, d = 50 MPa and 1, the maximum allowed length would be about
700 m.
Laser Beam Welding. CO2 laser beam welding was proposed in 1986 (97) as an effective method to form
thick conduit by longitudinal welding. The laser beam allows deep penetration and continuous joining with
negligible deformation of thick-walled conduits in a single pass, without filler. Due to the small heat load on
the underlying cable, laser welding can be applied to the final conductor size without the final compaction and
shaping steps, which are mandatory in the pullthrough method and in most tube mills to suppress the gaps
between cable and welded conduit. Compared to the electron beam, also used for narrow welding of thick walls,
the laser beam is most suitable for continuous processes, as it does not need a vacuum environment.
Conduit segments with variable wall thickness can be manufactured by hot rolling and cold drawing in
sections up to about 20 m, butt-welded and coiled to the required conductor length. Two longitudinal welds are
required to join the jacket segments, with the cable fed in between, as in the tube mill. This can be obtained
either by two synchronized laser beams or by a single, optically split beam. For a process rate in the range of 2
m/min, the required beam power is about 1 kW per millimeter of wall thickness. The penetration depth of the
seam can be controlled in practice to 10% (mostly due to the plasma oscillations in the weld). To guarantee
full penetration of the weld and avoid contact of the strand bundle with the laser beam, protection underneath
the weld is mandatory: this can be either a wrap on the cable or a longitudinal strip or gap under the seam.
Longitudinal laser beam welding has been applied only to two conductors: Polo (64) and the NET-ABB
prototype conductors (48). The jacket of Polo is built from four quadrant profiles (see Fig. 18), preassembled by
laser welding into two U profiles and eventually welded by two synchronized beams on the wrapped cable. The
weld seam is 1.5 mm thick. Four conductor sections, each 150 m long, have been produced. The actual welding
time for one section was less than 1 h, with several stops and restarts (97). Eddy currents were used to check
the quality of the welds. Leaking spots were repaired by TIG welding.
In the NET-ABB prototype conductor (see Fig. 20) the weld seam is 3.9 mm thick. An air gap and a
longitudinal steel strip underneath the weld protect the strand bundle from the contact with the beam. Quality
assurance by ultrasound can be applied online in the process.
Despite the satisfactory employment and attractive features of laser beam welding (high rate, no deformation, minimum heat load for large penetration depth), no further application of it has been reported for
29
CICC jacketing in the last decade. The main reasons are the investment cost for the laser device, which can be
justified only for large series production, and the limited experience of industrial conductor suppliers with the
laser welding technology (a similar situation to that for lattice braiding; see above).
The Coextrusion Method. Because of the need for temperature compatibility, only aluminum alloys (see
above) can be used to jacket a CICC by the coextrusion method. For the W7-X (56), the square, coextruded
conduit fulfils at once the functions of helium containment, structural support, and stabilization; see Fig. 21.
In the case of the GEM conductor (85), the pure Al cladding is coextruded on the steel jacketed conductor and
has only the function of limiting the hot spot temperature in case of quench.
Double Conduit. To avoid the problem of assembling a thick-walled jacket, a double conduit has been
used in a few cases. The additional conduit has no helium containment function, but its cross section adds to
the main conduit from the structural point of view.
The secondary conduit may be inside the main conduit, as in the US-DPC [see Fig. 17(a)], where a 1 mm
thick Incoloy 908 strip is formed in a tube mill and welded (not helium-tight) on the round cable (46). The inner
conduit stabilizes the shape of the cable, defines the void fraction, and protects the cable during the welding of
the thicker, outer conduit. During the development of the Polo conductor (64) (Fig. 18), an inner conduit with
punched holes was initially foreseen as a protection for the cable during the outer conduit welding (the inner
conduit was later replaced by a double wrap).
An outer secondary conduit can be used to give a structural reinforcement and provide a better shape for
winding. This possibility is of special interest when the primary conduit is made in a tube mill, with constant
wall thickness and large corner radii. In the MIT test conductor (82), both primary and secondary conduits are
aluminum (see Fig. 21): the two extruded U profiles are fitted to the round NbTi conductor without welding. In
the DPC-TJ (99) (see Fig. 15), the Nb3 Sn conductor, jacketed in a tube mill, pancake-wound, and heat-treated,
is eventually encased and spot welded into two steel U profiles (preformed armor). The thin-walled, sharpcornered U profiles are manufactured by 3-D milling a spiral into a thick steel plate, in order to fit the shape
of the heat-treated pancake.
30
The most popular conduit material for CICC is low-carbon stainless steel (nitrogen-alloyed, whenever
required), readily available in any shape, easy to weld, and cheap. For Nb3 Sn conductors, the Incoloy 908, due
to the matching coefficient of thermal expansion, offers a superior performance at high field and competing
mechanical properties. The higher cost, the precautions to be taken at welding and heat treating, and the
single-source supplier to some extent balance the advantages of the Incoloy 908 over stainless steel.
The tube mill is a highly developed industrial process for pipe production. For CICCs with thin, constantthickness conduits, the tube mill is the cheapest, easiest jacketing method, with virtually no limit on the
conductor length. For thick-walled conduit, as well as for nonconstant thickness, the tube mill and TIG welding can be replaced by laser beam longitudinal welding of preassembled profiles. The pullthrough method,
definitely preferable for prototypes and short-length jacketing because of the small investment and the low
risk, has become unexpectedly popular also for long length and series production, where its competitiveness is
questionable.
Three decades of forced flow superconductors show clearly a trend toward easy, low-technology manufacturing methods despite the successful demonstrations of sophisticated layouts and advanced technology (e.g.,
EU-LCT and Polo). Initially, the research institutes were the leaders in conductor development. Today, many
companies can supply forced flow superconductors without the support of design and R&D activities from the
lab community.
BIBLIOGRAPHY
1. H. H. Kolm, A closed loop cooling system for superconducting bubble chamber magnets, Proc. Int. Symp. Magn. Technol.,
Stanford, CA, 1965, p. 611.
2. M. Morpurgo, The design of the superconducting magnet for the OMEGA project, Part. Accel., 1: 255, 1970.
3. M. Morpurgo, Construction of a superconducting test coil cooled by helium forced circulation, CERN Report 68-17,
1968.
4. M. Morpurgo, A superconducting solenoid cooled by forced circulation of supercritical helium, CERN Report 69-25,
1969.
5. C. Lesmond, J. C. Lottin, S. Shimamoto, Experiment with hollow conductor superconducting magnet, Proc. Magn.
Technol. Conf., Hamburg, 1970, Vol. 3, p. 925.
6. G. Meyer R. Maix, Superconductors and superconducting magnets, Brown Boveri Rev., 57(7/8): 355, 1970.
7. Y. Naganuma, et al. The manufacture of hollow superconductors, Proc. ICEC, Kyoto, 1974, Vol. 5, p. 508.
8. V. N. Agureev, et al. Electroplated stabilized multifilament superconductor, IEEE Trans. Magn., 11: 303, 1975.
9. D. P. Ivanov et al Test results of tokamak-7 superconducting magnet system (SMS) sections, IEEE Trans. Magn., 15:
550, 1979.
10. E. Yu. Klimenko et al, Superconducting conductor for T-15 toroidal magnet, Sov. At. Energy (Engl. Transl.), 63: 756,
1987.
11. N. Schaetti, Superconductors for the magnet coils of the Omega spark chamber at CERN, Brown Boveri Rev., 59 (2/3):
73, 1972.
12. M. Morpurgo, A large superconducting dipole cooled by forced circulation of two phase helium, Cryogenics, 19: 411,
1979.
13. H. Hillmann, Fabrication technology of superconducting material, in S. Foner and B. B. Schwartz, (eds.), Superconductor
Material Science, Ser. B68, New York: 1981. NATO Adv. Study Inst., 1981.
14. G. Pasotti, et al. SULTAN: An 8 T, 1 m bore test facility, the outer solenoid, IEEE Trans. Magn., 17: 2007, 1981.
15. U. Trinks, et al. A protoype coil for the superconducting separated sector cyclotron SuSe, J. Phys., Cl. Suppl. 1, 45: 217,
1984.
16. K. Agatsuma, et al. Braided multifilamentary Nb3 Sn hollow superconductor and its magnet, IEEE Trans. Magn., 15:
787, 1979.
17. H. Benz, et al. The conductor for the Swiss LCT coil, IEEE Trans. Magn., 17: 2213, 1981.
18. H. Benz, et al. Design and manufacture of the conductor for the Swiss LCT coil, IEEE Trans. Magn., 19: 711, 1983.
31
19. W. M. P. Franken, et al. Manufacture of the hollow supercritical He cooled conductor for the ECN/SULTAN project,
IEEE Trans. Magn., 19: 368, 1983.
20. B. Jakob G. Pasztor, Fabrication of a high current Nb3 Sn forced flow conductor for the 12 tesla SULTAN test facility,
IEEE Trans. Magn., 23: 914, 1987.
21. J. A. Roeterdink, et al. Design and construction of the ECN 12 T niobium tin magnet insert for the SULTAN facility,
IEEE Trans. Magn., 24: 1429, 1988.
22. B. Jakob, G. Pasztor, R.G. Schindler, Fabrication of high current Nb3 Sn forced flow conductors and coils for the SULTAN
III test facility, Fusion Techology 1992, Amsterdam: Elsevier, 1993, p. 872.
23. H. Krauth, et al. Manufacturing and testing of a forced flow cooled superconductor for tokamak magnets, IEEE Trans.
Magn., 17: 918, 1981.
24. H. Krauth, et al. Development and testing of a forced flow cooled superconductor for LCT, Proc. 8th Symp. Eng. Probl.
Fusion Res., 1979, p. 1451.
25. B. Jakob, et al. Design and fabrication of a 17 kA preprototype Nb3 Sn conductor for the Tf coils of the NET fusion
project, IEEE Trans. Magn., 24: 1437, 1988.
26. J. M. Plaum, et al. Development toroidal field conductor for NET, IEEE Trans. Magn., 24: 1433, 1988.
27. R. Flukiger,
et al. The Nb3 Sn react and wind conductor for NET toroidal field coils and its boundaries, Fusion Technology
1990, Amsterdam: Elsevier, 1991, p. 1584.
28. V. A. Glukhikh, Programme of the conductor development for the ITER toroidal field coils, Proc. Magn. Technol. Conf.,
Tsukuba, 1989, Vol. 11, p. 886.
29. K. Agatsuma, et al. Fabrication and test of a forced cooled Nb3 Sn superconducting coil, IEEE Trans. Magn., 21: 1040,
1983.
30. K. Agatsuma, et al. Stainless steel sheathed forced internally cooled Nb3 Sn superconductor and its coil test, IEEE
Trans. Magn., 23: 1535, 1987.
31. T. Ando, et al. +2 T test module coil (TMC-II) in the cluster test program, Proc. 10th Symp. Fusion Eng., Philadelphia,
1983, p. 1346.
32. M. Sugimoto, et al. Development of hollow cooling monolithic conductor for ITER TF coil, IEEE Trans. Magn., 28: 218,
1992.
33. T. Ando, et al. Fabrication and test of the Nb3 Sn demo poloidal coil (DPC-EX), Fusion Technology 1990, Amsterdam:
Elsevier, 1991, p. 243.
34. H. Nakajima, et al. Tensile properties of new cryogenic steels as conduit material for forced flow superconductors at 4
K, Adv. Cryog. Eng. Mater., 34: 173, 1988.
35. M. D. Sumption, et al. Contact resistance and cable loss measurements of coated strands and cables wound from them,
IEEE Trans. Appl. Supercond., 5: 692, 1995.
36. J. M. Depond, et al. Examination of contacts between strands by electrical measurement and topographical analysis,
IEEE Trans. Appl. Supercond., 7: 793, 1997.
37. T. Satow, et al. Present status of 480 MJ/40 MW SMES development project, Proc. Int. Conf. Electr. Eng., Matsue,
Japan, 1997.
38. K. Kwasnitza, A. Sultan, S. Al-Wakeel, AC losses of a 10 kA NbTi cable-in-conduit superconductor for SMES application,
Cryogenics, 36: 27, 1996.
39. K. Takahata, et al. Stability tests of the NbTi cable-in-conduit superconductor with bare strands for demonstration of
the large helical device poloidal field coils, IEEE Trans. Magn., 30: 1705, 1994.
40. G. Pasztor, et al. Design fabrication and testing of a 100 kA superconducting transformer for the SULTAN test facility,
Proc. Magn. Technol. Conf., Beijing, 1997, Science Press, 1998, Vol. 15, p. 839.
41. Y. Takahashi, et al. Experimental results of stability and current sharing of NbTi cable-in-conduit conductors for the
poloidal field coils, IEEE Trans. Appl. Supercond., 3: 610, 1993.
42. J. E. C. Williams, et al. The development of a NbTi cable-in-conduit coil for a 45 T hybrid magnet, IEEE Trans. Magn.,
32: 1633, 1994.
43. K. Okuno, et al. Ac loss performance of 1 m bore, large-current Nb3 Sn superconducting coils in JAERI demo poloidal
coil project, IEEE Trans. Appl. Supercond., 3: 602, 1993.
44. C. J. Heyne, et al. Westinghouse design of a force flow Nb3 Sn test coil for the large coil program, Proc. 8th Symp. Eng.
Probl. Fusion Res., San Francisco, 1979, p. 1148.
45. N. Aoki, et al. Development of forced-cooled Nb3 Sn bundle conductor, IEEE Trans. Magn., 19: 733, 1983.
32
46. M. M. Steeves, et al. The US demonstration poloidal coil, IEEE Trans. Magn., 27: 2369, 1991.
47. B. J. P. Baudouy, et al. AC loss measurements of the 45 T hybrid/CIC conductor, IEEE Trans. Appl. Supercond., 5: 689,
1995.
48. P. Bruzzone, Fabrication of a short length of wind and react conductor, ASEA Brown Boveri Rep. HIM 20420, Zurich,
1990.
49. Y. Ipatov, et al. Galvanic chrome plating of copper wire for the ITER program. Proc. ICEC/ICMC 96, Kitakyushu,
Japan, Elsevier, 1996, 1969.
50. P. Bruzzone, A. Nijhuis, H. H. J. ten Kate, Effect of Cr plating on the coupling current loss in cable-in-conduit conductors,
Proc. ICEC/ICMC 96, Kitakyushu, Japan, Elsevier, 1996, p. 1243.
51. Y. Ipatov, P. Dolgosheev, V. Sytnikov, Prospective barrier coatings for superconducting cables, Supercond. Sci. Technol.,
10: 507, 1997.
52. K. Kwasnitza I. Horvath, Experimental evidence for an interaction effect in the coupling losses of cabled superconductors, Cryogenics, 23: 9, 1983.
53. P. Bruzzone, et al. Conductor fabrication for the ITER model coils, IEEE Trans. Magn., 32: 2300, 1996.
54. N. Aoki, et al. Fabrication of superconductor for the DPC-TJ coil, Cryogenics, 33: 581, 1993.
55. K. Nakamoto, et al. Design and fabrication of forced-flow superconducting poloidal coils for the Large Helical Device,
Fusion Technology 1994, Amsterdam: Elsevier, 1995, p. 909.
56. R. Heller, Superconductor for the coils of the modular stellarator Wendelstein 7-X, IEEE, Trans. Magn., 30: 2383, 1994.
57. J. V. Minervini, et al. Conductor design for the GEM detector magnet, Proc. IISSC, San Francisco, 1993, Vol. 5, p. 595.
58. T. Kumano, et al. Development of superconductors for the DEMO poloidal coils (DPC-U1, U2), Proc. Magn. Technol.
Conf., Tsukuba, 1989, Vol. II, p. 841.
59. J. R. Miller, et al. Experience on sheathing 10 km of cable-in-conduit conductor for the NHMFL hybrid, Adv. Cryog.
Eng. Mater., 41: 489, 1996.
60. D. Bessette, et al. Fabrication and test results of the 40 kA CEA conductors for NET/ITER, Fusion Technology 1992,
Amsterdam: Elsevier, 1993, p. 788.
61. D. L. Walker, et al. Design of a 200 kA conductor for superconducting magnetic energy storage (SMES), Adv. Cryog.
Eng., 35: 573, 1990.
62. A. Anghel, et al. The ITER quench experiment on long length at the SULTAN facility, Fusion Technology 1994 Amsterdam: Eberier, 1995, p. 881.
63. B. Z. Li, et al. Conductor fabrication for the HT-7U model coil, Fusion Technology 1998, Amsterdam: Elsevier, 1998, p.
775.
64. S. Forster, U. Jeske, A. Nyilas, Fabrication of a 15 kA NbTi cable for the 150 T/s high ramp rate polo model coil, Fusion
Technology 1988, Amsterdam: Elsevier, 1989, p. 1557.
65. R. Heller, et al. Stability of a poloidal field coil under rapidly changing magnetic field, IEEE Trans. Magn., 32: 2336,
1996.
66. M. A. Janocko, Lattice braided superconductors, IEEE Trans. Magn., 15: 797, 1979.
67. P. Bruzzone, Fully transposed braids for the prototype cable-in-conduit conductors of NET, IEEE Trans. Magn., 28:
190, 1992.
71. J. Kubler,
H. J. Schinder, W. J. Muster, Influence of aging on the fracture toughness of cryogenic austenitic materials,
evaluated by a simple method, Adv. Cryog. Eng. Mater., 38: 191, 1992.
72. R. P. Reed, R. P. Walsh, C. N. McCowan, Effect of Nb3 Sn heat treatment on the strength and toughness of 316 LN
alloys with different carbon content, Adv. Cryog. Eng. Mater., 38: 45, 1992.
73. H. Nakajima, et al. Development of high strength austenitic stainless steel for conduit of Nb3 Al conductors, Adv. Cryog.
Eng., 42: 323, 1996.
74. R. P. Walsh, L. T. Summers, J. R. Miller, The 4 K tensile and fracture toughness properties of a modified 316LN conduit
alloy, Proc. ICEC/ICMC 96, Kitakyushu, Japan, Elsevier, 1996, p. 1891.
33
75. R. Bruzzese, et al. The cable-in-conduit Nb3 Sn conductor for the EURATOM-ENEA 12 T wind-and-react magnet, IEEE
Trans. Appl. Supercond., 3: 515, 1993.
76. A. della Corte, et al. Successful completion of the conductor manufacture for the ITER-TF model coil, Fusion Technology
1998, Amsterdam: Elsevier, 1998, p. 841.
77. M. M. Olmstead M. O. Hoenig, Constructing, fabricating and forming of internally cooled cabled superconductors, IEEE
Trans. Magn., 17: 922, 1981.
78. M. Nishi, et al. A 12 T forced flow type superconducting magnet, IEEE Trans. Magn., 23: 1531, 1987.
79. M. Yamaguchi, et al. Development of a 12 T forced cooling toroidal field coil, Proc. ICEC, 10: 169, 1984.
80. F. Negrini, et al. First results of the Italian national program on superconducting MHD magnets technology, IEEE
Trans. Magn., 30: 2086, 1994.
81. Y. Takahashi, et al. Development of a 30 kA cable-in-conduit conductor for pulsed poloidal coils, IEEE Trans. Magn.,
19: 386, 1983.
82. M. O. Hoenig, A. G. Montgomery, S. J. Waldman, Experimental evaluation of a 1 m scale D-shaped test coil fabricated
from a 23 m length of internally cooled, cabled superconductor, Adv. Cryog. Eng., 25: 251, 1979.
PIERLUIGI BRUZZONE
Centre de Recherches en Physique des Plasmas
There is a strong parallel between the skin depth of metals in response to high-frequency fields and the
London depth in response to magnetic fields. In 1935, the London brothers first introduced the concept of
penetration depth while following a phenomenological approach to superconductivity via Maxwells equations
for electromagnetism (10).
Ginzberg and Landau (GL) showed that the ratio of = /L determined the nature of the response
of the superconductor to a magnetic field. For 1/2, type-I superconductivity exists, and there exists a
critical field H c when superconductivity and diamagnetism are abruptly destroyed, while for 1/ , type-II
superconductivity exists, and there exists a lower critical field H c1 above which materials are not perfectly
diamagnetic and an upper critical field H c2 beyond which superconductivity and diamagnetism are completely
destroyed. All the HTSC oxide superconductors are type II and are potentially useful because they can sustain
high magnetic fields and remain superconducting.
Anisotropy. In addition to the crystallographic anisotropy, there are a number of important properties
that also show anisotropy such as electrical conductivity, thermal conductivity, magnetic field penetration,
and current density. The large variation in electrical and thermal conductivity in directions parallel to and
perpendicular to the c axis is a direct consequence of the conductivity of the CuO2 layers. Ratios of 100 and 17 for
the electrical and thermal conductivities parallel to the ab plane and perpendicular to it have been measured
for YBCO (11). These values are even higher in Bi- and Tl-based systems, indicating strong anisotropy parallel
to and perpendicular to the CuO2 layers. Anisotropy in response to magnetic fields and current density is a
result of the large variation in the coherence length with direction. For YBCO, is a few nanometers along the
ab plane and an order of magnitude less perpendicular to it. This produces a large variation in the magnetic
field and current density it can support perpendicular to and parallel to the ab plane. Similar features are
observed in the other HTSC systems.
corners). Figure 1 illustrates the position of the various atoms on a cube. The chemical formula of ABX 3 is
easily deduced from this figure if the eight unit cells sharing the corner A atom and the two unit cells sharing
the X atoms are considered. The atom positions can be designated as A: (0,0,0) corner sites; X: (0, 12 , 12 ), (1, 21 , 12 );
( 21 , 21 ,0), ( 12 , 12 ,1); ( 21 ,0, 12 ), ( 12 ,1, 21 ) edge centers;B: ( 21 , 21 , 12 ) body center.
Another representation of the perovskite structure that is extremely useful to the understanding of HTSC
oxide structures is the layered or planar approach in which the structure is formed by stacking of layers in the
z direction. In this approach, the molecular grouping comprising each layer is also shown mainly in order to
stress the importance of the layered approach to an understanding of the properties of the HTSC oxides. The
layers themselves usually do not have any significance and may not even exist as independent structures. In
HTSC oxide terminology, the long axis is denoted by c (or z) as compared to the smaller a or b axis.
An ideal perovskite is cubic, like CaTiO3 . Its strong ionic bonding makes CaTiO3 electrically insulating
and a hard mineral. It also shows three-dimensional isotropy in many properties due to the cubic symmetry. The
crystallographic nature of anisotropy or isotropy does not necessarily determine the nature of fundamental
properties of materials such as its electrical conductivity. For instance, the element gallium exists as an
anisotropic hexagonal structure, but the momentum distribution of its electrons termed momentum space is
isotropic, and similar features could occur for superconductors. In general, there are a number of variations
from the ideal form of CaTiO3 . A typical example is the diversity in properties demonstrated by BaTiO3 . The
crystal structure of BaTiO3 undergoes structural phase transitions as a function of the ambient temperature,
changing its symmetry and response to external electric fields. Below 5 C it has an orthorhombic structure,
between 5 C and 210 C it is tetragonal, while above 210 C it is cubic. In the tetragonal state, the structural
distortion results in a permanent electric dipole moment, making it ferroelectric, while in the cubic phase
the symmetry prevents the existence of a permanent dipole moment. In noncubic perovskite minerals the
phenomenon of twinning is commonly observed. Twinning is most easily described by considering a structure
obtained by interchanging the a and b axis in a tetragonal phase, resulting in regions that are mirror images
of each other.
In addition to these common structural features, the role of the A and B cations is also crucial in the
properties of perovskites. The replacement of the smaller Ca2+ with an ionic radius of 0.99 with the larger
Ba2+ (1.34 ) plays a central role in the tetragonal distortion leading to the ferroelectric property of BaTiO3 . The
Fig. 2. Comparison of structures of La- and Nd-based superconductors. (a) Structure of La2 x Bax CuO4 with sixfoldcoordinated Cu. This is a p-type superconductor. (b) Structure of Nd2 CuO4 with planar fourfold-coordinated Cu. When
some Nd3+ ions are replaced by Ce4+ , this material becomes an n-type superconductor.
doping or substitution of A or B cations with other ions having radii within 15%, but with different valence,
results in a charge imbalance that is compensated by the creation of holes or equivalently by vacancies in
the X sites. This charge imbalance results in nonstoichiometric perovskite structures. All the HTSC oxide
superconductors contain Cu2+ instead of Ti4+ , resulting in a CuO2 layer that plays a central role in the nature
of electric current transport in these materials. Because of this feature all the HTSC oxides exhibit an almost
uniform size of a and b axes (usually between 3.81 and 3.83 ). In addition to these aspects, structural
transformations, twinning, anisotropy, etc., are all key features in controlling the superconducting properties
and need to be studied in detail to obtain a clearer picture of the behavior of these complex and potentially
useful structures.
Structure of La(Ba,Sr,Ca)CuO and Nd(Ce)CuO Compounds. Superconductivity in the highT c oxides was first discovered in the La2 CuO4 system when Bednorz and Muller 2 observed a sharp drop in the
electric resistance in Ba-doped La2 x Bax CuO4 . Subsequent observation of the Meissner effect confirmed that
the compound was superconducting. The T c was maximized at 30 K for a value of x = 0.15. The structure of the
superconducting phase was identified as a derivative of the layered perovskite K2 NiF4 and is shown in Fig. 2.
The CuO2 layers consist of octahedrally coordinated Cu, with the CuO bond length of 1.9 in the ab plane
and 2.4 in the c direction. Also, the Cu atoms occur at (000) and ( 21 21 21 x4 ,) lattice sites in the unit cell, similar
to a body-centered structure. The substituted Ba2+ ions occupy a fraction of the La3+ sites, and the difference in
valence brings about an increase in the number of holes. Superconductors with hole conduction are called p type,
a terminology similar to that followed in semiconductors. While substitution with atoms of different valence
is a well-known technique to introduce excess holes or electrons (once again in parallel with semiconductors),
oxidation or reduction to change the oxygen content also changes carrier concentration. Interstitial oxygen
between the LaO sheets or missing oxygen from the lattice sites, that is, vacancies, can also lead to excess
charge carriers. For example, the electrochemical oxidation of La2 CuO4 results in p-type superconductivity
(12). Substitution of the smaller (1.12 )Sr2+ ions instead of Ba2+ (1.34 ) results in a higher T c (39 K) for x
= 0.15. The increase in T c by substitution of a smaller atom and also the fact that increasing pressure tends
to increase T c [i.e., in the La1.8 Ba0.2 CuO4 phase, T c increases from 31 K to 36 K for a pressure increase from
atmosphere to 1.2 GPa (13)] is a significant property for a fundamental understanding of high-temperature
superconductivity and it also points the way to the synthesis of oxide superconductors with higher T c .
Fig. 3. Structural phase changes in La-based copper oxides as function of Sr concentration. (Adapted from Ref. 15.)
The structure of compounds in the Nd2 CuO4 system is identical to the compounds in the La-based
copper oxides. The only difference arises in the positions of the oxygen atoms, giving rise to an O2 layer
instead of the LaO layer present in La-based structures. While this does not change the CuO2 layers, it
results in a square planar fourfold copper coordination as seen in Fig. 2, which compares the structures of
the La- and Nd-based superconductors. The most important feature of Nd-based materials derives from the
fact that superconductivity in this system is by electroconduction or n-type rather than hole conduction as
in La-based superconductors. The difference in conductivity between the two systems, which in the undoped
state have almost identical structures and trivalent lanthanum or neodymium, is owing to the effect of doping
the substituting La with divalent Sr and the Nd with tetravalent Ce. In one case it results in a decrease
in the number of electrons (La3+ + e Sr2+ ), leading to excess holes, while in the other it increases the
number of electrons (Nd3+ + h+ Ce4+ ), leading to n-type conductivity. The electroconducting compound
Nd1.85 Ce0.15 CuO4 with T c = 24 K is the most studied material in this system (14).
Another common feature observed among the HTSC oxide families is easily observed in La2 x (B =
Ba, Sr)x CuO4 . Here, the lattice parameters and crystallographic symmetry depend on the value of x. For
x = 0.2 and B = Sr, the structure is tetragonal, while it is orthorhombic for x < 0.2. Figure 3 shows the
structural phase diagram for the La2 x Srx CuO4 system (15). Similar features can be found for B = Ba. One
aspect of the structures in La-based compounds is that superconductivity is observed both in the orthorhombic
and tetragonal phase, a feature not seen in the YBaCuO system, where only the orthorhombic phase
superconducts.
YBaCuO Compounds. The increase in T c observed by increasing pressure and by substitution of
smaller atoms led Chu and his co-workers (13) to replace La3+ (ionic radius of 1.14 ) by the smaller Y3+ (0.94 ),
leading to the first superconductor with a transition temperature (T c ) higher than the boiling point of liquid
N2 (77 K). The compound synthesized was YBa2 Cu3 O7 with a T c of 92 K. YBa2 Cu3 O7 is also commonly
referred to in the literature as Y123 or generally as YBCO and is the most widely studied of all the HTSC
oxides. Its superconducting properties, such as T c , the maximum current that it can carry (measured by the
current flowing per unit cross-section area of the superconducting material, i.e., the critical current density,
J c ), and the maximum strength of magnetic field that it could expel via the Meissner effect (H c ), are all highly
sensitive to the crystal structure and crystal quality of the YBCO material.
Fig. 4. Structure of Y1 Ba2 Cu3 O7 . The oxygen content () varies from 1 (rightmost figure) to 0 (leftmost figure) changing
the structure from a tetragonal insulating phase to the superconducting orthorhombic YBCO phase. The fivefold coordination of the Cu in the copper oxide plane is also shown. The chain oxygen content (in the ab plane) varies with . The
distortion of the CuO2 planes is also seen.
In terms of the perovskite structure, YBa2 Cu3 O7 is modeled by stacking three perovskite-type cells of
type BaCuO3 , YCuO2 , and BaCuO2 as shown in Fig. 4. A BaO plane separates two layers containing Cu and O.
While one contains atoms in the ratio of 1:2, that is, CuO2 , the other contains a varying quantity of O, ranging
from CuO to Cu. The two layers are commonly referred to as the CuO2 plane or CuO chain, respectively. The
quantity of oxygen in the chains is the key to properties of YBa2 Cu3 O7 and is represented by the value of
, known as the oxygenation parameter. For = 1, the chains do not contain O (Fig. 4), while for = 0, the
chains are fully oxygenated, giving a CuO layer. The value also determines the crystal structure of YBCO,
and Fig. 4 (left to right) shows the transition from an orthorhombic structure (a = 3.82 , b = 3.89 , c = 11.68
) for = 0 to a tetragonal structure for = 1 (a = b = 3.86 , c = 11.82 ). Significantly, in the tetragonal
phase obtained for 0.6, the material is insulating and does not have a superconducting transition, while in
the orthorhombic state obtained for 0.6, it is metallic and superconducting. T c is seen to maximize at 92
K as approaches zero. can be measured either using the titration method or from the lattice parameter of
YBCO obtained from X-ray diffraction (XRD) measurements using an empirical formula, = (c 11.68)/0.1501,
suggested by Tranquada et al. (16). Here, c is the value of the c parameter obtained from XRD measurements.
Figure 5 shows the experimentally observed correlation between the c-axis lattice parameter and T c for YBCO
in both thin-film form (17) and bulk form (18). The higher values of the c-axis lattice parameter for YBCO thin
film than those for bulk YBCO with the same T c are attributed to the expansion of the unit cell under the
influence of the substrate material.
In many cases, it is easier to interpret the properties of the HTSC oxides by understanding the stacking
sequence of the individual CuO layers making up the unit cell. But, unlike the case of true layered compounds
such as graphite and mica, where the weak bonds between layers makes intercalation with foreign atoms easy,
this is not the case with the HTSC oxides, and so the layers must not be interpreted as such. In the case of the
Bi-based HTSC oxides, though, the binding between BiO sheets is weak and intercalation of iodine is possible.
Figures 6(a) and 6(b) compare the layering sequences in YBCO and La2 CuO4 . The main difference seems to
Fig. 5. Experimental correlation between the c-axis lattice parameter and T c for YBCO thin film form (14) and YBCO
bulk form. (Adapted from Ref. 18.)
Fig. 6. Layered approach to the structure of copper oxide superconductors. (a) The Y1 Ba2 Cu3 O7 structure with the
individual planes and plane spacings in the c direction marked. (b) Similar approach for the La-based superconductors.
(Adapted from C. P. Poole, H. A. Farach and R. J. Creswick, in Su, San Diego, CA: Academic 1995, pp. 182191.)
Fig. 7. Twinning in Y1 Ba2 Cu3 O7 . The figure shows a transmission electron microscope (TEM) image of a YBCO film
grown on MgO by pulsed laser deposition. The striations correspond to the twin boundaries.
be in the form of the position of the individual Cu atoms. For the case of YBCO, all the Cu atoms are of one
type; that is, edge type or all centered (depending upon the representation), while for the La-based structure,
they occupy (000) and ( 12 12 12 ) positions. The Y layer acts as a spacer for the two CuO2 planes in YBCO. Also
unlike the case of LaSrCuO, the atoms on the CuO2 layer in YBCO do not all lie on the same plane, that is,
their z values differ slightly and so they are actually CuO2 sheets as compared with CuO2 planes. This slight
distortion is visible in Figs. 4 and 6(a). Heating YBCO through 350 C transforms the orthorhombic structure
to the tetragonal phase owing to the loss of oxygen. This displacing transition is the reason for twinning and is
usually seen when the strain accompanying the phase change cannot be completely released, as in the case of
crystalline thin films deposited on substrates. Thus, YBCO thin films undergo twinning when grown at high
temperatures and subsequently cooled through 350 C in an oxygen ambient. Figure 7 shows a typical YBCO
film showing twinning observed under an electron microscope.
In addition to YBCO, the YBaCuO family also contains other phases of which YBa2 Cu4 O8 (Y124) has
a T c of 80 K and Y2 Ba4 Cu7 O15 (Y247) has a T c of 55 K. These two phases are not observed under normal
processing conditions and require extremely high oxygen pressures or the addition of alkali-metal compounds
in air at normal pressures (19). The structure of Y124 is similar to that of YBCO with the addition of an extra
CuO chain layer displaced with respect to the first by a lattice parameter of b/2 (where the b axis contains the
oxygen of the chains). Y124 is orthorhombic with a = 3.84 , b = 3.87 , c = 27.2 . The structure of Y247
Fig. 8. Crystal structure of the Tl-(Bi-) based superconductors. Changing the number of Cu atoms introduces copper oxide
layers ( n). The structure of the Bi-based compounds is similar, with the Tl positions occupied by Bi. (Adapted from Ref. 21.)
can be represented by the intergrowth of alternating layers of YBCO and Y124, resulting in an orthorhombic
structure of a = 3.85 , b = 3.87 , c = 50.3 . As compared to YBCO, Y124 has a fixed oxygen stoichiometry
and so does not undergo the displacive transformation resulting in the twinning morphology.
Bi-, Tl-, and Hg-Based Compounds. In early 1988, two new superconducting systems based on
bismuth and the toxic metal thallium with transition temperatures considerably higher than YBCO were discovered (20,21). These two systems have a and b lattice parameters similar to the Y- and La-based compounds,
but with a much larger c parameter. The general formula for compounds occurring in this system is given by
A2 B2 Can 1 Cun O2n+4 , where A = Bi or Tl, B = Sr or Ba, and n = 1 to 4. The compounds in these two systems have
identical structures and differ only in atom positions, so it is convenient to deal with their structures together.
The highest T c recorded so far in these systems are 123 K for n = 3 in the Tl system, giving Tl2 Sr2 CaCu3 O10 ,
also referred to as Tl2223, and 110 K for the structurally similar Bi2223. The toxicity of the Tl oxides has
prevented extensive characterization of these compounds as compared to the Bi structures. The crystal structures (Fig. 8) are similar to those of YBCO, but the CuO chain layers are instead replaced by a double layer
of TlO. The absence of chains makes the Bi- and Tl-based oxides less susceptible to the stoichiometry of oxygen, though nonstoichiometry is commonly present in these systems due frequently to Ca(Sr) or Cu depletion.
The multiplicity of phases is a major problem in the synthesis of homogeneous material in these systems.
The Cu coordination is fivefold square pyramidal with O as for YBCO (Fig. 4). But for n = 3, the middle
CuO layer contains Cu, which is planar fourfold coordinated. The layer in these systems consists of CuO2
CaCuO2 layers sandwiched between alkaline-earth-metal oxide B(Sr or Ba)O layers and by a double layer
of A(Tl or Bi)O. An interesting feature shown by these compounds is the variation of T c with the number of
CuO2 planes, n. The T c is found to increase with increasing n, maximizing at n = 3. While this confirms the
widely accepted fact that the CuO2 layers play a vital role in superconductivity, for example, the structures
with n = 0 do not superconduct; increasing the number of layers indefinitely does not necessarily increase T c .
10
In addition to these stoichiometric compounds, long sintering times can result in the evaporation of part
of an AO layer, resulting in a monolayer of TlO or BiO, giving nonstoichiometric oxides. T c s in the Tl-based
compounds vary from 10 K to 121 K depending on the number of copper oxide planes. The family of systems
given by the formula A2 B2 Can 1 Cun O2n+4 or equivalently by A2 B2 Can Cun+1 O2n+6 (here n does not correspond
to the number of CuO2 layers) can be extended to other cations such as mercury (Hg), which forms a series of
compounds (with A2 replaced by Hg and B by Ba) with T c s ranging from 95 K to 133 K, again maximizing for
three CuO2 layers.
11
Fig. 9. Large-angle (35 ) grain boundary in Y1 Ba2 Cu3 O7 . This figure shows a high-resolution TEM image of a typical
high-angle pure (001) tilt grain boundary in YBCO.
The significant role of grain boundaries has been emphasized by some lucid experimental demonstrations
like those by researchers at IBM (22,23), where they showed that not only does the critical current density (J c )
of the material decrease due to the presence of the boundary, but the J c also depends on the misorientation
angle of the boundary. Figure 10 is a plot of the experimental result of J c across grain boundaries in YBCO
films grown by pulsed laser deposition (PLD) (24,25). The plot clearly shows that increasing the misorientation
decreases the J c . This has important implications for devices. High-current-carrying films need boundaries with
extremely small misorientations or better still no boundaries at all. To explain the reason for this behavior
a number of researchers (23,26,27) have invoked the dislocation structure of low-angle grain boundaries first
proposed by Read and Schockley in 1950 (28). Figure 11 demonstrates this idea in a low-angle boundary in a
12
Fig. 10. Experimentally observed variation of the critical current density ( J c ) with boundary misorientation (). (Adapted
Ref. 23.)
Fig. 11. Low-angle (6 ) grain boundary in Y1 Ba2 Cu3 O7 showing the dislocation array. This figure shows a high-resolution
TEM image of a low-angle pure (001) tilt boundary in a YBCO film grown by pulsed laser deposition. The boundary
misorientation is generated by the equally spaced [100] edge dislocations.
13
Fig. 12. The variation of dislocation spacing with boundary misorientation (). The geometrically calculated variation in
spacing parallels the experimental observation of J c with misorientation shown in Fig. 10.
Fig. 13. Dislocation core. This high-resolution TEM image shows the highly distorted structure of the YBCO lattice
around a [100] edge dislocation.
YBCO film grown by PLD. The almost regular separation of the dislocations marked in the figure generates the
boundary misorientation, and in fact a plot of the dislocation separation versus misorientation (Fig. 12) shows
a trend similar to that followed by the J c in Fig. 10. This similarity was an irresistible attraction to model
the current flow as a strong function of the grain-boundary features arising from the dislocation arrays. This
includes the finite region along the boundary where the lattice was highly distorted and so superconductivity
can be destroyed (29). This is usually observed near or around dislocations like that shown in Fig. 13.
The long-range strain fields are due to the dislocations (30), that distort the crystal structure and affect superconductivity by changing the order parameter (31). The order parameter is the complex quantummechanical wave function used to describe the density of Cooper pairs as a function of space, similar to a wave
function describing the electron density in a metal, for example, the ability of Cooper pairs to tunnel across
14
small distortions that are present along the boundary surface due to strains (32). This feature is a function of
the boundary width, which describes the region along the boundary in which current flows via tunneling rather
than normal current. This parameter is experimentally difficult to observe and various approximations such as
the strength of the Burgers vector or via energy minimization (33) are used to calculate it. Another important
influence of grain boundaries is the effect of nonstoichiometry commonly observed along the boundary (34),
leading to excess charge and subsequently scattering of the Cooper pairs.
Jagannadnam and Narayan have proposed an elegant model to represent the J c across low-angle boundaries that incorporates all the features mentioned before. While the previous calculations can be done fairly simply, the actual nature of the grain boundaries in HTSC materials needs to be understood fully. It has been shown
by various authors that there is a large variation in grain-boundary microstructure even in films prepared under identical conditions (35,36). Recently, it has been seen that even boundaries with a similar misorientation angle along identical crystallographic directions can have different atomic scale structures (37,38).
Compared with strong variation in the low-angle region of 0 to 10 observed in Fig. 10, the J c in the highangle region of 10 or more is uniformly low. An early explanation of the IBM group for this saturation effect was
the overlapping of dislocations as the boundary misorientation increased. While the notion of the dislocation
structure boundaries loses significance at higher angles, it is difficult to even model the current in the high-angle
regime for the lack of a uniform description of the boundaries occurring there. Various representations such as
the near-coincidence site lattice model (near CSL) or more recently the grain-boundary structure representation in terms of distinct structural units (38,39) are being used to understand the properties of the high-angle
boundaries. It has also been difficult to interpret exceptions to the low J c in high-angle cases, especially for the
90 twist boundary, formed by rotating one unit cell of YBCO 90 with respect to an adjoining cell with the rotation axis being perpendicular to the c axis or [001] direction (40,41). Here the absence of the weak-link behavior
is a strong suggestion for the fact that high J c s are associated with boundaries possessing low energy, also
usually accompanied by high symmetry, which is true for the 90 twist boundary. In this light the predominance
of asymmetry in grain boundaries in YBCO grown by PLD is an important feature and must be understood
more clearly. All these factors lead one to realize that the exact nature of the effect of grain boundaries
is far from being fully comprehended and detailed research must be continued for a better understanding.
15
Fig. 14. (a) T c versus oxygen content in YBCO. (b) Bond valence sum around the copper atom in CuO(2) layer. The purpose
of showing the two figures together is to illustrate that T c is proportional to the oxidation state of the copper atoms in the
conduction layer.
Role of Oxygen and Oxygen Vacancies. The role of oxygen in influencing the electronic properties
of HTSCs is very crucial. Normally, an oxygen atom takes on two electrons from another atom. Therefore, if it
is absent, two more electrons are free to go elsewhere in the crystal. This is how vacancies affect the charge
balance in a crystal. The first thing that oxygen vacancies do is change the number of free carriers available in
the crystal lattice, which in turn adjusts the Fermi level slightly. The density of states at the Fermi level ( N 0 )
is a key parameter of superconductors: the transition temperature T c depends on N 0 as exp(1/N 0 V), where V
is the Cooper pairing potential. Small changes in N 0 caused by oxygen vacancies can translate into substantial
changes in T c . It does not take much to change N 0 appreciably. There are about 3.5 1013 vacancies/cm2 in a
double-layer compound such as BSCCO, which corresponds to having about 1% of the oxygen atoms missing.
Explaining the role of oxygen vacancies depends upon the charge-transfer model, by which the electrons
normally in the CuO2 planes are transferred to sites elsewhere in the unit cell (42). In YBCO, the oxygen
deficiency shows up especially in CuO chains, making their formula CuO1 , while the CuO2 layers remain
chemically complete. A key experimental quantity in this model is the oxidation state of copper atoms in the
CuO2 planes. Any deviation from 2.0 indicates that charge transfer is occurring. Because of the mild geometric
distortion in the unit cell, the copperoxygen bonds are stretched slightly in this plane. By measuring the bond
lengths around that copper atom, a bond valance sum can be calculated, and this gives the oxidation state (43).
As the number of oxygen vacancies varies, both this sum and the superconducting transition temperature T c
vary in the pattern shown in Fig. 14. This presents a very convincing argument for the charge-transfer model.
16
In TBCCO, there is competition between several different means of causing charge transfer. Those means
may be missing metal atoms, extra metal atoms, missing oxygens, extra oxygens, etc. BSCCO exhibits all these
conditions, plus the size mismatch between the normal dimensions of the CuO(2) planes and the other planes in
the stack is so large that atoms occasionally wind up in the wrong layer. The presence of many slightly different
but similar structures in one crystal makes it difficult to interpret experimental data. Nevertheless, despite
all the complexity, the average oxidation state for copper is 2.21, which tends to confirm the charge-transfer
model.
It should also be noted that an excess of oxygen atoms also acts as a dopant, because it increases
the number of sites where electrons can reside, which amounts to creating hole carriers in the crystal. The
compound La2 CuO4 is normally an insulator, but when extra oxygen is forced in, changing it to La2 CuO4+d , it
becomes a superconductor. Thus, it appears that a small number of oxygen vacancies offer a way to make small
changes in the carrier concentration. Oxygen vacancies are equivalent to the substitution of metal atoms in
terms of their effect on the availability of charge carriers.
Role of Substituents in La(Ba,Sr,Ca)CuO and NdCeCuO Compounds. Substituting for
La3+ by divalent ions M = Ba2+ , Sr2+ , Ca2+ leads to the appearance of metallic conductivity and for 0.05
< x < 0.3 superconductivity appears in La2 x Mx CuO4 y (LMCO) compounds. T c (x) is plotted in Fig. 15(a),
where the black dots correspond to the appearance of the Meissner signal, and the white dots to its saturated
value (44). For larger concentrations of Sr, x > 0.15, the oxygen vacancies can appear, y > 0; their formation,
however, can be suppressed by performing the annealing under high oxygen pressure. Metallic conductivity
and superconductivity may also be obtained by increasing the content of oxygen above the stoichiometric
value La2 CuO4+d , d > 0. In this case, oxygen occupies interstitial positions in layers of LaO. In general, for
La2 x Mx CuO4 y one observes the typical dependance T c (n), which is shown in Fig. 15(b), with x substituted
by n = x2y, the number of free charge carriers (holes) per cell in the CuO2 planes.
Under the substitution of La by the trivalent rare-earth ions RE = Nd, Sm, or Gd, a smooth decrease of
T c with decreasing ionic radius in the series of these ions (45) occurs. At the same time, the value of magnetic
moment of RE ion has no effect on T c , which indicates that the Cooper pairs in the CuO2 plane are weakly
coupled to the magnetic moments of ions in the LaO layers. An analogous situation is observed for electronic
superconductors Nd2 x Cex CuO4 under the substitution of Nd by the rare-earth ions Pr, Sm, and Eu. The
decrease of T c with decreasing radii of RE ions and primitive cell volume may be related to the decrease of
CuO bond length.
However, this simple picture contradicts the dependence of T c on the external pressure. In the LaSr
compounds T c increases with an increase of pressure, attaining a certain maximum, and even decreases at
high pressures. Meanwhile, in NdCe compounds T c is pressure independent. This difference in the T c (p)
dependence can be related to a special role of apex oxygen: In the tetragonal phase of LaSr compounds there
are two apex oxygens in the complete CuO6 octahedron, and in the tetragonal phase of NdCe compounds the
apex oxygen is absent.
An isovalence substitution of copper by the ions of 3d metals has a much stronger effect on T c . At a
concentration of x = 5% to 7% for Ni and Fe and x = 2% to 3% for Zn ions, the superconductivity in LMCO
disappears (45,46,47). While the disruption of superconductivity due to scattering of the Cooper pairs on
magnetic impurities is well known, the suppression of T c due to Zn impurities in CuO planes is characteristic
of copper-oxide superconductors. Figure 16 shows the T c (x) dependence for the Zn impurity in La2 x Srx CuO4 y
LSCO and YBCO (47). It is possible that Zn ions, which have a filled 3d shell (3d10 ), destroy a rather complicated
correlation conduction band in CuO2 planes that is related to CuO charge transfer as shown in Fig. 17 (48).
This leads to suppression of superconductivity. In this context, one can consider Zn2+ ions as effective magnetic
scatterers, because they substitute Cu2+ ions, which have a local magnetic moment.
Role of Substituents in YBCO Compounds. A large number of studies have been carried out to
investigate the role of constituents in YBCO compounds. Immediately after superconductivity with T c = 90
K in the YBCO system was observed, a large class of RBCO compounds with a similar T c was prepared. This
17
Fig. 15. (a) T c as a function of Sr concentration in ( La1 x Srx )2 CuO4 [5.13] and the general dependence T c (n) on the
concentration of holes n in the CuO2 planes for some copper-oxide superconductors. (After Tiokura, 1992.)
was done by substituting Y by lanthanides Ln = La, Nd, Sm, Eu, Gd, Dy, Ho, Er, Tm, Yb, or Lu. For these no
separation of T c in the compounds with rare-earth ions having a large magnetic moment has been observed.
This indicates their weak coupling with the in-plane holes. The exceptions are Ce and Er ions, whose formal
valence is +4, which violates the isovalence of the substitution of Y ions and can change the concentration
of carriers in CuO2 planes. In order to investigate this concept, the system (Y1 x y Cay ) PrxBa2 Cu3 O7 has
18
Fig. 16. T c as a function of impurity x in a La1.8 Sr0.2 Cu1 x Znx O4 y compound. For comparison the variation of T c in
YBa2 Cu1 x Znx O7 is also shown as a function of x.
Fig. 17. Schematic of electronic structure of copper oxide superconductors accounting for (a) the correlation splitting of a
pd band, and some models for its change upon p or n doping: (b) rigid band, (c) impurity band, (d) filled gap, and (e) local
density approximation. [48]
been examined (49). The T c (y) dependence for different x and T c (x) at y = 0 is shown in Figs. 18(a) and 18(b),
respectively. The substitution of Pr4+ for Y decreases the number of in-plane holes, whereas substitution of Ca2+
has the opposite effect. This allows one to investigate separately the dependence of T c on the concentration
of holes n (yx) and on the magnetic scattering on the localized moments of Pr. Indeed, it follows from
Fig. 18(a) that T c (y) has a typical hole dependence with a maximum at an optimal concentration of holes n for
various concentrations x of Pr ions. The location of these maxima shifts to larger values of y with an increase
of Pr content, which proves directly that the concentration of carriers n (yx) decreases with an increase of
x. At the same time, a decrease of the maximum value of T c (x,y) for the optimal n is observed, which indicates
19
Fig. 18. The dependence of T c in Y1 x y Cay Prx Ba2 Cu3 O6.95 (a) on the concentration of Ca at a fixed content of Pr and (b)
on the concentration of Pr for y = 0. The dotted line is the T c dependence on the concentration of holes without magnetic
scattering. [After Neumeier et al. 49]
a decrease of T c due to magnetic scattering leading to the destruction of Cooper pairs. Figure 18(b) shows
the effect of a change of the number of carriers on Tc(x,y = 0). One can see that the maximum value of T c in
YBCO at 7 = 6.95 is attained under a small decrease of the number of in-plane holes due to the tetravalent
impurities. In the course of investigations of the effect of impurity substitution on T c , one must control the
content of oxygen as it influences the number of carriers in CuO2 planes and determines the value of T c .
An investigation of the effect of substitution of Ba in YBCO by the rare-earth ions has been carried out
for the Ln(Ba2 x Lnx )Cu3 O7 compounds, where Ln = La, Nd, Sm, Eu, Gd. All the lanthanides produce an
equal decrease in T c with respect to the concentration of impurities x. This indicates a weak sensitivity of
the superconducting transition to the appearance of magnetic moments on the Ba sites. A phase transition
is also observed from orthorhombic to tetragonal (at x = 0.2 to 0.3), which, however, did not have significant
effect on the superconducting properties. In view of an often uncontrolled increase of oxygen content 7 > 7
under the substitution of Ln for Ba and a complicated rearrangement of charge in the layers BaO(4), Cu(1)O,
Cu(2)O(2,3), it is not possible to unambiguously conclude regarding the dependence of T c on the concentration
of holes in CuO2 planes.
In the YBCO compounds a more complicated effect of the substitution for copper ions has been observed.
The complicated effect arises due to two reasons. First, in YBCO compounds there are two nonequivalent
copper positions, whose substitution has a different effect on their electronic structure and superconductivity.
Second, some impurities such as Fe and Co affect the oxygen content and the short-range order in Cu(1)O(1)
layer, which may change the number of carriers in the Cu(2)O(2,3) planes.
It is interesting to study the effect of substituting Cu by ions of 3d elements M 2+ = Ti(3d2 ), Cr(3d4 ),
Mn(3d5 ), Fe(3d6 ), Co(3d7 ), Ni(3d8 ), and Zn(3d10 ). It has been observed that for x = 0.1 in YBa2 (Cu1 x Mx )3 O7
the strongest suppression of T c occurs for the ions of Fe and Co, having the maximum magnetic moment, and
also for the Zn ions (50). However, some investigations have demonstrated that in case of Fe and Co an extra
20
Fig. 19. The dependence of lattice parameters and T c on the concentration of impurities in YBa2 (Cu1 x Mx )O7 for (a)
Zn, and (b) Ga.
annealing in an oxygen atmosphere restores the value of T c for x less than or equal to 0.35 almost completely,
but does not relax the decrease of T c by the impurities of Zn and Ni (51). Such different effects of annealing on
T c in impurity compounds of YBCO is explained by the fact that at low concentrations the ions of Co and Fe
preferentially occupy the position of Cu(1), and the ions of Zn and Ni the position of Cu(2). Therefore, annealing
in oxygen restores the oxygen content and its coordination in the Cu(1)O(1) layer for the Co and Fe impurities,
but has no effect on the plane Cu(2)O(2,3) for the Zn and Ni impurities.
A more detailed analysis of the effect of diamagnetic impurities under a substitution for copper is also
available in literature (52). In that study Zn2+ (3d10 ) and Ga3+ (3d10 ) impurities were used. Their ionic radii for
a filled3d shell, R(Zn2+ ) = 0.75 , R(Ga3+ ) = 0.62 are close to R(Cu2+ ) = 0.73 , which allows one to obtain
single-phase samples in a wide range of impurity concentrations. Neutron diffraction measurements have
indicated that Zn primarily occupies the position of Cu(2), and Ga the chain positions of Cu(1). The dependence
of T c and lattice constants are shown in Fig. 19(a) for Zn and Fig. 19(b) for Ga. Zn impurities in the layers
Cu(2)O(2,3) have only a small effect on the parameters of the lattice, preserving the orthorhombic phase, but
lead to a rapid suppression of T c . The oxygen content remains close to optimal (6.8 and 7.0 for samples with
Zn and Ga, respectively), and therefore the transition to the tetragonal phase in the case of Ga is not related
21
Fig. 20. T c versus the concentration of impurities M = Ni2+ and Zn2+ and M = Al3+ , Fe3+ and Co3+ in YBa2 Cu3 z Mz O7 .
[After Taraskon et al. 53
to the deficiency of oxygen. The resistance (x) in the normal phase increases much faster for Ga impurities
than that for Zn, while they have the converse T c (x) dependence. These experiments clearly demonstrate that
the main role in the appearance of superconductivity in copper-oxide compounds is played by the CuO2 planes,
where the specific properties of copper in 3d9 states are necessary to attain high T c .
According to studies of structural, magnetic and superconducting properties of YBa2 Cu3 z Mz O7 compounds, paramagnetic and diamagnetic impurities affect these properties differently (49). Here M = Ni,Fe,Co
represent paramagnetic impurities and M = Zn,Al represent diamagnetic impurities. It has been observed
that the divalent impurities Zn2+ and Ni2+ do not change the content in the sample and, when occupying
positions in CuO2 planes, preserve the orthorhombic phase in the domain of single-phase states (for z 0.3
or the concentration x = z/3 < 0.1). Al3+ impurities as well as Co and Fe being in the trivalent state occupy
the chain Cu(1) positions. They lead to an increase of oxygen content, filling the vacancies near the impurity
site and leading to a transition to the tetragonal phase for z < 0.1. The dependence of T c (z) for these impurity
substitutions is shown in Fig. 20. It is clear from this figure that substituting in-plane Cu(2) by Zn impurities
leads to a rapid decrease of T c while substituting the in-chain Cu(1) by trivalent impurities Al, Fe, Co has
a much weaker effect on T c . Apparently, both substitution of impurities for in-chain Cu(1) and changing the
oxygen content have the same effect on the charge transfer from the chains to the planes, causing the transition
from insulating to metallic phase which becomes superconducting. Note that in a series of experiments a more
complicated dependence of T c on the concentration of impurities that substitute for copper in YBCO compounds
has also been observed (54,55).
There are certain elements in the periodic table that have been shown to be unreactive with YBCO
materials (56,57). When such elements are added to YBCO compound, they do not substitute any of the
elements in the lattice and tend to reside in intergranular regions (58). However, they have been found to affect
significantly the superconducting properties of the YBCO material by modifying the grain-boundary properties.
Silver is one such element that has most widely been used as a dopant to modify the grain-boundary properties
of YBCO system (59). Shown in Fig. 21 is the variation of T c and J c of YBCO films doped with different amount of
silver. All the films were deposited in situ on (100)LaAlO3 substrates using a pulsed laser deposition techniques.
Different levels of doping in YBCO films were obtained by using targets containing different concentration of
silver metal. Figure 21 shows that there is a one-to-one correlation between the resistivity and critical current
22
Fig. 21. Variation of room-temperature resistivity and critical current density ( J c ) at 77 K of films obtained from YBCO
targets having different dopant level of Ag. [After Kumar et al. (58)
densities. This correlation is due to weak-link coupling in which the critical current density of weak links
should increase with lowered resistivity (60). Figure 21 also shows that films made using targets having 5% of
silver gives highest J c and the lowest room-temperature resistivity. This can be explained on the basis of the
fact that a lower percentage of silver in the target may not be able to provide sufficient silver atoms required to
enhance grain growth and liberate oxygen at the periphery of the grains of films, whereas a higher percentage
of silver in the target would result in higher doping of YBCO films. Higher levels of silver in the targets and
films may give rise to the formation of some impurity phases. According to Kao et al. (61), it is also possible
that beyond the optimum Ag content, Ag atoms may enter the CuO basal panes in the orthorhombic structure
and cause deterioration in T c and J c of the sample.
Since the grains in the superconducting films are coupled to each other through the materials in the
grain boundaries, the presence of silver in the grain boundaries affects the properties of YBCO materials very
significantly. The materials in the boundaries are the rejects of the grains, and they may be either metallic
or insulating in nature. Depending upon the nature of the material in the grain boundary, the couplings are
known as SIS (60) or SNS (62,63) where S, I, and N stand for superconductor, insulator, and normal
metal, respectively. The temperature dependence of the critical current density as a function of temperature
gives an idea of the nature of the weak links present in superconducting samples with different amount of
grain-boundary dopants (60). If the superconducting grains are coupled to each other by an insulating phase
in the grain-boundary region, the current density of such junctions is determined by the expression suggested
by Ambegoakar and Baratoff (60),
23
Fig. 22. Critical current density versus temperature plots for undoped and Ag-doped YBCO films on LaAlO3 substrates
grown under identical conditions. [After Kumar et al. (1994).]
If the suppression of the order parameter is taken into account, calculation through GinzbergLandau
theory gives the following J c (T) relation for an SIS network (64):
However, if the superconducting grains are coupled to each other by normal-metal type material in the grainboundary region, the junctions are known as SNS junctions and the current density of such junctions is
given by the expression suggested by de Gennes (62) and Clarke (63),
where d is the thickness of the grain boundary layer and n is the normal-metal coherence length. Ignoring the
weak temperature dependance of n compared to the ( 1 T/T c )2 term, we obtain
or
The value of the slope of the J c versus T c T plot can be used as a figure of merit of the film, since the
larger the slope of the plot the better the quality of the film. Having identified the nature of the weak link
from the J c versus T c T plot, one can derive other useful information from this plot regarding the width of
the grain boundary in different films. From Eq. (6) it is obvious that the slope of the J c versus T c T plot is
proportional to the inverse exponent of the width of the grain boundary d. This concept was used by Kumar et
al. (65) to understand the reason for the difference in critical current densities of undoped and Ag-doped films.
They fabricated a series of YBCO films with different concentration of Ag. Plots of the temperature dependance
of the critical current density of these films are shown in Fig. 22. Determining the values of J c and T c T
24
Fig. 23. J c versus T c T plots of undoped and Ag-doped YBCO films on LaAlO3 substrates grown under identical
conditions. [After Kumar et al. 65]
from Fig. 22, the J c versus T c T plots of undoped and Ag-doped YBCO films were obtained and are shown in
Fig. 23. It is clear from this figure that both undoped and Ag-doped YBCO films follow the SNS model, but
their grain-boundary widths are different. The variation in grain-boundary widths in undoped and Ag-doped
films is as follows: d0% :d2% :d5% :d10% :d20% :: 1.0:0.6:0.5:0.65:2.0. Owing to differences in grain-boundary width,
the grain-boundary resistance and hence the strength of SNS coupling in these films are also different. In
the present example, the coupling is maximum in the case of 5 wt % Ag-doped film and is minimum in the case
of 20 wt % Ag-doped film, and therefore the former has the maximum current density and the latter has the
least.
Role of Substituents in Bi-, Tl-, and Hg-Based Compounds. The copper superconductors containing layers of bismuth oxide, thallium oxide, or mercury oxide exhibit superconductivity at higher transition
temperatures than YBCO. Because all three types of compounds have very similar structures, it is convenient
to discuss the role of dopants in these compounds together. Among the bismuth-oxide-based superconductors,
the structures of greatest interest are Bi1 Sr2 Ca1 Cu2 O10 (BSCCO-1212) and Bi2 Sr2 Ca2 Cu3 O10 (BSCCO-2223).
The most familiar substitution in bismuth-oxide-based superconductors is to replace bismuth with lead. This
has relatively minor effects on the superconducting properties, but it strongly affects the kinetics and phase
equilibrium of the mixture. The result is that (Pb,Bi)2 Sr2 Ca2 Cu3 O10 is much easier to make than BSCCO-2223
without lead. In a similar analogy with the rare-earth substitutions in YBCO, the partial replacement of Bi
with Pb makes little difference electronically, and thus changes the T c only very modestly.
In both the thallium- and bismuth-based superconductors, the strategy of doping is driven by the conjectures of each investigators about what will produce desired properties. The number of substitutions possible
on those unit cells, together with the complexity of their phase diagrams, requires verification of hypotheses
by empirical means. The familiar series of thallium superconductors are made of Tl, Ba, Ca, Cu, and O. The
transition temperatures of various phases are generally above 100 K. A less well-known series is based on
Tl-Sr-Ca-Cu-O (TSCCO) with Sr playing the equivalent role of Ba. TSCCO is nonsuperconducting. Sheng et
al. (66) have shown that the substitution of the trivalent Y for the divalent Ca brings an extra electron onto
that layer in the unit cell, leading to the conversion of material to the superconducting phase with a T c of 80
K. The goal of most substitutions is to change the number of carriers (holes, usually) by doping the various
layers of the unit cell. As in YBCO compounds, the carrier concentration can be manipulated to optimize T c by
doping with elements of different valance. Using this concept a T c of 110 K has been achieved in TSCCO by
25
Fig. 24. Lattice parameters a and c versus T, for HgBa2 CuOx , samples with different oxygen contents. [After Wagner et
al. (70).]
combining the leadbismuth substitution for thallium with a substitution of yttrium for calcium (67,68). The
chemical formula is typically (Tl1 x Mc )Sr2 (Ca1 h Yh )Cu2 O7 , with various choices of x and h between 0 and
1, and around 0.1. The T c of this series has been found to lie between 40 K and 100 K.
The first mercury-based HTSC is HgBa2 CuO4+ (69). As in the case of La2 CuO4+ , the primary doping
mechanism is an interstitial oxygen. The maximum T c = 95 K when is approximately equal to 0.06, but drops
to 59 K when = 0.01. The change in oxygen content changes the unit-cell a and c dimensions. The associated
change in T c correlates with these dimensions as shown in Fig. 24 (70). Experiments have shown that about
8% of copper occasionally substitutes on the mercury site, but a systematic pattern of changes in T c is yet to
be established. The new sister compounds with two or three CuO2 layers that have T c = 133 K also contain a
slight excess of oxygen (6). It is expected that the effect of oxygen interstitial and vacancies may be similar to
that found in previous HTSCs.
Conclusion
This article deals with the structure and role of constituents in high-temperature superconductors. After introducing certain basic terminology, we have presented schematic drawings of the unit cells of several different
high-temperature superconductors. The high-temperature superconductors have in common the presence of
copper-oxide layers, with superconductivity taking place between these layers. The unit cells are not perfectly
symmetric, which has important consequences for superconductivity. For the role of substituents, the range of
possible substitution in high-T c superconductors is enormous. This article presented some examples with an
effort to explain why researchers preferentially try particular kinds of doping. The motivation is to manipulate
the interacting electrons so as to learn more about the metallic state of the ceramic oxides. The goal of doping
research is not to raise T c through empiricism but to establish an experimental foundation on which a theory
can be built to explain the mechanism of high-T c superconductors.
BIBLIOGRAPHY
1. H. K. Onnes, Report on the research made in the Leiden Cryogenic Laboratory between the 2nd and 3rd Int. Congr. on
Refrigeration, Suppl. No. 34b, 1913.
2. J. G. Bednorz K. A. Muller, Z. Phys. B, 64: 189, 1986.
26
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
35.
36.
37.
38.
39.
40.
41.
42.
43.
44.
45.
46.
47.
48.
49.
27
READING LIST
IEEE Trans. Electron. Dev., 34, 1987, special issue.
Y. Jeon, G. Liang, J. Chen, M. Croft, M. W. Ruckman, D. Di Marizo, M. S. Hegde, (1990), Phys. Rev. B, 41, 4066.
W. Jin, M. H. Dagani, R. K. Kalia, P. Vashishta, Phys. Rev. B, 45, 5535.
D. Kumar, (1994) in Electrical, Structural and Chemical Properties of Laser Ablated YBCO Thin Films, Ph. D. Thesis
(Indian Institute of Technology, Bombay, India).
G. Xiao, Cieplak, D. Musser, M. Z., A. Gavrin, F. H. Streitz, A. Bakhshai, C. L. Chien, J. J. Rhyne, J. A. Gotaas, (1988),
Nature, 332, 238.
D KUMAR
RAJIV K. SINGH
R. KALYANARAMAN
S. OKTYABRSKY
K. JAGANNADNAM
University of Florida
J. NARAYAN
North Carolina State University
739
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
740
Magnet
CLEO-1
PEP-4
CELLO
CDF
TOPAZ
VENUS
ALEPH
AMY
GSI
ZEUS
DELPHI
H-1
CLEO-2
g-2
KEK Balloon
SDC Test Coil
BaBar
CMS
Central
Induction
(T)
Warm Bore
Diameter
(m)
Cryostat
Length
(m)
Matrix
Material
Conductor
Location
Radiation
Thickness
(Rad Len)
Stored
Energy
(MJ)
Matrix
J
(A mm2)
Type of
Cooling
1.5
1.5
1.3
1.5
1.2
0.75
1.5
3.0
0.6
1.8
1.2
1.2
1.5
1.45
1.2
1.5
1.5
4.0
2.0
2.04
1.5
2.85
2.72
3.4
4.96
2.39
2.4
1.72
5.2
5.2
2.9
14.1a
0.852
1.7
2.76
6.0
3.7
3.84
4.02
5.4
5.4
5.6
7.0
2.11
3.3
2.9
7.4
6.0
3.8
0.18b
2.0
2.4
3.85
12.5
Cu
Cu
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Al
Outside
Outside
Outside
Inside
Inside
Inside
Inside
Outside
Inside
Inside
Inside
Inside
Inside
Inside
Inside
Inside
Inside
Inside
0.7
0.83
0.6
0.84
0.70
0.52
1.6
2
1.0
2
1.7
1.8
2.2
2
0.21
1.2
1.4
2
10.0
10.9
5.0
30
20
12.0
136
40
3.4
16
108
130
25
5.5
0.815
45
23
2500
350
645
64
56
?
30.8
50
?
46.3
46
41.3
81.8
241
63.4
37 & 67
15.4
Forced
Forced
Forced
Forced
Forced
Forced
Natural
Pool
Natural
Forced
Forced
Forced
Natural
Forced
Pool at End
Forced
Natural
Natural
Beam orbit diameter, outer solenoid coil diameter 15.1 m, inner solenoid coil diameter 13.4 m.
Total gap between the iron poles (the iron return path is C-shaped, the total gap in the iron is about 0.23 m.
a
b
diation length occurs when 63.2% (1 1/e) of the neutral particles have formed charged particle pairs. This definition is
appropriate in many experiments because the calorimeters
and muon detectors are the only detectors that are located
outside the magnet.
The physical thickness of a material that is one radiation
length thick is a function of the material atomic number Z
and the material specific density . In order for a superconducting magnet to be thin, it must be made from low-density,
low-Z materials. The radiation thickness of a detector magnet
is the sum of the radiation thicknesses of the windings, the
coil support structure, the cryostat, and the intermediate temperature shields.
The radiation thickness X0 of a magnet component can be
estimated using the following expression:
X0 =
t
Lr cos()
(1)
741
Z0.73
(2)
Material
Pure elements
Hydrogen
Deuterium
Helium
Lithium
Beryllium
Boron
Carbon
Nitrogen
Oxygen
Neon
Magnesium
Aluminum
Argon
Titanium
Chromium
Iron
Nickel
Copper
Niobium
Tin
Tungsten
Lead
Uranium
Compounds, Alloys and Other Materials
Water
Polyethylene
Epoxy resin
Glass fiber epoxy
Carbon fiber epoxy
Boron aluminum (45% B)
Mylar
Sodium iodide
Lithium floride
304 Stainless steel
Nb47% Ti
a
Liquid state.
Graphite or carbon fiber.
Mass Density
(kg m3)
1
1
2
3
4
5
6
7
8
10
12
13
18
22
24
26
28
29
41
50
74
82
92
70.8a
163a
125a
534
1,848
2,370b
1,550b
808a
1,142a
1,207a
1,740
2,700
1,400a
4,540
7,200
7,870
8,902
8,960
8,570
7,310
19,300
11,350
18,950
630.5
1,261.0
943.2
827.6
651.9
553.9
427.0
379.9
344.6
289.4
254.6
240.1
195.5
168.7
146.7
138.4
131.9
128.6
101
88.6
67.6
63.7
60.0
8,900
7,640
7,550
1,550
353
234
275
470
302
240
146
88.9
140.0
37.2
20.4
17.6
14.8
14.3
11.8
12.1
3.5
5.6
3.2
1,000a
950
1,450
1,750
1,600
2,550
1,390
3,670
2,640
7,900
6,520
360.8
447.8
406
330
418
381
399.5
94.9
392.5
137.9
132.8
360.8
470
280
189
261
149
287
25.9
149
17.4
20.4
kg m2
mm
742
B0 Lg
0
(3)
;;;
;;;
;;;;;;
;;;
;;;;;;
;;;
;;;
;;;
;;;
;;
;;;
Solenoid thin region
Barrel calorimeter
Iron pole
End cap
calorimeter
Axis of rotation
;;
Vertex detector
Central detector
Meters
Line of
symmetry
The physical thickness of the superconducting coil is determined by the thickness of stabilizing matrix material in the
conductor. The average conductor current density Jm is determined by the safe quench condition for the coil. For safe magnet quenching through a dump resistor, the magnet E0Jm2
limit can be estimated using the following expression (53,54):
2
E0 Jm
= V I0 F (Tm )
r
r+1
(4)
D2c B20 Lg
80
(5)
If the E0Jm2 limit for the magnet is increased, then the magnet design current I0 or the magnet discharge voltage V must
be increased as well. Quench back from the coil support structure can be helpful in improving the quench protection for
the magnet. Magnets that employ quench back (22,55) as the
primary means for quench protection can be operated at a
much higher E0Jm2 limit, but the typical solenoid that is protected with a dump resistor across the leads has the E0Jm2
limit given by Eq. (4).
From Eqs. (4) and (5), one can determine the thickness of
the superconducting coil tc using the following expression:
tc =
D2c B40 Lg
830V I0 F (Tm )
0.5
r
r+1
(6)
743
they have more current per unit length at the solenoid ends
than in the center. One approach is to make the matrix current density higher at the ends by making the conductor thinner along the coil axis.
The thickness of the support shell outside the superconducting coil is governed by the magnetic pressure on the coil
windings (49). Total strain of the coil should be limited to
prevent plastic deformation of the conductor matrix. If the
conductor has a pure aluminum matrix, the strain limit for
the coil should be set to about 0.1% (57,58). A conservative
view assumes that virtually all of the magnetic forces are carried by the support shell, and the calculated shell thickness
is given by the following expression:
ts = 250
B20 Ds
0 E s
(7)
P0 L0 D1.5
0
t0 = 1.08
E0
0.4
(8)
where t0 is the thickness of the outer cryostat wall, P0 is pressure on the outer wall of the cryostat (usually P0 1 atm
1.013 105 Pa), L0 is the length of the thin unsupported section of the outer cryostat wall, D0 is the diameter of the outer
cryostat wall, and E0 is the elastic modulus of the material in
the outer wall of the cryostat.
The minimum thickness of the inner wall of the cryostat
can be derived if one knows the design ultimate stress for
the material in the inner wall (58,61). The margin of safety
normally applied to a pressure vessel wall, such as the cryostat inner wall, is usually four (62). An expression for the
minimum inner cryostat wall thickness is given as follows:
ti = 2
Pi Di
u
(9)
744
Case 1
Case 2
Case 3
Case 4
1.5
2.0
3.3
3.3
1.84
2.24
3.85
3.94
9.28
5000
0.74
2
788
500
127.1
0.90
9.39
12.97
1.24
13.11
0.495
2.12
4.00
1.5
4.0
6.6
6.6
3.80
4.28
7.30
7.88
74.25
5000
5.94
2
1576
500
44.9
0.90
26.58
25.95
2.57
25.23
0.974
14.4
23.5
0.75
4.0
6.6
6.6
3.80
4.22
7.30
3.94
18.56
5000
1.48
2
788
500
89.9
0.45
13.28
6.49
2.57
25.23
0.589
6.16
15.3
1.5
4.0
3.3
3.3
3.80
4.26
3.85
3.94
37.13
5000
2.97
2
788
500
63.6
0.90
18.75
25.95
2.57
19.12
0.829
7.00
11.8
the gap between the iron poles, and the central induction are
varied. In all four cases, the cryostat walls and coil support
structure are made from solid aluminum. The superconductor
is NbTi with a thick aluminum stabilizer. The assumed insulation system inside and outside the cold mass consists of
60 layers of aluminized mylar and netting with a single 1 mm
thick aluminum shield on other side of the coil. Figure 2
shows a cross section of a coil and cryostat for CASE 2 given
in Table 3. In order to make a significant reduction in the
radiation thicknesses shown in Table 3, quench back must be
the primary mode of quench protection and the outer cryostat
vacuum vessel must be made from a cellular (honeycomb)
composite structure that is physically thicker than a solid aluminum vessel (63,64).
MAGNET POWER SUPPLY AND COIL QUENCH PROTECTION
The power supply parameters are set by the coil charge time
tch and the design operating current I0 for the solenoid. The
charge time for a detector solenoid is rarely an issue. Charge
times as long as one hour are acceptable. The charge voltage
V L1 di1 /dt, where L1 is the self-inductance of the magnet
circuit; and di1 /dt, is the magnet current charge rate. (For a
typical magnet, di1 /dt, I0 /tch.) To determine the power supply voltage, one must add the IR voltage drop across the gascooled electrical leads and the cables connecting the power
supply to the magnet. In addition, a voltage drop of 0.9 V
should be allocated to the power supply back wheeling diodes
and a current shunt.
The Quench Protection Dump Resistor
Most large detector magnets are protected by a dump resistor
across the gas-cooled electrical leads. When a quench is detected, the power supply is disconnected and the dump resistor is put across the leads. The design of a magnet dump re-
F (Tm ) =
j(t)2 dt =
r
(r + 1)
Tm
T0
C(T )
dT
(T )
(10)
where j(t) is the current density in the magnet superconductor cross section as a function of time t, C(T) is the superconductor volume specific heat as a function of temperature T,
(T) is the superconductor matrix material electrical resistivity as a function of temperature, and r is the ratio of matrix
material to superconductor in the magnet conductor. T0 is the
starting temperature of the magnet (about 4 K), and Tm is the
maximum allowable hot spot temperature for the magnet conductor (usually 300350 K). For a conductor with a very pure
aluminum matrix with an RRR of 1000, the value of F*(Tm) is
around 6.0 1016 A2 m4 s when Tm is 300 K.
When the magnet is discharged through a dump resistor,
the current decay is exponential with a decay time constant
1 (1 L1 /Rex, where Rex is the resistance of the external
dump resistor). The value of F*(Tm) at the magnet coil hot
spot is given as follows:
F (Tm ) = j02
(r + 1) 1
+ ts0
r
2
(11)
where ts0 is the time needed to detect the quench and switch
the resistor across the magnet coil (in most cases ts0 is less
than one second) and j0 is the starting current density in the
coil superconductor plus matrix material (I0 divided by the
conductor cross-sectional area). If a constant resistance dump
resistor is used, the value of the resistance Re that results in
a hot spot temperature less than or equal to Tm can be expressed as follows:
Re
j02
2F (Tm )
(r + 1)
L1
r
(12)
The design value of Re should be larger than the value calculated by Eq. (12). For a constant resistance dump resistor, the
maximum discharge voltage across the leads V ReI0 will
occur when the dump resistor is just put across the magnet.
Figure 3 shows a circuit diagram of the coil, its power supply, and the magnet dump circuit. A quench detection system
is also shown. The values for inductances and R given in Fig.
3 would apply to CASE 2 in Table 3. The quench detection
system shown in Fig. 3 compares the voltage across the superconducting coil with the dB/dt voltage due to changes in flux
in the coil. If a voltage is measured across the coil and there
is no corresponding dB/dt voltage, there is a normal region in
the coil. The normal region detected by the quench detector
will open the switch, putting the dump resistor across the coil.
Other methods can also be used to detect short normal sections within a magnet (65).
745
r
tF =
r+1
F (Tm ) F (Ts )
j02
(13)
;;
;;
;;
;;;;
;
;;
;;;;;;;
;;;;;;;;
;
;;
;;;;;;;
;;
;;;;;;;
;;
;;;;;;;;;;;
Thick A1 outer support flange
Support strut
Or = 2180 mm
Stainless steel
Stiffening Rings
Support block
Seal plate
IR = 1900 mm
Cryostat L = 7300 mm
0
100
Multilayer insulation
Multilayer insulation
Multilayer insulation
Multilayer insulation
A1 matrix S/C
80 K honeycomb structure
S/C radius = 2000 mm
Thin shell L = 5945 mm
Coil L = 6600 mm
Thick A1 inner vacuum wall
200
mm
Figure 2. A cross section through the end of a 1.5 T thin solenoid with a 4.0 m coil diameter. A
self-centering support strut is shown along with the stiff end ring for the superconducting coil
package. (See Case 2 in Table 3.)
746
dB/dt
Coil
Current
shunt
Current
shunt
R = 10
R = 10
Quench
detector
Dump resistor
R = 0.1
Solenoid coil
Gascooled lead
Gascooled lead
Dump switch
Rm =
L1 N2 A2
2 N1 I0
H2
2 tQ
Power
Supply
5000 A
12V
To voltage
control
To current
control
0.5
(14)
747
solenoid axis changes as the coil end of the strut moves toward the center of the solenoid.
The Solenoid Support Structure, the Cryogenic Heat Sink
The support cylinder outside the superconducting winding
serves the following functions: (1) The outer cylinder carries
the magnetic pressure forces that are generated by the coil.
(2) The outer cylinder transfers magnetic, gravitational, and
seismic forces from the coil structure to the cold mass support
system. (3) The outer cylinder carries the helium cooling
tubes and acts as the heat sink for the coil and all attachments to it. This means that the outer support cylinder must
be made from material that conducts heat well in both the
radial and axial directions.
The end ring of the support cylinder should be as stiff as
possible in bending. End-ring stiffness can be increased by
making the ring thicker, thus increasing its moment of inertia, or one can fabricate a laminated end ring with a high
elastic modulus material such as 304 stainless steel (elastic
modulus of 200 GPa as compared to 69 GPa for aluminum)
on the outside and the inside of the ring with aluminum in
the center. The need for stiff end rings on the support cylinder
is reduced as the number of cold mass supports per end is
increased for a given coil diameter (69).
Coil Electrical Connections and Leads to the Outside World
Connections to the superconducting coil that come through
the end ring should be mounted on copper bus bars that are
electrically insulated from the end rings. These bus bars
should be cooled in liquid helium in order to avoid heat from
outside the coil being deposited directly into the superconducting windings. Heat leaks down pulsed current leads,
which are usually not gas cooled, can be particularly troublesome. The cooling circuit used to cool bus bars at the ends of
the coil should be part of the magnet helium cooling system.
Since much of the cooling circuit is electrically grounded, inline electrical insulators will be required in the cooling lines
that cool the electrical bus bars connected to the superconducting coil.
Most detector solenoids have gas-cooled electrical leads
that are fed from a liquid helium pot located somewhere near
the solenoid. The current buses between the lead pot and the
coil are often cooled by conduction, a practice that has led to
a number of failures. All current buses should be heliumcooled. The lead pot commonly used in detector magnets can
be eliminated by using gas-cooled electrical leads that are
attached to the ends of the coil structure. The helium used to
cool these leads comes directly from the liquid helium cooling
circuit. Gas-cooled leads attached to the end of the magnet
are located within the cryostat vacuum, so these leads must
be completely vacuum tight and they must withstand any increase in pressure that might occur in the cooling circuit during a quench (70). The bundled nested tube leads that were
used on the PEP-4 experiment (71) and the g-2 solenoids (72)
can be operated at any orientation within the cryostat vacuum vessel. Properly designed gas-cooled leads are stable and
they are capable of operating for more than 30 min without
gas flow.
CRYOGENIC COOLING OF A THIN DETECTOR SOLENOID
Most of the detector solenoids shown in Table 1 are cooled by
helium in tubes attached to the superconducting coil or the
748
support cylinder outside the coil. This technique has the following advantages over the bath cooling used for early cryostable detector magnets (73): (1) Tubular cooling eliminates
the cryostat helium vessels. As a result, the solenoids are
thinner and less massive. (2) The volume of helium in a tubular cooling system is small. Once this helium is evaporated
during a quench, it is expelled from the tube. Large quantities
of helium gas are not produced during a magnet quench. The
helium expelled during a magnet quench can be returned to
the refrigerator where it is recovered. (3) Tubes can withstand
high pressures during a quench. Relief valves for the system
can be moved from the magnet cryostat to the helium supply
system, which can be outside the detector. (4) Magnet cooldown can be done directly using the helium refrigerator. (5)
Recovery from a quench can be simplified using a well-designed tubular cooling system.
Detector magnets are cooled with two-phase helium rather
than supercritical helium for the following reasons: (1) The
operating temperature for the superconducting solenoid is
lower (18). As two-phase helium flows down the cooling circuit, it gets colder as its pressure goes down. The temperature
of a single-phase cooling circuit increases as one goes along
the cooling circuit. (2) The mass flow through the cooling circuit is minimized. As a result, the pressure drop along the
flow circuit is lower. (3) There is no need for auxiliary helium
pumping in a two-phase flow circuit. Helium flow can be provided directly by the J-T circuit of the refrigerator. (4) A properly designed two-phase helium flow system can be operated
at heat loads greater than the capacity of the refrigerator for
a period of time. Thus fluctuations in the heat load can be
Helium
compressor
T = 300K
Mixing circuit
for cooldown
F
LN2
Refrigerator
cold box
Bypass circuit
for cooldown
Helium-gascooled leads
JT valve
LN 2
Insulators
T = 4.4 K
Heat
exchanger
500 Liter control
dewar
T = 4.6 K
Thin S/C solenoid
cryostat
Not included are the backup relief valves or
the vacuum system relief valves. Relief valves
are not needed on the magnet piping
749
JT valve
LN2
T = 4.4 K
Refrigerator
cold box
Cooldown
shut-off valve
Mixing circuit
for cooldown
500 Liter
phase
separator
Liquid He
T = 4.4 K
F
Lead gas
F flow control
Helium-gascooled leads
Insulators
Bypass circuit
for cooldown
T = 300 K
LN2
Natural convection
2 F helium flow circuit
Helium compressor
Gas handling is not shown as
part of the compressor flow system.
stor
ium
l
e
H
age
tank
LHe
Not included are the backup relief
valves or the vacuum system relief
valves. Relief valves are not needed
on the magnet piping.
man
gas
ium
Hel
u
Nat
Liqu
ral
id
con
ifold
tio
vec
um
heli
n2
man
e
has
coo
ling
ifold
750
751
17. M. N. Wilson et al., Experimental and theoretical studies of filamentary superconducting composites, Part 1: Basic ideas and
theory, J. Phys. Appl. Phys., 3: 1517, 1970.
38. A. Yamamoto et al., Development of a prototype thin superconducting solenoid magnet for the SDC detector, IEEE Trans. Appl.
Supercond., 5: 849, 1995.
18. M. A. Green, Cooling intrinsically stable superconducting magnets with super-critical helium, IEEE Trans. Nucl. Sci., NS-18:
664, 1971.
39. T. Mito et al., Prototype thin superconducting solenoid for particle astrophysics in space, IEEE Trans. Magn., MAG-25: 1663,
1989.
54. P. H. Eberhard et al., Quenches in large superconducting magnets, In Proc. 6th Int. Conf. Magnet Technol., Bratislava, Czechoslovakia, 654, 1977.
55. M. A. Green, Quench back in thin superconducting solenoid magnets, Cryogenics, 24: 3, 1984.
32. J. M. Baze et al., Design, construction and test of the large superconducting solenoid ALEPH, IEEE Trans. Magn., MAG-24:
1260, 1988.
33. R. Q. Apsey et al., Design of a 5.5 meter diameter superconducting solenoid for the DELPHI particle physics experiment at LEP,
IEEE Trans. Magn., MAG-21: 490, 1985.
57. A. Yamamoto et al., Design study of a thin superconducting solenoid for the SDC detector, IEEE Trans. Appl. Supercond., 3: 95,
1993.
58. R. J. Roark and W. C. Young, Formulas for Stress and Strain, 5th
ed., New York: McGraw-Hill, 1975.
35. A. Bonito Oliva et al., Zeus thin solenoid: Test results analysis,
IEEE Trans. Magn., MAG-27: 1954, 1991.
59. S. Timoshenko, Theory of Plates and Shells, New York: McGrawHill, 1940.
752
HIGH-FIELD EFFECTS
63. R. Fast et al., Isogrid vacuum shell for large superconducting solenoids, in Advances in Cryogenic Engineering, Vol. 39, New York:
Plenum Press, 1993, p. 1991.
64. H. Yamaoka et al., Development of a brazed-aluminum-honeycomb vacuum vessel for a thin superconducting solenoid magnet,
in Advances in Cryogenic Engineering, Vol. 39, New York: Plenum
Press, 1993, p. 1983.
65. M. N. Wilson, Superconducting Magnets, Oxford, United Kingdom: Oxford Clarendon Press, 1983, p. 219.
66. M. A. Green, The role of quench back in the quench protection of
a superconducting solenoid, Cryogenics, 24: 659, 1984.
67. M. A. Green, PEP-4, TPC Superconducting Magnet, A Comparison of Measured Quench Back Time with Theoretical Calculations of Quench Back Time for Four Thin Superconducting Magnets, Lawrence Berkeley Laboratory Report LBID-771, August
1983, unpublished.
68. J. D. Taylor et al., Quench protection for a 2 MJ magnet, IEEE
Trans. Magn., MAG-15: 855, 1979.
69. M. A. Green, PEP-4, Large Thin Superconducting Solenoid Magnet, Cryogenic Support System Revisited, Lawrence Berkeley
Laboratory Engineering Note M5855, March 1982, unpublished.
70. M. A. Green, Calculation of the pressure rise in the cooling tube
of a two phase cooling system during a quench of an indirectly
cooled superconducting magnet, IEEE Trans. Magn., MAG-30:
2427, 1994.
71. R. G. Smits et al., Gas-cooled electrical leads for use on forced
cooled superconducting magnets, Advances Cryogenic Eng., Vol.
27, New York: Plenum Press, 1981, p. 169.
72. M. A. Green et al., A design method for multi-tube gas cooled
electrical leads for the g-2 superconducting magnets, in Advances
in Cryogenic Engineering, Vol. 41, New York: Plenum Press, 1996,
p. 573.
73. M. A. Green et al., The TPC Magnet Cryogenic System, Lawrence
Berkeley Laboratory Report LBL-10552, May 1980, unpublished.
74. J. D. Taylor and M. A. Green, Garden Hose Test, Lawrence
Berkeley Laboratory, Group A Physics Note 857, November
1978, unpublished.
75. W. A. Burns et al., The construction and testing of a double-acting bellows liquid helium pump, Proc. 8th Int. Cryogenics Eng.
Conf., Genova, Italy, Guildford, United Kingdom, IPC Science
and Technology Press, 383, 1980.
MICHAEL A. GREEN
Lawrence Berkeley National
Laboratory
Materials
The HTS material of choice for applications is still YBa2 Cu3 O7 (YBCO), the first cuprate discovered to superconduct above 77 K. There are several compelling reasons why this material is still the most suitable for many
of the applications. Some of the fundamental ones are its smaller conduction anisotropy, higher superconducting critical currents in a magnetic field, and greater chemical stability than in other HTS compounds. However,
the most important reason is the ease of fabricating high-quality, single-phase YBCO thin films.
In this review, YBCO will be considered the canonical high-T c superconductor, and the discussion will
be limited to this example, partly for reasons of conciseness and partly because of the prominence of this
compound in the HTS community. Additionally, unless otherwise mentioned, one is generally considering
growth of c-axis-oriented films, i.e. where the CuO2 layers are parallel to the substrate.
Table 1 reviews the HTS compounds and methods used to date to prepare these thin films. For a review of
materials, we refer the reader to Refs. 2 and 3. There has been a substantial effort related to BiSrCaCuO
thin films (2212 and 2223 phases) by a variety of techniques, particularly in Japan. Some industrial work in
the US has focused also on TlBaCaCuO (mostly 2212), primarily for passive electronics applications. One
of the obvious reasons for looking at these other compounds is their higher critical temperatures. Nevertheless,
1
the advantages of YBCO have been hard to surpass, particularly at temperatures below 65 K. Substantial
thin-film work has also been devoted to LaSrCuO, NdCeCuO, HgBaCaCuO, and infinite-layer
compounds, mostly driven by academic interest in basic properties of these HTS materials.
Thermodynamic Issues
Film growth is inherently a nonequilibrium process. Nevertheless, thermodynamic stability is important as a
driving force for the reactions taking place during growth. HTS compounds possess large unit cells which can
have additional complications, such as a wealth of metal-atom defects and oxygen nonstoichiometries. These
complex structures require temperatures for formation close to their melting points, typically (0.80.9)T m ,
much higher than is usual for growth of epitaxial metals and semiconductors. The intricacies of film growth
and the thermodynamics of phases produced are certainly not sufficiently well understood at present. Below,
we summarize some of the key thermodynamic issues as they are currently accepted.
Oxygen Stability. HTS compounds are oxides and, as such, require the presence of oxygen during
synthesis. Although it is technically possible to deliver oxygen through the substrate, in practice, oxygen is
delivered as a gas impinging on the growth surface together with the cation species. Figure 1 shows the
pressuretemperature thermodynamic stability diagram for the bulk YBCO compound. One can see from the
diagram that a certain minimum pressure must be maintained for the stability of YBCO. Below that oxygen
pressure, depicted by the line labeled d1 , the YBCO compound is not stable and will decompose. For the
typical temperatures during film formation, this translates into a minimum oxygen pressure of about 100
mPa (1 mtorr). At higher oxygen pressures, there is another decomposition line for YBCO, labeled d2 , usually
not reached during in situ growth of thin films. During cooldown of films to room temperature, this second
decomposition is usually not observed because it is strongly limited by diffusion kinetics. However, the stability
diagram also implies that the YBCO compound is metastable at room temperature and pressure. In fact, most
HTS compounds are believed not to be thermodynamically stable at lower temperatures. References 4 and 5
have a more detailed discussion of the YBCO stability lines. Hammond and Bormann (6) argued that growth
of thin films is optimal close to the high-temperature thermodynamic decomposition line of the compound.
Fig. 1. Thermodynamic stability diagram for YBCO in oxygen pressure and temperature. The shaded elliptical areas
indicate regimes where in situ film growth for YBCO has been reported successful. The rectangles show ex situ film growth
regimes.
In fact, the empirical data confirm this, as can be seen also in Fig. 1, where successful film growth regions
are denoted. For processes that possess a more reactive form of oxygen, such as atomic oxygen or ozone, the
equivalent decomposition line is shifted compared with that in Fig. 1. Such activated oxygen species have been
proven helpful for growth of YBCO when the total pressure is lower than about 1 Pa (10 mtorr).
Compositional Phase Diagram. The HTS compounds contain typically three to five different metal
species in addition to oxygen. Some of these materials are line compounds (and this is presumed to be the case
for YBCO) and, as such, do not accept a solid solution of atoms in their chemical formula. This means that one
will always be synthesizing a number of phases in addition to the desired HTS material. For YBCO, the Gibbs
phase rule implies two other compounds as impurity phases. Figure 2 shows the present understanding of the
ternary phase diagram for YBaCu oxides at the low oxygen pressures relevant for in situ thin film growth
(7,8). The corners of the triangles define the phases which will be present for any composition in that triangle.
There are some caveats to the description given above. First of all, as already stated, film growth is not
an equilibrium process, and therefore metastable phases could be formed during synthesis. Secondly, the HTS
material that is formed during film growth may not be, and probably is not, exactly like the bulk material. This
has now been well documented in the literature for YBCO films. In fact, it is also fairly well established that
YBCO film growth does not match exactly the thermodynamic phase diagram shown in Fig. 2. In particular,
the Y2 BaCuO5 phase has not been observed in in situ grown films. On the other hand, CuO and Y2 O3 second
Fig. 2. Thermodynamic ternary phase diagram for YBaCu oxides at temperatures below 850 C and oxygen pressures
below one atmosphere.
phases are commonly observed in YBCO films prepared close to 1:2:3 stoichiometry and are not predicted by
the phase diagram.
Epitaxy
Due to the significant anisotropy of the HTS materials, the easy direction for electrical current flow is in the
ab plane, along the CuO2 planes. For devices which require current to flow parallel to the substrate, the
growth direction of the HTS films has to be in the c-axis direction. In order to achieve c-axis-oriented growth,
good epitaxial growth of all grains is necessary. Furthermore, a high-angle, in-plane grain boundary of two
c-axis-oriented grains has been found to behave as a superconducting weak link. This implies that one needs to
align all grains in the plane of the substrate as well as out of the plane. The requirements of in-plane epitaxy
are very well illustrated in the case of yttria-stabilized zirconia (YSZ) substrates where the YBCO films grow in
the c direction, but the c axis grains can have two major different orientation in the ab plane, 45 apart (15). In
the case of applications which require the current flow to be perpendicular to the substrate, a-axis growth has
been implemented. Many multilayer Josephson devices have been tried in this way. a-axis growth is typically
initiated with a lower substrate temperature, which in turn reduces the mobility of ions necessary for the
c-axis growth. Due to the lower growth temperature, films are formed which are structurally less perfect. To
overcome this problem, many workers have used a technique of starting growth at a lower temperature for aaxis nucleation, making a template, and then raising the temperature for the remainder of film deposition (16).
Substrates. The most frequently used substrate for fundamental research is SrTiO3 because of its
good thermal and lattice constant match with most HTS materials. However, high dielectric loss, small wafer
size, and high cost of SrTiO3 render it unfavorable for technological applications. LaAlO3 has emerged as an
alternative to SrTiO3 as a low-loss perovskite substrate, although its critical dielectric properties are not under
complete control. MgO substrates, on the other hand, have an even lower loss for microwave applications.
However, the reproducibility of the MgO surface quality has been a problem in the earlier days, but more
recently, the surface quality has improved. For applications where very low loss is required, MgO is the best
HTS substrate at present. MgO and LaAlO3 are commercially available in wafer sizes larger than 5 cm (2 in.).
More conventional substrates such as Si and sapphire have been used and found to be incompatible with
thick HTS thin films, mainly due to microcracking in the HTS films resulting from the thermal expansion
mismatch between the substrates and the HTS materials. The maximum thickness of YBCO one can grow
without significant microcracking is about 50 nm on Si and about 600 nm on sapphire. Table 2 shows a list of
substrates most commonly used today for growth of HTS films. References 17 and 18 have a more extensive
discussion of HTS compatible substrates and buffer layers.
In most cases, excellent epitaxial alignment can be achieved by depositing oxide buffer layers, such as
CeO2 , before HTS growth. The CeO2 buffer layer has been found to suppress the unwanted a-axis nucleation for
the c-axis growth on substrates such as SrTiO3 and LaAlO3 . On substrates such as YSZ and r-plane sapphire,
the CeO2 buffer layer promotes in-plane alignment of each c-axis grain, thereby eliminating potential highangle grain boundaries. In fact, by using an appropriate buffer layer and a seed layer, one can create a process
to produce 45 -angle grain boundaries in a controlled fashion. On Si substrates, the YSZ buffer layer was found
to grow epitaxially and to be very effective in preventing chemical reaction between HTS materials and Si.
Ion-Beam-Assisted Deposition. Recently, a new technique, ion-beam-assisted deposition (IBAD), has
been implemented to grow HTS layers on polycrystalline substrates. By bombarding the growing film surface
with an ion beam incident at a specific angle to the substrate, one is able to achieve partial in-plane crystalline
alignment of the deposited material where the substrate has none. Iijima et al. (19) first utilized this technique
to grow in-plane textured zirconia buffer layers on substrates made of polycrystalline Ni-based alloys. YBCO
was then deposited by PLD. Due to the absence of high-angle grain boundaries in YBCO, the critical currents
attained are much higher than without the IBAD process. Other groups, including the one at Los Alamos
National Laboratory, has followed on this work and achieved critical currents over 106 A/cm2 at 77 K (20).
More recently a group at Stanford University has demonstrated very good IBAD results with 10 nm thick
MgO films (21). While more development is needed to establish whether the IBAD process is a viable one for
large-scale applications, it certainly opens up a whole new range of substrate materials for HTS film growth.
more limiting. However, they are still used for compounds such as TlBaCaCuO or HgBaCaCuO, where the in
situ processes are often not practical due to the required high vapor pressures of Hg and Tl. Finally, there are
other processes such as solgel, plasma spray deposition, and liquid phase epitaxy that are generally used for
fabrication of thick films (thicker than 1 m); these also will not be covered in this brief review.
Postanneal Growth Methods. In the post-anneal, or ex situ, growth process, the metallic elements are
deposited on a substrate in the correct composition as an amorphous or multilayer film, usually in compound
form with oxygen and possibly fluorine. Subsequent annealing in air or oxygen at a high temperature, typically
850 C for YBCO, forms an epitaxial film of the desired HTS phase (9). A very commonly used postanneal
method for growth of YBCO films is achieved by using BaF2 in the precursor film. Water is then required in the
annealing step in order to eliminate the fluorine and start the HTS growth process. Since the critical growth
step takes place separately from deposition, the actual technique used to deposit the layers is of secondary
importance. Sputtering and evaporation are typically used.
Ex situ methods have initially focused on synthesis under atmospheric oxygen pressure and correspondingly high temperatures. This region of phase space is depicted in Fig. 1 with the rectangle in the upper left
corner. Later work has shown that lower-temperature ex situ growth can also be achieved if the oxygen pressure is reduced at the same time (see also the lower rectangle in Fig. 1). Such films grown under lower oxygen
pressures were observed to have properties closer to the in situ grown films (10,11).
In situ Growth Methods. Dominant methods in use today for physical vapor deposition of HTS are
sputtering and laser ablation (also referred to as pulsed laser deposition, or PLD). Both of these methods
are most commonly done from a single target and as such, became rather popular mostly because they are
relatively simple to implement and fairly reproducible in the films they produce. In addition, targets for PLD
are relatively inexpensive and easy to fabricate, and hence, the technique is well suited for investigation of
many different materials. Less widespread today, but currently growing in popularity, are coevaporation and
molecular beam epitaxy. After the initial slow start in contending with a sufficiently oxidizing environment in
high vacuum, evaporation methods have now emerged as an established way to grow high-quality HTS films.
The following is a list of in situ physical vapor deposition techniques used for deposition of HTS compounds,
which will be covered in this review:
(1) Sputtering
On-axis magnetron
Off-axis magnetron
Inverted cylindrical magnetron
Ion-beam sputtering
On-axis
Off-axis
LaserMBE
(3) Evaporation
Flash evaporation
Reactive coevaporation
ReactiveMBE
For more discussion on various PVD techniques, we also refer the reader to Refs. 12,13, and 14.
Fig. 3. Schematic for the (a) on-axis and (b) off-axis sputter deposition.
Sputtering
Sputtering is a very commonly used technique for metal deposition in semiconductor and magnetic storage
industries. As such, it was applied early on to HTS materials. The first event of significance was the use of a
single composite target, which became commonplace for HTS materials because of the inherent difficulty of
reproducing the metal-atom stoichiometry. The major complication compared to more conventional sputtering
of metals is the energetic negative-ion (oxygen) bombardment of the substrate, due to the ionic nature of the
oxide target. This causes resputtering and degradation of the sample. This has been recognized even before
the advent of HTS and has been fully investigated by Rossnagel and Cuomo (22). Two types of solutions had
emerged: (1) using a higher gas pressure in order to thermalize the energetic species (23), and (2) off-axis
sputtering, where one eliminates the high-energy particles coming directly from the target (24,25). Figure 3
shows the schematic of the two processes. Other variations include on-axis unbalanced-magnetron sputtering
(26) and inverted cylindrical magnetron (ICM) sputtering (27).
The energies of ejected atoms from the sputtered targets are in the range of tens of electron volts, and
they get scattered by the background Ar and O2 gas. The angular distribution of each cation species is different,
and the sweet spot for the proper cation composition is relatively small. In order to cover a 5-cm (2-in.) wafer
uniformly from a single 5-cm target in an off-axis geometry, one has to resort to some sort of scanning method,
such as rotation of the wafer. The deposition rate is very low, not only because the deposition rate for oxides
is much lower than for metals, but also because of the off-axis geometry. It takes several hours to deposit
a few hundred nanometers of film. Larger targets and a number of sputter guns depositing simultaneously
have been used at several laboratories to increase the deposition rate. When all the deposition conditions
are optimum, sputtering has demonstrated a capability to produce YBCO films of excellent crystallinity and
surface condition. However, the deposition conditions have to be changed as the targets erode, because the
changing target surface geometry results in a different plasma distribution. In addition, the substrate heating
method for off-axis sputtering is not as simple as in the case of the off-axis laser ablation or evaporation which
will be described later.
Fig. 4. Schematic for the (a) on-axis and (b) off-axis laser ablation processes.
Laser Ablation
Laser ablation, or pulsed-laser deposition (PLD), is a relatively new technique that gained much popularity
because it is ideally suited for deposition at a high oxygen pressure. The relative ease of this technique in
depositing multicomponent oxides (and nitrides) has made it especially effective in exploring new materials
for HTS electronics, such as epitaxial dielectrics or barrier layers. A short-wavelength (170 to 260 nm) excimer
laser is focused onto a rotating target of the material to be deposited. Under the energy of the laser beam
(0.1 to 2 J per pulse), the matter emitted from the target forms a plume that carries it to the substrate at
supersonic velocities. In general, a higher gas pressure is required during laser ablation, due to the very high
energy of the vaporized material from the surface of the target. The laser plume glows brightly from the
target, and deposition is usually done near the end of the plume, about 5 cm away; see Fig. 4. Again, as in
the case of sputtering, the various species scatter differently, and therefore the sweet spot of the deposition
process is relatively small, usually one to two centimeters, depending on the geometry. The deposition rate per
laser pulse ranges from a fraction of an angstrom to a few angstroms. The technique was found to be fairly
reproducible from the early days and has been used extensively for research and development purposes during
the past ten years. Even though other techniques are potentially more manufacture-friendly, laser ablation is
still very actively used for prototyping devices made up of several complex materials, such as superconductors,
ferroelectric oxides, and magnetic oxides.
A particular problem associated with PLD is the deposition of micron-size droplets, so-called boulders, on
the grown film. These particles originate at the target and are emitted from the action of the laser pulse. A
variety of procedures have been utilized to reduce this problem, so that the boulder density can be very low in
the best films. Such procedures include target preparation (such as frequent polishing), defocusing the laser
spot, mechanically chopping the plume, and spatially filtering the beam.
Although PLD can produce high deposition rates (up to tens of nanometers per second), the area on which
one deposits is small. A straightforward way to increase the deposition area is to scan wafers over the plume
either by moving the substrate vertically and horizontally or by rotating the substrate. This method has been
tried in several laboratories and is still pursued. Another scheme utilizes a rotating cylindrical target with a
linear laser profile to obtain larger deposition areas.
Another major difficulty of these techniques is uniform heating of large wafers. Most of the heating
methods for small-area laser ablation have been to mount a substrate on a heated metal surface with silver
paste, which is difficult to extend to larger sizes. In order to overcome this difficulty of heating a large wafer,
an off-axis laser ablation technique has been developed; see Fig. 4(b). A large wafer (5 to 8 cm) can be mounted
parallel to the direction of the plume inside a relatively simple blackbodylike heater. The deposition takes place
when the atoms collide with the background pressure and are scattered to the surface of the wafer. By rotating
the wafer and selecting an appropriate pressure for the geometry, a fairly uniform deposition was achieved
over 5 cm wafers. This technique allows for simultaneous deposition on both surfaces of the wafer, which is an
important benefit for microwave applications requiring a ground plane. A drawback of this technique is its low
deposition rate because of the off-axis geometry. Typical conditions for deposition of a 5 cm wafer resulted in a
deposition rate about a factor of 10 lower than for the on-axis deposition.
By using laser fluence just enough to evaporate a few atomic layers of the target in a low-oxygen environment (less than 10 2 Pa 10 4 torr) and at the same time using a sequence of metal or metal oxide targets,
one can obtain a process similar to molecular beam epitaxy (MBE) by evaporation, here called laser MBE. Some
in situ diagnostic tools can then be used to characterize the growth of the materials. This technique has mainly
been used to grow artificially layered superconducting materials, such as the infinite-layered superconductor
(28,29).
10
Fig. 5. Morphology of YBCO films as a function of their composition during reactive coevaporation.
whether it is a materials issue or a process control issue. It is known that superconducting properties of films
degrade significantly as one goes into the Ba-rich composition. It is possible that process fluctuations around
the desired metal-atom stoichiometry are responsible for degradation of films close to 1:2:3 composition.
Probably the most technologically significant advance in HTS thin film evaporation has been the large
area heater developed for coevaporation by the group of H. Kinder at the Technical University of Munich
(Germany) and depicted in Fig. 6. They used a blackbody-type rotating disk heater, similar to the one used by
others in PLD deposition, but they added an oxidation pocket (31). This heater has a narrow slit that allows for
a differential pressure between the oxidation pocket and the rest of the chamber of about 1000:1. The deposition
and the oxidation processes are therefore separated, and a low pressure in the chamber improves the stability
of thermal evaporation sources. Uniform YBCO films were grown on wafers up to 20 cm in diameter using this
technique. More importantly, this method is significantly more cost-effective and has higher throughput than
any of the other PVD processes.
Molecular Beam Epitaxy. In general, one can distinguish between reactive molecular beam epitaxy
(MBE) approaches to HTS film deposition and mere reactive evaporation by the lower background pressure
of the former technique. Another difference is that MBE deposition is usually done sequentially rather than
simultaneously. Typically, MBE systems have multiple sources with individual shutters, as well as some in
situ monitoring tools; see Fig. 7. Reference 32 has a thorough discussion of relevant issues in reactive MBE of
HTS films. The work by a number of groups in this field has focused on careful atomic layering to produce very
smooth films, as well as customized growth of new materials and heterostructures containing these phases.
The reactive MBE technique also lends itself to careful control of chemical doping of these materials, an issue
that is very important for the superconducting properties of the cuprates.
In situ Monitoring and Diagnostics. Evaporation and, especially, MBE, with their inherent low
background pressure, lend themselves well to vacuum techniques for in situ deposition monitoring and film
11
Fig. 7. Schematic for the reactive MBE process used in HTS film growth.
diagnostic tools such as reflection high-energy electron diffraction (RHEED). RHEED has been shown to be
particularly helpful in controlling deposition of BiSrCaCuO films, where the growth occurs in blocks of
subunit cells, but where many similar phases are possible. RHEED has been less helpful to date in the growth
of YBCO, which occurs in blocks of unit cells and where intergrowths are more difficult to tailor due to the
higher stability of the primary phase. Terashima and coworkers (33) have shown that growth of YBCO can
exhibit oscillations in the RHEED pattern commensurate with unit cell deposition, suggesting that growth
proceeds in a unit-cell-by-unit-cell fashion, rather than in smaller building blocks. More recently, RHEED has
also been extended to high-pressure processes such as PLD (34).
Another area of technological development has been in the use of optical absorption techniques for measurement and control of atomic fluxes. Both hollow-cathode lamp systems (35) and tunable diode lasers (36)
have been used to monitor fluxes in situ in close proximity to the substrate. Such monitoring of individual
fluxes is a prerequisite for careful control of HTS growth.
12
Fig. 8. An STM image of a laser ablated YBCO film, showing spiral growth structures. Image courtesy of Prof. Darrell
Schlom.
Concluding Remarks
In spite of a wealth of research, growth of cuprate films has remained a complicated matter. This is due to the
materials rather complex multicomponent crystal structures. They are prone to a variety of defects and growth
morphologies. Much work still remains to be done until films are better understood and more reproducible.
As already described, HTS films are now routinely made with out-of-plane as well as in-plane alignment
on single-crystalline substrates. Aligned films are still not routine on polycrystalline substrates, but there has
been progress in this area as well. This has been manifested in high critical currents in films, particularly
for YBCO. Typical critical currents in good quality YBCO films are over 2 106 A/cm2 at 77 K and above
107 A/cm2 at low temperatures. There has been much work in characterizing defect structures, but a good
understanding of their influence on physical properties is still lacking. One growth structure that seems to be
nearly ubiquitous in YBCO films is the spiral, which forms during growth and at the core of which is a screw
dislocation (36). Figure 8 shows a scanning tunneling microscopy (STM) image of a surface of a YBCO film
with a spiral. Steps in this image have a height of one unit cell of YBCO. Such spirals have been identified as
contributing to pinning of vortices, important for high critical currents.
Maximally oxygen-doped YBCO bulk materials, made at higher temperatures than thin films, have a
superconducting transition temperature of 92 K and a resistivity of 35 cm at 100 K. Although having nominally the same crystal structure as the bulk material, YBCO films often have different electronic properties.
For example, lower T c (85 to 90 K) and expanded c-axis lattice constants (1.170 to 1.172 nm) are frequently
found in thin films. Additionally, flux-pinning centers appear to be highly dispersed in in situ grown films of
YBCO, although the structural origin of these centers has not yet been identified. It is important to have a
13
close feedback loop between film deposition and structural and electrical characterization in order to further
improve HTS films.
Technology for deposition and monitoring of HTS thin films has developed significantly over the past
decade. At the same time, the materials understanding of film nucleation, growth, and oxide interfaces has
started to unfold. Together, these developments in the future will bring new capabilities for HTS film growth.
BIBLIOGRAPHY
1. J. M. Rowell, A decade of progress towards a high temperature superconducting electronics technology, Solid State
Commun., 102: 269282, 1997.
2. T. R. Lemberger, Films of high-temperature oxide superconductors, in D. M. Ginsberg (ed.), Physical Properties of High
Temperature Superconductors III, Singapore: World Scientific, 1992.
3. C. P. Poole, H. A. Farach, R. J. Creswick, Superconductivity, San Diego: Academic Press, 1995.
4. T. B. Lindemer et al., Decomposition of YBa2 Cu3 O7 x and YBa2 Cu4 O8 for pO2 0.1 MPa, Physica C, 178: 93, 1991.
5. R. K. Williams et al., Oxidation induced decomposition of YBa2 Cu3 O7 x , J. Appl. Phys., 70: 906913, 1991.
6. R. H. Hammond, R. Bormann, Correlation between the in-situ growth conditions of YBCO thin films and the thermodynamic stability criteria, Physica C, 1624: 703704, 1989.
7. R. Beyers, B. T. Ahn, Thermodynamic considerations in superconducting oxides, Rev. Mater. Sci., 21: 335, 1991.
8. P. Karen, O. Braaten, A. Kjekshus, Chemical phase diagrams for the YBa2 Cu3 O7 family, Acta Chem. Scand., 46:
805840, 1992.
9. M. Naito et al., Thin film synthesis of the high T c oxide superconductor YBa2 Cu3 O7 by electron beam codeposition, J.
Mater. Res., 2: 713725, 1987.
10. R. Feenstra et al., Effect of oxygen pressure on the synthesis of YBaCuO7 x thin films by post deposition annealing, J.
Appl. Phys., 69: 65696585, 1991.
11. J. M. Phillips et al., Comparison of Ba2 YCu3 O7 thin films grown on various perovskite substrates by coevaporation,
J. Mater. Res., 7: 26502657, 1992.
12. R. G. Humphreys et al., Physical vapor deposition techniques for the growth of YBa2 Cu3 O7 thin films, Supercond. Sci.
Technol., 3: 3852, 1990.
13. J. A. Alarco et al., High quality YBCO thin filmslaser deposition, co-evaporation, and device fabrication, Phys. Scripta,
44: 95101, 1991.
14. C. H. Stoessel et al., Thin-film processing of high-T c superconductors, J. Supercond., 6 (1): 117, 1993.
15. S. M. Garrison et al., Observation of two in-plane epitaxial states in YBa2 Cu3 O7 films on yttria-stabilized ZrO2 , Appl.
Phys. Lett., 58: 21682170, 1991.
16. H.-U. Habermeier et al., Preparation and properties of YBCO thin films with the c-axis aligned in the film plane, in L.
Correra (ed.), High Temperature Superconductor Thin Films, Amsterdam: North-Holland, 1992, pp. 343352.
17. A. Perrin, M. Sergent, in A. Narlikar (ed.), Studies of High Temperature Superconductors, vol. 7, Commack, NY: Nova
Science Publishers, 1991.
18. J. Qiao, C. Y. Yang, High-T c superconductors on buffered silicon: Materials properties and device applications, Mater.
Sci. Eng., R14: 157202, 1995.
19. Y. Iijima et al., In-plane aligned YBa2 Cu3 O7 x thin films deposited on polycrystalline metallic substrates, Appl. Phys.
Lett., 60: 769771, 1992.
20. X. D. Wu et al., High current YBa2 Cu3 O7 d thick films on flexible nickel substrates with textured buffer layers, Appl.
Phys. Lett., 65: 19611963, 1994.
21. C. P. Wang et al., Deposition of in-plane textured MgO on amorphous Si3 N4 substrates by ion-beam-assisted deposition
and comparisons with ion-beam-assisted deposited yttria-stabilized-zirconia, Appl. Phys. Lett., 71: 29552957, 1997.
22. S. M. Rossnagel, J. J. Cuomo, Negative ion effects during magnetron and ion beam sputtering of YBa2 Cu3 Ox , in Thin
Film Processing and Characterization of High-Temperature Superconductors, American Institute of Physics Conf. Proc.
165, New York: AIP, 1988, pp. 106113.
23. U. Poppe et al., Direct production of crystalline superconducting thin films of YBa2 Cu3 O7 by high-pressure oxygen
sputtering, Solid State Commun., 66: 661665, 1988.
14
24. C. B. Eom et al., Synthesis and properties of YBa2 Cu3 O7 thin films grown in situ by 90 degrees off-axis single magnetron
sputtering, Physica C, 171: 354382, 1990.
25. J. R. Gavaler et al., Critical parameters in the single-target sputtering of YBa2 Cu3 O7 , J. Appl. Phys., 70: 43834391,
1991.
26. N. Savvides, A. Katsaros, In situ growth of epitaxial YBCO thin films by on-axis unbalanced direct current magnetron
sputtering, Appl. Phys. Lett., 62: 528530, 1993.
27. X. X. Xi et al., Preparation of YBa2 Cu3 O7 thin films by inverted cylindrical magnetron sputtering, J. Less-Common
Metals, 151: 349355, 1989.
28. T. Kawai et al., Superconducting artificial lattices grown by laser MBE, in L. Correra (ed.), High Temperature Superconductor Thin Films, Amsterdam: North-Holland, 1992.
29. H. Koinuma, M. Yoshimoto, Controlled formation of oxide materials by laser molecular beam epitaxy, Appl. Surface
Sci., 75: 308319, 1994.
30. Y. Yasuda et al., YBaCuO superconducting thin films prepared by plasma-assisted flash evaporation, Appl. Phys.
Lett., 55: 307309, 1989.
31. P. Berberich et al., Homogeneous high quality YBa2 Cu3 O7 on 3 and 4 substrates, Physica C, 219: 497, 1994.
32. D. G. Schlom, J. S. Harris, Jr., MBE growth of high T c superconductors, in R. F. C. Farrow (ed.), Molecular Beam
Epitaxy: Applications to Key Materials, Park Ridge: Noyes, 1995.
33. T. Terashima et al., Reflection high-energy electron diffraction oscillations during epitaxial growth of high-temperature
superconducting oxides, Phys. Rev. Lett., 65: 26842687, 1990.
34. G. J. H. M. Rijnders et al., In situ monitoring during pulsed laser deposition of complex oxides using reflection high
energy electron diffraction under high oxygen pressure, Appl. Phys. Lett., 70: 18881890, 1997.
35. J. N. Eckstein, I. Bozovic, G. F. Virshup, Atomic layer-by-layer engineering of high-T c materials and heterostructure
devices, Mater. Res. Soc. Bull., 19 (9): 4450, 1994.
36. W. Wang et al., Direct atomic flux measurement of electron-beam evaporated yttrium with a diode-laser-based atomic
absorption monitor at 668 nm, Appl. Phys. Lett., 71: 3133, 1997.
37. C. Gerber et al., Screw dislocations in high-T c films, Nature 350: 279280, 1991.
KOOKRIN CHAR
VLADIMIR MATIJASEVIC
Conductus, Inc.
Fig. 1. Currentvoltage characteristic of a Josephson weak link in the zero-capacitance limit, without thermal noise,
showing definitions of the critical current, Ic , and normal resistance, Rn . The dashed line is the high-current asymptote of
the IV curve.
Fig. 2. The wide range of fabrication methods for HTS Josephson junctions can be loosely grouped into four classes: grain
boundary junctions, damage-induced junctions, deposited-interlayer junctions, and microbridges. The deposited-interlayer
approaches are thought to be the most promising for applications requiring more than a few junctions.
occurring and randomly placed grain-boundary junctions from interfering with the engineered junctions. The
fill pattern for HTS film layers in Fig. 2 indicates the orientation of CuO planes.
The junction configurations shown in Fig. 2 are grouped in the four categories of grain-boundary weak
links, damage junctions, deposited interlayer junctions, and microbridges. The chronology of development was
that single-HTS-film configurations that did not require special substrate treatments were explored first
for example, the junctions in Figs. 2(c), 2(f), and 2(j). These were followed by more complex processes where
substrates were fabricated to promote the formation of a junction during HTS film growth as in Figs. 2(a), 2(b),
and 2(g). Since the configurations in Figs. 2(d), 2(h), and 2(i) are direct analogues of LTS junctions, they were
identified as candidate structures soon after discovery of HTS, but development did not begin until multilayer
growth and patterning techniques were developed.
Application Requirements
The key electrical parameters of an individual junction, which determine its suitability for a given application,
are principally its Ic and RN and secondarily such parameters as capacitance C and inductance L. For applications which require many junctions, such as digital circuits, the uniformity of these parameters is of critical
importance, while for sensor applications, such as superconducting quantum interference devices (SQUIDs),
low intrinsic noise is a key characteristic.
For digital circuits a number of constraints dictate the range of parameters required. First, the product of Ic and a typical gate inductance Lg should be not much more than a flux quantum, 2.07 10 15
Wb (2.07 pH-mA). Since it is difficult to fabricate gates with inductance less than about 4 pH in HTS (see
the section entitled Circuit Integration), Ic can be no more than about 0.5 mA. At the same time, Ic should be
large enough that the Josephson energy, Ic /2e, is much larger (say by a factor of 100 to 1000) than the thermal
energy, kB T, otherwise there will be too many thermally induced, erroneous switching events. For operation
at 40 K this dictates that Ic be greater than 0.04 mA to 0.4 mA. At the same time, the product of Ic and Rn
establishes the maximum reliable operating frequency of the circuit, 2eIc Rn /h. For Josephson devices to be
competitive, this frequency must be at least tens of gigahertz. This means that Ic Rn must be greater than
approximately 0.3 mV, and Rn must be of order 1 to 10 . While different arguments are applied for sensor
applications of SQUIDs, such as magnetometry, the resulting requirements for Ic and Rn are quite similar.
Josephson Effects
The dc and ac Josephson effects introduced in the section entitled Introduction to Josephson Junctions can
be stated mathematically as follows:
where 1 and 2 are the phases of the wavefunction in the two electrodes. In the presence of a magnetic field,
with vector potential A, Eq. (1a) is generalized as follows:
where 1 and 2 refer to two specific points on opposite electrodes, and the integral is taken along a straight
line between those two points (1). While the phase difference across the junction cannot be directly measured,
Josephsons predictions have several measurable consequences. For example, as a result of the periodic depen-
Fig. 3. Currentvoltage characteristics of a YBCO/CoYBCO/YBCO edge SNS junction, showing Shapiro steps in response
to 13 GHz radiation. Such steps are a manifestation of the ac Josephson effect.
dence of supercurrent on phase difference, and the field-dependence of the phase difference given by Eq. (1d),
the critical current of a spatially extended junction displays a dependence on the magnetic field described by
the Fraunhofer pattern characteristic of single-slit diffraction in optics: Ic |sin(/0 )/|, where is the magnetic flux through the junction and 0 = h/2e is the superconducting flux quantum. The ac Josephson effect is
responsible for Shapiro steps, which are constant voltage steps in the IV characteristics of the junction in the
presence of microwave radiation. Such steps occur when the average voltage across the junction has values such
that the oscillation frequency of the supercurrent is a multiple of the frequency of the applied radiation. This
effect is the basis for the definition of the standard volt, which uses a precisely known frequency to generate a
fixed voltage across a series array of many junctions. Figure 3 shows an example of Shapiro steps induced in a
YBCO/Cobalt-YBCO/YBCO edge superconductor/normal metal/superconductor (SNS) junction (see the section
entitled Edge-Geometry Weak Links) by 13 GHz radiation.
Real Josephson junctions can be modeled by the so-called resistively and capacitively shunted junction
(RCSJ, or, for small capacitance, just RSJ) model, which takes the ideal Josephson element described by Eq.
(2) and shunts it with a resistor and a capacitor. The resistor models the path by which quasiparticles cross
the junction. For HTS junctions we can use the RSJ model with a linear resistor and zero capacitance for
comparison with the data. In this case the RSJ model, without thermal noise, predicts a hyperbolic shape for
the IV characteristic:
where V is the time average of the instantaneous voltage, V(t), which oscillates at the Josephson frequency
with amplitude Ic Rn . The dc behavior is illustrated in Fig. 1. In the presence of thermal noise the sharp voltage
onset at Ic is smeared out.
or absence of an intermediate seed layer. The first implementation was by Char et al. (5), who observed
that SrTiO3 (001) grew on R-plane sapphire, Al2 O3 (1102), when there was no seed layer with in-plane parallel directions, SrTiO3 [110]//Al2 O3 [1120]. However, when there was an MgO(001) seed layer present, the
in-plane orientation was SrTiO3 [100]//MgO[100]//Al2 O3 [1120]. So, by patterning the MgO layer with conventional lithography, 45 grain boundaries in the SrTiO3 (001) buffer layer could be placed in arbitrary positions.
Other combinations of substrates, seed layers, and buffer layers were later found to work. All of them
had in common with the original concept that the materials were oxides with dissimilar crystal structures and
large lattice mismatches so that
times one lattice constant provided as close a match with a 45 in-plane
rotation as could be achieved by cube-on-cube growth. While the virtue of this technique compared to bicrystal
junctions is the ability to arbitrarily place grain boundary junctions on a mask, its disadvantage is that 45
is larger than the angle normally selected for bicrystal junctions. At this large angle, Ic Rn products at 77 K
were on the order of just 10 V to 20 V and critical currents per unit junction width approximately 3 A/m.
Although some multilayer SQUIDs have been based on biepitaxial junctions, there has been little work on
their integration with an HTS groundplane for digital circuits.
Step-Edge Grain Boundary Junctions. When a c-axis YBCO film is grown over a sharp step in a cubic
(or nearly cubic) substrate or deposited insulator, the YBCO grows such that the c-axis is perpendicular to the
local principal crystalline axes of the substrate material (6), forming a pair of grain boundaries (at the top and
bottom of the step), which behave electrically as one or two Josephson junctions. Figure 2(b) shows the simplest
example, where each grain boundary (GB) is of the symmetric, (103)(103) type. Figure 4 shows a transmission
electron micrograph of a cross section of such a boundary, formed at the top of a step in a single-crystal LaAlO3
substrate. In practice the lower GB often consists of a mixture of orientations, including (010)(001).
Such devices show IV characteristics with rather ideal RSJ shape, and they also show the signatures
of true Josephson behavior, including critical current modulation with field (though often nonideal), Shapiro
steps, and the emission of Josephson radiation. Values of J c are fortuitously close to those required for digital
and SQUID applications in the 65 K to 77 K range, with Ic Rn values of up to several hundred microvolts at 77
K (7). Electrical characteristics, and stability with thermal cycling, are improved by paying close attention to
forming a sharp, clean stepfor example, by the use of ion milling with a very hard mask, such as amorphous
carbon. While there have been reports of sets of such junctions with J c spreads as narrow as 5% (1), typical
spread values are 30% or above, making the long-term viability of this junction technique for complex circuits
doubtful. For SQUIDs this is not an issue; and the simplicity of fabrication, along with the low measured noise
of such junctions, makes them attractive. Their incorporation into multilayers is relatively straightforward.
The nature of the Josephson junction in this geometry is controversial. For example, GBs similar to those
observed in step edge junctions have been deliberately fabricated in planar YBCO films, by the use of seed
layers to control film orientation (see the section entitled Biepitaxial Grain Boundary Junctions), and have
been found to not exhibit weak link behavior. Also, while some of the most definitive work on these junctions
has attributed the weak link to a (010)(001) GB at the bottom of the step (6), recent measurements which probe
the GBs individually (by use of a narrow YBCO lead, formed by shadowing, along the step face) suggest that
it is the symmetric GB at the top which is the weakest.
Fig. 4. TEM crosssection of the upper, symmetric grain boundary in a step-edge grain boundary junction formed at a
step in a LaAlO3 substrate. (Courtesy of Claire Pettiette-Hall of TRW.)
links often show nonideal IV characteristics, as well as excess SQUID inductance. While this technology has
produced high-resistance junctions and working SQUIDs up to 60 K, it has been largely superseded by other
junction processes.
The second type of HTS Josephson junctions based on ion damage rely on ion bombardment at relatively
low energies to create surface damage on a base electrode, which is then overlayed by an epitaxial counterelectrode. Work in this area has included room-temperature oxygenargon and oxygenfluorine-based plasma
treatments (9), as well as low-energy Ar and Xe ion mill processing (10). The surface ion damage approach
avoids the complication of a deposited interlayer and has produced high-quality Josephson junctions with
10
Ic Rn products up to 250 V at 77 K. However, the weak links working at 77 K also typically have current
densities and resistances which are not well-suited to digital and SQUID applications (J c > 105 A/cm2 and
Rn A < 2 10 9 -cm2 ). More recently it has been found that radio-frequency (RF) plasma exposure at 400 to
500 C can produce higher resistance weak links with J c 1 spreads as small as 8% at 4.2 K (11).
Electron-Beam-Damaged Weak Links. Electron-beam-damaged junctions are fabricated by writing a
line across a narrow, 2 m to 10 m wide bridge with an electron beam in a transmission electron microscope
with 120 keV or 350 keV beam energies (12). The electrons are thought to disorder oxygen ions located in
the chains of copper and oxygen which are present in YBCO. The higher damage energy, 350 keV, results in
a weak link that is stable at room temperature, whereas disorder caused by 120 keV electrons starts to heal
even at room temperature. In either case, the evidence for oxygen disorder is mainly found in (a) the annealing
behavior of these junctions which tends to a recovery of the initial, undamaged bridge properties over time
with temperatures <400 C and (b) energy barriers of 1.1 eV which are characteristic of the chain oxygen sites.
Targeted junction properties can be achieved by adjusting the total damage dose and the junction length.
Critical current modulation in a magnetic field indicates that the damage is uniform compared to the scale of
the junction width. The most sophisticated digital circuit demonstrations that have been performed without
integrated HTS groundplanes have used this type of junction. A possible long-term limitation of any sequential
process of writing to form junctions using either electron or focused ion beams is that writing time can become
significant as circuit complexity and junction counts increase. The special equipment required, the lack of
junction stability, and the fact that groundplane integration has not yet been demonstrated are other probable
reasons why this technique has been used in university laboratories but has not been adopted by industrial
labs.
Poisoned Weak Links. An alternative technique for weakening a small area is to dope an HTS film
with a small patch of a material that degrades the superconducting properties. In the example of Simon et al.
(13), a 10 nm thick, several-micron-wide Al stripe was patterned on a substrate before deposition of a 200 nm
thick YBCO film. A weak link formed where a bridge patterned in the YBCO film crossed the Al stripe. The Al
dopant depressed the critical current of the YBCO by two orders of magnitude.
This technique has the benefit of a simple fabrication process but has not been used in recent years. It
is included here for completeness and to illustrate two of the factors that influence junction reproducibility:
control of bridge length and control of interface thickness. Since the ideal length of a coupling region between
YBCO banks is no greater than tens of nanometers, it is preferable that the length is determined by a
reproducible scale such as a film thickness or step height rather than the width of a patterned line. The deposited
interlayer junction configurations described below are designed to use these better-controlled length scales and
to maintain a thinner interface layer between undamaged YBCO banks and a more weakly superconducting
region than one can achieve by an interdiffusion process.
11
Fig. 5. Cross-sectional electron micrograph of a step-edge SNS junction of the type shown in Fig. 2(g), clearly showing
the discontinuity of the YBCO film (dark) over the step, bridged by the AuAg alloy (light). (Courtesy of Mark DiIorio of
Magnesensors Inc.)
Edge-Geometry Weak Links. The HTS edge-geometry weak link is also known as an edge junction or
ramp junction and is shown in Fig. 2(h). This structure typically consists of a c-axis-oriented HTS base electrode
film overlaid by a thick insulator (not necessarily epitaxial) with an edge produced in the bilayer by ion milling
or more rarely by wet etching. An epitaxial normal metal interlayer is deposited on the exposed edge followed
by growth and patterning of the HTS counterelectrode. The SNS edge junction configuration is the most widely
used HTS junction approach, because this geometry offers a number of advantages, including the fact that the
critical superconductor/normal metal (SN) interfaces are located on the longer-coherence-length surfaces of the
superconducting electrodes. The edge structure also enables the fabrication of very-small-area devices using
conventional photolithography because one of the device dimensions is determined by the thickness of the
base electrode film. Not surprisingly, however, successful fabrication of uniform sets of high-quality SNS edge
junctions requires great care in base electrode edge formation. Shallow edges (<40 ) are needed to avoid grain
boundary formation in the counterelectrod, and the edge properties must be independent of edge orientation
for ease of circuit layout. The most common approach to edge formation uses argon ion milling with rotating
substrates and a tapered photoresist mask. Base electrode edge cleaning before growth of the normal metal
and counterelectrode is also important and is usually done by low-energy ion milling or by etching in a dilute
(<1%) solution of bromine in alcohol.
As with trilayer junctions (discussed below), the edge junction approach utilizes an all-epitaxial stack
of base electrode, normal metal interlayer, and counterelectrode which places tight constraints on potential
interlayers. Suitable interlayers must be lattice-matched to the HTS electrodes, grow without pinholes, and be
chemically compatible with the superconductors at the elevated temperatures necessary for epitaxial growth.
These requirements point to the use of materials with similar structures and compositions to YBCO such as
PrBa2 Cu3 O7 (PBCO) or YBaCu3 x Cox O7 (Co-doped YBCO) (16), the two most commonly used interlayers. Note
that the interlayers are often referred to as normal metals, but, in fact range from semiconductors (PBCO)
to superconductors operating above their transition temperature (Co-doped YBCO).
IV characteristics for a set of Co-doped YBCO edge junctions produced at Northrop Grumman are shown
in Fig. 6 (17). The normal metal layer is 50 of YBaCu2.8 Co0.2 O7 . For this chip the average junction parameters,
12
Fig. 6. IV characteristics at 65 K for a chip with junction parameters suitable for SFQ logic. There are nineteen 4 m
wide junctions with 50 Co-doped YBCO interlayers and an average resistance of 0.97 (1 = 6%). The average Ic is
327 A (1 = 13%) and the average Ic Rn product is 315 V (1 = 9%).
Fig. 7. Critical current modulation at 55 K for a 4 m wide SNS junction with La-YBCO base electrode, with the magnetic
field normal to the substrate. The normal metal layer is 50 of Co-doped YBCO, and the junction resistance is 1.1 .
at 65 K, are J c = 4.1 104 A/cm2 with 1 = 13%, Ic Rn = 315 V with 1 = 9%, and Rn A = 7.7 10 9 -cm2
with 1 = 6% (Rn = 0.97 ), values which are suitable for small-scale SFQ circuit applications. Note that
this resistance value is surprisingly high for SNS junctions, as will be discussed in more detail in the section
entitled Advanced Issues. As shown in Fig. 7, the critical current modulation in edge-geometry weak links
can approach the ideally expected |(sin x)/x| behavior, indicating fairly uniform pair current transport through
the normal metal layer (17). Good results have also been obtained for junctions utilizing PBCO and Ga-doped
PBCO interlayers. For example, Verhoeven et al. (18) have produced Ga-doped PBCO edge junctions with
Ic Rn products up to 8 mV at 4.2 K. The data suggest that transport in these devices takes place by resonant
tunneling.
13
Trilayer-Geometry Weak Links. Trilayer-geometry Josephson junctions [Fig. 2(i)] consist of a layered
sandwich structure of HTS, interlayer, and HTS epitaxial films, as well as associated wiring and insulator
layers. This approach most closely resembles the highly successful LTS NbAl tunnel junction process and
consequently has attracted considerable attention. However, the trilayer process does require additional epitaxial insulator and HTS layers for wiring. Another potential disadvantage of this approach is the relatively
large area of the devices, which can lead to unacceptably low values of Rn in many SNS processes, as well as
a greater sensitivity to defects such as pinholes. Some of the earliest work in HTS deposited-interlayer weak
links utilized c-axis oriented YBCO electrodes with a PBCO interlayer (19). Researchers at Varian have used
a molecular beam epitaxy approach to engineer c-axis trilayers on a layer-by-layer basis (20). Most recent
studies of trilayer junctions have focused on a-axis-oriented or (103)-oriented trilayers due to the longer superconducting coherence length parallel to the ab planes, which in principle should lead to larger Ic Rn products.
While it is not clear how an a-axis trilayer epitaxial wiring scheme will deal with the inherently lower J c for
wiring runs along the c-axis direction, some promising results have been obtained with this approach. Sato
et al. (21) have produced (103)-oriented trilayer junctions with 350 PrBaCuO interlayers that exhibit RSJ
IV characteristics at 50 K with J c = 440 A/cm2 , 1 = 38%; Rn A = 1.2 10 7 -cm2 , 1 = 21% (1.3 for a
3 3 m2 junction), as well as sensible magnetic field modulation.
Planar or In-Line Junctions. These junctions, illustrated in Fig. 2(j), consist of a narrow gap (<1 m) in
a YBCO film, bridged by a normal conducting film either situated below the YBCO or deposited on top after
the gap is etched by, typically, a focused ion beam. The normal layer is usually Au or Ag (above the YBCO) or
PBCO (above or below). While the normal metal coherence length of Au is long enough that gaps of order 1 m
should support a critical current, the estimated values of N for PBCO and similar materials are so short that
even devices as short as 0.1 m should not exhibit a critical current. The fact that they do has been used as
evidence to suggest the existence of a long-range proximity effect in these materials, although the existence
of this is controversial. For example, it is possible that the critical current is partly due to YBCO not fully
removed from the gap.
There is little data on the reproducibility of these devices, but it is generally believed that they have little
potential for applications requiring many junctions. In addition, their characteristics are relatively nonideal,
often exhibiting large excess current for example.
Microbridges. If a superconducting bridge is made with a width comparable to the coherence length, ,
it behaves as a Josephson junction. Since is only a few angstroms in YBCO, this is not a practical way to make
a Josephson device. However, if a bridge is wider than , but still less than the penetration depth , it can behave
in many ways as a Josephson junction, for example, exhibiting constant voltage steps in response to applied
microwaves, in this case as a result of magnetic field vortices moving across the bridge in synchronization with
the microwave field. As such they may be useful for SQUID applications, but not for SFQ circuits.
These devices have been fabricated both in the ab direction [Fig. 2(k)], using focused ion beam etching
for example, and in the vertical direction in a c-axis film [Fig. 2(l)]. The latter case is interesting in that
the corresponding penetration depth is long enough (1 m at 77 K) that conventional photolithography
suffices. The c-axis microbridges have demonstrated encouraging Ic uniformity [13% for a few devices (22)],
although critical currents are typically somewhat high, and resistances low, compared to typical application
requirements, suggesting that more aggressive lithography may still be required. Low-inductance multilayer
SQUIDs have been demonstrated, and the fabrication process is quite compatible with the needs of a multilayer
process.
14
Fig. 8. Schematic cross section of a multilayer process incorporating edge SNS junctions over an HTS groundplane. The
horizontal shading of the YBCO layers indicates the direction of the copperoxide planes. All layers are expitaxial except
for the Au, used for contacts.
Circuit Integration
Multilayer Circuit Requirements. Multilayer structures are required for many important applications
of HTS junctions including digital circuits, SQUIDs with integrated pickup coils, voltage standards, and phase
shifters, among others. Junction-specific requirements on Ic and Rn for some of these applications have already
been discussed in the section entitled Overview. It is desirable that these junction properties be independent
of location in a multilevel structurefor example, on or off of groundplanes. This is a nontrivial constraint
because junction performance is often intimately related to details of film microstructure which can be affected
by growth over the underlying layers in a multifilm stack. Multilayer circuits also require good electrical
isolation (>104 -cm) between superconducting layers, using insulators with low dielectric constants and
low losses, if high-frequency applications are the objective. High-critical-current-density vias and crossovers
(>105 A/cm2 ) are essential for most HTS circuit applications. Digital circuits also call for the integration
of superconducting groundplanes to produce the low-inductance SQUIDs and interconnects needed for SFQ
logic. More complex circuits can require additional epitaxial insulators and wiring levels as well as integrated
resistors.
Fabrication Issues. A cross-sectional schematic view of the multilayer structure used to integrate edge
SNS junctions on an HTS groundplane is shown in Fig. 8. A minimum of four mask levels and six epitaxial oxide
film layers are needed for this process. Additional epitaxial film layers are often used such as buffer layers
between the substrate and groundplane, or passivation layers above the groundplane, but these additional
layers do not alter the basic processing steps.
The substrate selected for the most complex multilayer structures is single-crystal, perovskite-structure
NdGaO3 which is representative of the other candidate substrates. The (110) and (001) faces of NdGaO3 are
virtually identical in providing a square two-dimensional lattice with approximately a 1% lattice mismatch for
growth of c-axis-oriented epitaxial YBCO films.
The most important requirements for YBCO groundplane films are magnetic penetration depths, (T),
close to intrinsic values and smooth surfaces. These properties can be achieved, in principle, by films grown
by a number of different techniques. In practice, pulsed laser deposition, sputtering, and co-evaporation are
the three techniques most commonly used for multilayer film growth. The effect of the penetration depth on
circuit inductance is discussed in the next section. The smoothest films, those with approximately 1 nm rootmean-square (rms) roughness, have less than 103 /cm2 of the outgrowths that are commonly found in YBCO
films. Most of the outgrowths are second-phase copper oxide particles that are 0.5 mm to 1.0 mm in diameter
and grow higher than the film surface by a distance comparable to the film thickness.
As long as a copper oxide outgrowth does not form in a location where a junction is patterned, it does
not have a deleterious effect on YBCO film properties. For applications of YBCO films requiring a single layer,
15
such as bandpass radio frequency (RF) filters, no effort is made to minimize them. In fact, their presence is
a sign that excess copper available at the growing film surface has been consumed, leaving behind a matrix
of stoichiometric YBCO which has optimized properties. However, outgrowth density must be minimized by a
slightly copper-deficient film composition for multilayer circuits to maintain electrical isolation between layers
by the epitaxial insulators.
The epitaxial insulator that grows with the best edge coverage and smoothest surfaces is SrTiO3 . Its high
dielectric constant, on the order of = 500, is not a problem for low-frequency applications such as SQUID
magnetometers. However, there is no consensus materials choice at present for high-speed digital circuits
where a dielectric with = 10 to 30 is a practical maximum. Examples of relatively low- materials used as
epitaxial insulators are Sr2 AlNbO6 and Sr2 AlTaO6 .
Since the integration level is very low at this stage of the development of HTS circuits, demands on
lithography are minimal, and this is usually performed with contact lithography and standard resists. However,
to avoid formation of step-edge grain-boundary junctions where interconnects cross steps in underlying films,
an etch process is required that results in sidewalls that are sloped just 5 to 30 from the plane of the
substratesimilar to the angles used for edge SNS junction fabrication. Tapered edges are obtained by ion
milling with Ar or Ar/O2 mixtures with the ion beam at an angle with respect to the substrate and the substrate
rotating about its normal.
Because the surface of YBCO reacts with air and photoresist to form an amorphous layer of carbonates
and hydroxides 2 nm to 10 nm thick, the surface must be cleaned after lithography and before a subsequent
epitaxial film layer can be grown. In the case of edge SNS junctions shown in Fig. 8, the most important
exposed surface is the edge cut in the base electrode which will serve as the template for growth of an N-layer
and YBCO counterelectrode. Some combination of oxygen plasma ashing, blanket removal of the reacted layer
by ion milling, and wet chemical etching, typically with brominealcohol mixtures, is performed to prepare
surfaces for the next film deposition.
Figure 8 shows that a Au contact layer is typically used to complete this multilayer structure. The contact
layer is normally deposited in situthat is, after the YBCO counterelectrode layer has been deposited and
cooled to room temperature in oxygen, but before it has been exposed to air. In situ Au deposition lowers contact
resistance and improves adhesion. Other room-temperature film layers may be required for resistors and for
electrical isolation of the resistor layers, but they do not require the same care that must be taken for film
layers grown at high temperature.
Groundplanes. As discussed in the section entitled Application Requirements, digital circuits require
low inductances, of order a few picohenries, to ensure that the LIc product is about a flux quantum. The
standard way to keep inductances low is to incorporate a superconducting ground plane above or below the
active devices, which tends to confine the magnetic field in the relatively small volume between the two (or
more) superconductor layers. Calculation of inductance is also simplified for this geometry since the problem
is essentially reduced to counting squares and using the expression for the inductance per square of such a
microstrip line:
where d is the insulator thickness, b1 and b2 the superconductor thicknesses, and is a factor that determines
the field strength at the center of the finite width microstrip. The long penetration depth of YBCO, compared to
Nb for example, means that the inductance per square is much higher. For example at 65 K we have 1 = 2 =
0.23 nm, so for d = b1 = b2 = 0.2 nm and 1, we have Lsq 0.84 pH. Contrast this with the case for Nb at 4.2
K, where 0.04 nm and Lsq 0.35 pH. Thus the circuit-driven constraints of low inductance are particularly
16
Fig. 9. An example of a simple multilayer HTS circuit, a 1-bit analog-to-digital converter, fabricated with edge SNS
junctions over an HTS groundplane. The lightest areas are the gold-coated counterelectrode, while the darkest are the
base electrode. The process used to produce the circuit is extendible to much more complex circuits, provided that junction
critical current uniformity can be improved sufficiently.
difficult to meet for HTS materials. Given the need for high Ic for thermal stability, the requirement that LIc
be about a flux quantum is particularly difficult to achieve, suggesting the need for novel gate layouts in HTS.
The integration of a YBCO groundplane has been demonstrated for several HTS junction types, including
step-edge SNS, step-edge grain boundary, and edge SNS junctions. Measured inductances are consistent with
other measurements of the penetration depth.
Example Circuits. The key factor in determining the yield of working HTS circuits is the degree of
control over junction critical currentsespecially the on-chip spread. The more complex the circuit, the tighter
the Ic spread must be, although the numerical relationship between Ic spread and circuit yield depends on the
circuit margins. While LTS SFQ circuits often exhibit wide margins, of order 30% or more, extrapolating such
values to HTS operation at 40 K to 65 K is controversial. If these margins do hold out, possibly in cases where
bit-error rate is not a driving concern, then spreads of 15% should allow, based purely on statistical arguments,
yields of 50% for circuits with up to about twenty junctions (24). In fact a number of such circuits have been
demonstrated at up to about 65 K, at least at low speed, bearing out some optimism.
Demonstrated HTS gates or circuits include digital devices such as logic gates (OR, AND, etc.), set-reset
flip-flops, toggle flip-flops, sampling circuits, and shift registers, as well as analog devices such as SQUID
amplifiers. For digital devices, in which all of the junctions need to work for correct operation, the highest
junction count is about 30. Figure 9 shows an example of a 10-junction circuitthe first stage of a low-power
analog-to-digital converter, fabricated with edge SNS junctions over a ground plane.
So far there has been very little work to quantify the experimental margins of such HTS circuits. Should
the more pessimistic estimates of HTS SFQ margins be borne out, then it may be necessary to rely on voltagestate logic, where increased power dissipation will erase one of the major advantages of superconductivity, or
on multi-flux-quantum schemes, several of which have been proposed.
Manufacturability of HTS circuits will also require that chip-to-chip parameter spreads be well controlled.
For a single circuit it may be feasible to tune the operating temperature to, for example, adjust the average
17
critical current to the desired value, but this is clearly not practical for a system consisting of several separately
manufactured superconducting circuits. Adjustment of overall circuit biases is a more practical solution to
poorly targeted critical current values but will significantly increase costs due to the need for increased circuit
testing and qualification. Thus ultimately it will be necessary to control chip-to-chip parameter reproducibility
to the level of a few percent at most, which will be a significant challenge for these complex materials.
There is no single answer to the question of what is the best application for HTS Josephson junctions.
However, a consensus of those working in the field believes that applications that utilize the analog precision
of Josephson devices constitute the most promising niche where Josephson devices can surpass semiconductor
circuits. Thus there is an emphasis on high precision analog-to-digital and digital-to-analog converters in such
applications as radar, communications, and precision instrumentation. For example, an A-to-D converter with
20 bits of accuracy on a 10 MHz signal bandwidth would leapfrog semiconductor A-to-D converters, based on
their historical rate of progress, by about 10 years. A potential application of such a converter is in radar,
where it is desired to pick out a small target (airplane, missile) from a large clutter signal (rain, mountainous
terrain, waves). Such a circuit should contain, depending on the details of the architecture, anywhere from
several hundred to several thousand Josephson junctions. Assuming circuit margins of 30% (which may be
optimistic), the required junction critical current spread for 50% yield, based on the calculations of Ref. 23,
would be approximately 10% to 8%. Should the margins be reduced to, for example, 15% due to thermal noise
issues, then the required spread would be more like 5% to 4%.
The issue of operating temperature is also of crucial importance, and is influenced by the potential circuit
performance, as limited by thermal noise, and the availability, reliability, size, weight, and cooling power of
the cryocooler. For example, a typical Stirling-cycle cooler with 0.3 Watts of heat-lift at 4.2 K would have an
input requirement of some 1500 W, and would weigh about 250 pounds. This power and weight probably rules
out the application of LTS circuits in most airborne platforms. On the other hand a Stirling cooler with 4 W
of heat lift at 77 K would only require about 100 W of input power, and should weigh only about 10 pounds,
making airborne deployment much easier. Operating at 40 K, should thermal noise require it, might reduce
the available heat lift to 0.4 W, which should still be sufficient circuits of several thousand junctions.
Advanced Issues
Proximity Effect. When a superconductor and normal metal are brought into contact, Cooper pairs
from the superconductor can diffuse into the normal metal. Due to phonon-induced pair breaking, the pair
amplitude (also known as the superconducting order parameter or wavefunction) in the normal metal decays
exponentially over a decay length defined as the normal metal coherence length, n . In the clean limit where
ln , the mean free path in N, is much greater than n , the coherence length is given by
where the diffusion constant, Dn equals vn ln /d and d is the dimensionality. Theories describing the details
of the superconductornormal-metal proximity-effect interaction have been developed for a variety of cases
including back-to-back SN contactsthat is, the SNS weak link (24,25). In the SNS Josephson junction,
18
Fig. 10. Critical current versus temperature for a YBCO/CoYBCO/YBCO edge SNS junction. The solid line is a fit to
the proximity effect theory of DeGennes. Despite the fact that the junction is nonideal, in that it exhibits a large interface
resistance, it still appears to exhibit behavior consistent with the proximity effect.
pairs from each superconducting electrode leak into the normal metal interlayer and the overlap of the
exponentially decaying pair amplitudes determines the strength of interaction between the superconductors.
Consequently, the magnitude of the Josephson critical current scales as exp[L/n (T)], where L is the normal
metal bridge length. More specifically, in the dirty limit for long SNS bridges (L n ) relatively close to T c
(T > 0.3T c ), it is found that
where i is the superconducting gap at the superconductornormal-metal interface. This equation indicates
that the critical current of an SNS weak link should also vary exponentially with temperature, because of
the (T) 1/2 temperature dependence of the dirty-limit normal-metal coherence length. The exponential length
and temperature dependence of the critical current are the distinguishing signatures of true proximity effect
devices. Indeed, there are a number of examples of HTS SNS devices which are largely consistent with proximity
effect theory, most notably the junctions using Co- or Ca-doped YBCO as the normal metal layer. An example
of exponential critical current dependence on temperature for a Co-doped YBCO SNS edge junction is shown
in Fig. 10, along with a proximity theory fit to the data (26). However, it is often found that HTS devices
with a nominal SNS configuration do not show an exponential critical current dependence on temperature. In
fact, such devices commonly exhibit a quasilinear temperature dependence, which may indicate that pinhole
conduction through the normal metal is dominating the electrical characteristics (25).
Control of Resistance in SNS Devices. The normal state resistance of an SNS weak link is given
by the sum of the normal metal resistance plus the resistance of each of the two SN interfaces. In the ideal
SNS device the interface resistances are zero and the total device resistance is just Rn = n L/A, where n is the
normal metal resistivity, L is the normal metal thickness, and A is the cross-sectional area. For typical values
of these parameters in an SNS edge junction with a YBa2 Cu2.8 Co0.2 O7 normal metal layer at 65 K (n = 250
-cm, L = 100 , and A = 4 0.2 m2 ) we find Rn = 0.03 . In practice, such low values of resistance are
often undesirable. For example, for SFQ digital applications at 65 K junctions are biased at a fixed current
of order 500 A so that the available Ic Rn product with Rn = 0.03 is only 15 V, far less than the required
value of approximately 300 V. Increasing SNS device resistances to a practical level requires adding interface
resistance without degrading the inherent Ic Rn product. In principle, this can be done in at least two ways: (1)
19
by incorporating an inhomogeneous interface resistance to reduce the effective device area or (2) by producing
a thin insulator at one SN interface to form an SINS structure. In practice, different groups have seen widely
varying values of SNS resistance, ranging from the ideal but impractical case of very low Rn A (16) to the more
technologically interesting case of high-Rn A devices (27).
For SNS weak links using Co-YBCO as the normal metal, it has been found that the interface resistance is
sensitive to a variety of factors including the base electrode material and the normal metal and counterelectrode
deposition conditions (17). For example, SNS devices using YBa2 Cu3 O7 base electrodes grown by pulsed laser
deposition (PLD) exhibit more than an order of magnitude lower resistance than devices with La-doped YBCO
base electrodes (YBa1.95 La0.05 Cu3 O7 ), or GdBa2 Cu3 O7 or NdBa2 Cu3 O7 base electrodes. Varying the normal
metal and counterelectrode growth parameters can also have a dramatic effect on device resistance: Highpressure PLD growth in an ArO2 atmosphere results in Rn A products over a factor of 10 smaller than for
devices produced using the more conventional PLD deposition conditions in a pure oxygen background. While
the detailed nature of the interface resistance is not understood at this point, the base electrode material
dependence suggests that cation disorder (e.g., Y and Ba exchange) is affecting device resistance. The fact that
the growth conditions of the normal metal also have a strong effect on SNS resistance indicates that defects
frozen in in the early stages of normal metal growth may also play an important role in determining interface
resistances. Because SNS interface resistances are strongly affected by a number of material and fabrication
parameters, it is possible to control SNS device resistances over two to three orders of magnitude, with Rn A
products ranging from 0.03 -m2 to more than 10 -m2 . Importantly, even in the relatively high Rn A limit
required for SFQ applications (0.5 -m2 to 2 -m2 ), Co-doped YBCO SNS devices incorporating significant
interface resistance still behave like true proximity effect devices (see, for example, Fig. 10) with parameter
uniformity suitable for small-scale SFQ circuits.
Limits on Reproducibility. Speculation on the origins of parameter spreads have led to many experiments in fabrication of edge SNS junctions. Surprisingly, the fabrication parameters which result in junction
resistances greater than Rn = n L/A do not appear to systematically contribute to larger spreads in critical
currents or other junction parameters. Similarly, the uniformity of current flow through a junction which can
be inferred from Ic (B) indicates that junctions with significant interface resistance maintain uniform current
distributions.
Poor control over many fabrication parameters will certainly result in junction spreads worse than the
state of the art. A good example is the roughness of YBCO base electrodes which gets transferred into the edge
by patterning with Ar ion milling. While improvements from 10 nm to 2 nm rms surface roughness provide
a measurable benefit for junction reproducibility, further improvements in smoothness have had a negligible
effect. A second example is that junctions facing in all four in-plane directions sometimes exhibit a distribution
of critical currents that is direction-dependent. However, when all processing steps are made isotropic, stateof-the-art junction uniformity can be achieved as easily in a set of junctions facing in four directions as in a set
facing just one way.
These results have led us to examine defects that are intrinsic to YBCO. The role of oxygen disorder in
YBCO has been investigated in several types of edge junction experiments. Decreasing the number of oxygen
vacancies by plasma oxidation or annealing in ozone simply scales Ic for all treated junctions by a constant
factor as large as five. Experiments in which orthorhombic YBCO electrodes were replaced by doped YBCO
compounds which were tetragonal have been inconclusive in determining the possible role that twinning in
YBCO might have on parameter spreads. Junctions were fabricated to face in 110 in-plane directions instead
of the standard 100 directions to minimize the effects attributable to twinning, but no improvement in junction
uniformity was observed.
Finally, the fact that similar best-case critical current spreads are observed for different junction fabrication processes using the same base electrode materials suggests that microstructural defects in the base
electrode or base electrode edge are limiting Ic spreads. Further improvements in materials quality and edge
formation techniques are expected to lead to improved junction spreads.
20
Conclusions
Josephson junctions based on YBCO are the fundamental building blocks for a variety of superconducting
electronics applications operating at temperatures >50 K. The properties of individual junctions fabricated
in a variety of configurations are sufficiently close to ideal Josephson behavior to meet application requirements. However, integration of junctions into multilayer circuits and demands on reproducibility of junction
parameters when higher junction counts are needed have narrowed development efforts to a few promising
configurations. Most of the current HTS circuit fabrication effort in industrial laboratories is based on edge
SNS junctions which have been used for the most sophisticated and extendible digital circuit demonstrations. Further incremental improvements in the uniformity of these junctions to 1 Ic spreads less than 10%
will permit medium-scale integrated circuit fabrication. A parallel effort, mainly by university researchers,
is exploring higher-risk alternative junction configurations intended to circumvent some of the limitations to
junction uniformity that may exist for edge junctions.
BIBLIOGRAPHY
1. T. Van Duzer, C. W. Turner, Principles of Superconductive Devices and Circuits, New York: Elsevier, 1981.
2. D. Robbes et al., The ac Josephson effect in constrictions engraved in bulk YBa2 Cu3 O7 and dc SQUID operation at 77
K, Nature, 331: 151153, 1988.
3. B. H. Moeckly, D. K. Lathrop, R. A. Buhrman, Electromigration study of oxygen disorder and grain boundary effects in
YBCO thin films, Phys. Rev. B, 47: 400, 1993.
4. D. Dimos et al., Orientation dependence of grain-boundary critical currents in YBa2 Cu3 O7 bicrystals, Phys. Rev. Lett.,
61: 219222, 1988.
5. K. Char et al., Bi-epitaxial grain boundary junctions in YBa2 Cu3 O7 , Appl. Phys. Lett., 59: 733735, 1991.
6. K. Herrmann et al., Characterization of YBa2 Cu3 O7 step-edge junctions, Supercond. Sci. Technol., 4: 583586, 1991.
7. J. Luine et al., Characteristics of high performance YBCO step-edge junctions, Appl. Phys. Lett., 61: 11281130, 1992.
8. M. J. Zani et al., Focused ion beam high T c superconductor dc SQUIDs, Appl. Phys. Lett., 59: 234236, 1991.
9. R. B. Laibowitz et al., All high T c edge junctions and SQUIDs, Appl. Phys. Lett., 56: 686688, 1990.
10. B. D. Hunt et al., High Temperature Superconductor Josephson Weak Links, in S. I. Raider et al. (eds.), Low Temperature
Electronics and High Temperature Superconductivity, Electrochemical Soc. Proc., vol. 93-22, Pennington, NJ: The
Electrochemical Society, 1993, pp. 462472.
11. B. H. Moeckly, K. Char, Interface Engineered High T c Josephson Junctions, in H. Koch and S. Knappe (eds.), ISEC 97
6th Int. Supercond. Electron. Conf. Extended Abstracts, vol. 1, Braunschweig, Germany: PTB, 1997, pp. 810.
12. S. K. Tolpygo et al., High quality YBa2 Cu3 O7 Josephson junctions made by direct electron beam writing, Appl. Phys.
Lett., 63: 16961698, 1993; A. J. Pauza et al., Electron beam damaged high-T c junctions stability, reproducibility and
scaling laws, IEEE Trans. Appl. Supercond., 5: 34103413, 1995.
13. R. W. Simon et al., Progress Towards a YBCO Circuit Process, in R. McConnell and S. A. Wolf (eds.), Science and
Technology of Thin Film Superconductors II, New York: Plenum, 1990, pp. 549560.
14. R. H. Ono et al., High-T c superconductor-normal metal-superconductor Josephson microbridges with high-resistance
normal metal links, Appl. Phys. Lett., 59: 11261128, 1991.
15. M. S. DiIorio et al., Manufacturable low-noise SQUIDs operating in liquid nitrogen, Nature, 354: 513515, 1991.
16. K. Char, L. Antognazza, T. H. Geballe, Properties of YBa2 Cu3 O7x /YBa2 Cu2.79 Co0.21 O7x /YBa2 Cu3O7x edge junctions,
Appl. Phys. Lett., 65: 904906, 1994.
17. B. D. Hunt et al., High-resistance HTS SNS edge junctions, Appl. Supercond., 1998, in press.
18. M. A. J. Verhoeven et al., Ramp-type junction parameter control by Ga doping of PrBa2 Cu3 O7 barriers, Appl. Phys.
Lett., 69: 848850, 1996.
19. C. T. Rogers et al., Fabrication of heteroepitaxial YBa2 Cu3 O7x /PrBa2 Cu3 O7x /YBa2 Cu3O7x Josephson devices grown
by laser deposition, Appl. Phys. Lett., 55: 20322034, 1989.
21
20. J. N. Eckstein, I. Bozovic, G. F. Virshup, Atomic layer-by-layer engineering of high T c materials and heterostructure
devices, MRS Bull., 19 (9): 4450, 1994.
21. H. Sato, S. Gjoen, H. Akoh, Improvement of junction properties of YBaCuO/PrBaCuO/YBaCuO trilayer Josephson
junctions, IEEE Trans. Appl. Supercond., 7: 25102513, 1997.
22. S. W. Goodyear et al., Vertical c-axis microbridge junctions in YBa2 Cu3 O7 /PrBa2 Cu3 O7 thin films, IEEE Trans. Appl.
Supercond., 5: 31433146, 1995.
23. D. L. Miller, J. X. Przybysz, J.-H. Kang, Margins and yields of SFQ circuits in HTS materials, IEEE Trans. Appl.
Supercond., 3: 27282731, 1993.
24. P. G. DeGennes, Rev. Mod. Phys., 36: 225, 1964.
25. K. A. Delin, A. W. Kleinsasser, Stationary properties of high critical temperature proximity effect Josephson junctions,
Supercond. Sci. Technol., 9: 227269, 1996.
26. M. G. Forrester, unpublished, 1997.
27. B. D. Hunt et al., High-T c SNS edge junctions and SQUIDs with integrated groundplanes, Appl. Phys. Lett., 68:
38053807, 1996.
BRIAN D. HUNT
MARTIN G. FORRESTER
JOHN TALVACCHIO
Northrop Grumman Science and Technology Center
Hysteresis Loss
In type I superconductorsfor example, pure metals with defect-free latticesthe magnetic field does not
penetrate the bulk of the material and the superconducting shielding currents flow only at the surface. Such
materials have reversible magnetization and no hysteresis loss, with B = 0 and M = 0 H (Meissner effect)
inside the material.
In type II superconducting materials used for practical applications, both low and high T c , the surface
shielding currents have a marginal role for the magnetization and the flux penetrates the bulk of the conductor.
The nonreversible magnetization of type II superconductors is the reason for the hysteresis loss (1 2 3). The
energy loss per unit volume of superconducting material, Q, can be written in a general form for a closed cycle
of applied magnetic field B (e.g., an oscillation at grid frequency, a chargedischarge cycle of a magnet, or the
superposition of an ac field on the background field)
where M is the average value of the magnetization inside the superconductor. The explicit expression for the
local magnetization, needed to evaluate the integral, depends on the superconductor geometry and on the
model selected to describe the magnetic flux penetration and the flux profiles inside the superconductor.
The formulas quoted below are for cylindrical superconducting filaments of diameter D: whenever the
real cross section of the filaments is not round (e.g., oval, dendritic, or hollow filaments or clusters of bridged
filaments), the parameter D in the formulas should be considered as an equivalent diameter. For tapes and
flattened filaments with high aspect ratio (e.g., for some high T c conductors), the formulas for an infinite slab
can be used as a convenient approximation.
The Magnetization Curve. A dc magnetization curve for a type II superconductor is shown in Fig. 1.
After cooldown, at zero field, M = 0. As an external magnetic field is initially applied, the shielding currents at
the filament surface prevent the flux penetration into the bulk superconductor. The diamagnetism is perfect
(i.e., M = 0 H) as long as the applied field does not exceed the first critical field, Bc1 . In a type II superconductor,
total flux exclusion (the Meissner effect) occurs only at the beginning of the first, virgin magnetization: if the
superconductor is cooled down in the presence of a magnetic field, no flux exclusion occurs.
Above Bc1 , the average magnetization increases until the flux penetrates to the center of the filament, at
B = Bp1 . As the field increases, the diamagnetism decreases (upper branch of the curve) and the magnetization
eventually becomes 0 at the upper critical field, B = Bc2 (not shown in Fig. 1). When the field is decreased, the
flux profiles reverse their gradient in the filament and the average magnetization is >0 (lower branch of the
curve). At B = 0, the flux trapped in the filaments is called residual magnetization.
The magnetic flux enters the filament as discrete flux quanta. The diffusion of the flux quanta in the
bulk type II superconductor is restrained by the pinning centers, which establish field gradients (flux profiles)
inside the filaments. The pinning centers are microscopic normal zones (e.g., metallurgical or lattice defects)
that provide a potential hole to trap the flux quantum. According to the critical state model, a supercurrent
encircles each pinning center: the strength of the pinning centers (i.e., their ability to hold the flux quanta)
is a function of the fraction of the external field to the critical field, b = B/Bc2 (T, ) where is the mechanical
strain. Strong pinning centers are able to store large density of magnetic energy. The magnetization current
density J c is directly proportional to the volumetric density of the pinning forces in the superconductor.
The penetration field Bp is the field difference between the filament surface and the electrical center line
(see Fig. 2): in Beans model (4), J c is assumed constant inside the filaments for a given b, and Bp is a linear
function of the critical current density. For a round superconducting filament of diameter D, the penetration
field Bp (b), in perpendicular and parallel orientation, is proportional to the density of the magnetization
Fig. 2. Penetration field in a superconducting cylinder of diameter D in parallel applied field (or infinite slab of thickness
D), without (left) and with (right) transport current. The dashed profile models the lower branch of the magnetization
curve.
currents flowing respectively in the axial (J c ) and the azimuthal (J c ) direction (5, 6)
For an infinite slab of thickness 2a, with applied field parallel to the slab surface, the penetration field is
A partial magnetization loop occurs when a small external field variation is superimposed on a background
dc field. If the amplitude of the field change, B, is larger than 2Bp , full penetration is achieved, that is, the
magnetization moves from the upper to the lower branch of the curve.
It is questionable how far Beans model for linear flux profiles is an acceptable approximation. When the
penetration field is much smaller than the applied field, Bp B, the J c variation over Bp can reasonably be
neglected. As Bp is proportional to the filament size, the range of field over which Beans model reliably applies
is larger for thin-filament superconductors. Whenever Beans model is not considered adequate, an explicit
formula for J c (b), such as the expression proposed by 7
must be substituted in Eqs. (2) and (3) (B0 and are fitting parameters). The magnetization and loss formulas
become more complex using Eq. (4, but the improvement in the accuracy of the loss results is not dramatic. At
very low field, when the linear profile approximation is rough, the parameters in Eq. (4) cannot be satisfactorily
fitted by experimental results, as a direct measurement of Ic close to 0 field is impossible due to the self-field
and instabilities. The formulas below apply only to the linear profile assumption. An example of hysteresis loss
formulas using a nonlinear profile approach can be found in 8.
Integrating in cylindrical coordinates the linear flux profiles from Eqs. (2) and (3) over the filament
volume, the upper and lower branches of the magnetization curve are obtained as explicit functions of the
critical current density and filament diameter. In perpendicular and parallel applied field orientations the
average magnetization per unit volume, according to 6, is
Basic Formulas for Hysteresis Loss. Substituting Eq. (5) into Eq. (1), the hysteresis loss for a closed
field cycle of amplitude B = Ba Bb is obtained as a function of the average critical current density or the
average penetration field, defined by
and
The results of the integration are summarized in Table 1 for the three cases of an infinite slab of thickness 2a
with field parallel to the slab surface and a cylinder with diameter D perpendicular and parallel to the applied
field. The formulas are different for partial penetration (B 2Bp ) and full penetration (B 2Bp ). A further,
easier formula is proposed for B 2Bp : this formula overestimates the loss. The shaded areas in (Fig. 1) give
a measure of the excess, which is accounted for by using the formula reported in Table 1 for B 2Bp .
For a given B, the loss maximum occurs when B = 2Bp . The loss maximum, Qmax , reported in Table 1,
is a fraction of the magnetic field energy density; it does not depend on the critical current, critical temperature,
strain, or filament diameter. In some cases, it may be useful to use Qmax to get a feeling for the worst-case loss
without performing time-consuming calculations. For a given B, the filament parameters J c and D determine
the reduced field b for which B = 2Bp (b) is fulfilled, that is, the loss is maximum (9). The loss formulas
in Table 1 may also be written as a fraction of Qmax or of the magnetic field energy density B2 /20 , thus
introducing a dimensionless loss factor, which is, for a given geometry, only a function of B/Bp (b) (10). In
Table 1, Q is the hysteresis loss per unit filament volume and J c is the filamentary critical current density.
For some superconducting strands, such as Nb3 Sn and high T c superconductors, the noncopper critical current
is referred to instead of the filamentary critical current density. Whenever the exact filament fraction is not
known, it is possible to use the loss formulas for B 2Bp , replacing J c by the noncopper critical current Ic .
The hysteresis loss is then expressed in joules per meter of conductor length.
Anisotropy and Variable Angle Orientation. Due to the integration path of the flux profiles in the
axial and radial direction of the cylindrical filament, the ratio of the magnetization in parallel and perpendicular
field orientation is, according to Eq. (5),
The difference observed in the amplitude of magnetization measurements at 0 and 90 orientation is larger
than 4/ and reveals of the anisotropy of the critical current density, that is, J c = J c .
In NbTi filaments, the largest source of pinning centers is the precipitation of -Ti and cell dislocation
(11). During the manufacturing process (drawing and annealing), the pinning centers are created and strongly
oriented in the axial direction. The pinning forces are very anisotropic, resulting in a critical current density
much larger in the azimuthal direction than in the axial direction. The ratio J c /J c in NbTi conductors is
a function of the field and also depends on the manufacturing history (filament size, alloy composition, cold
work): from experimental magnetization measurements, the critical current anisotropy is J c /J c 3 (12).
In Nb3 Sn conductors, the major source of pinning centers is the grain boundaries that form during the
reaction heat treatment. The anisotropy of the critical current density is linked to the grain orientation, which
Fig. 3. Areas of the magnetization loops versus the orientation angle between filaments and applied field. Single core NbTi
strand, D = 127 m. From 6. Reprinted from Cryogenics, 18, A. P. Martinelli and B. Turck, Some effects of field orientation
on the magnetization of superconducting wires, pp. 155161, copyright 1978, with permission from Elsevier Science.
is influenced by the heat treatment schedule. The Sn diffuses radially into the Nb filaments, and the Nb3 grains
are elongated in the radial direction, giving a higher density of the boundary lines for the axial critical current
(13). Typical values of the anisotropy in filamentary Nb3 are J c /J c 0.5 (14).
The anisotropy of the critical current density should not be confused with the variation of the transport
critical current as a function of the orientation angle of the applied field, J c (B ). The azimuthal critical current
density, J c , to be used for the hysteresis loss in parallel field orientation, is not the same as the transport
critical current measured with parallel field orientation, J c = J c (B ), but J c J c (B ). For both NbTi and Nb3
Sn conductors, a larger transport current has been observed in the parallel applied field, J c (B ) > J c (B ) (6,
14,15,16).
The orientation of the superconducting filaments in cabled conductors with respect to the cable axis
changes continuously over a broad range of angles. For large, multistage conductors, average strand angles
of 16 to 25 are commonly observed: the range of the strand angles and its statistical distribution depend
on the number of cable stages and the pitch sequence. The hysteresis loss at intermediate angles cannot be
interpolated from the formulas in parallel (0 ) and perpendicular (90 ) fields. The behavior of the loss as a
function of the angle has been observed to be not monotonic, with a peak around 30 and a minimum at small
angle (<10 ); see Fig. 3 from 6. The interference of the magnetization currents flowing in longitudinal and
azimuthal directions distorts the flux profiles and does not allow a practical definition of the penetration field.
At angles close to 0 , the flux profiles adjust themselves for consecutive field cycles and the loop area decreases
until a reproducible magnetization is obtained after 10 to 20 cycles. An attempt to model the magnetization at
intermediate angles can be found in 17.
Filament Diameter. The filament diameter is a key parameter for the hysteresis loss formulas. It can
be either estimated directly from metallographic examination of the strand cross section or deduced from the
magnetization and critical current measurements.
For most of the commercial NbTi strands, the magnetization currents are confined to the individual
filaments: permanent currents linking groups of filaments by proximity effects are observed only in very thin,
highly packed filaments, with submicron interfilament spacing (11). The critical field for proximity effects,
Bc1p , is a function of the temperature, transport current, ratio of spacing to filament size, matrix resistivity
and impurities, twist pitch, and sample length (18,19,20).
For A15 superconductors, as well as for high-temperature superconductors, the estimation of the filament
diameter from metallographic investigation is not accurate. In the Nb3 Sn strands, because of different access
to the Sn source (for both bronze method and internal Sn strands), the filaments do not all grow to the same
size. A nonreacted Nb core may be left in some region of the filamentary zone, turning the Nb3 Sn cylinders
into hollow cylinders. A major problem affecting the assessment of the filament diameter in Nb3 composites is
bridging: when the Nb filaments are tightly packed in the matrix, the Nb3 Sn layers grow during the reaction
heat treatment to build either continuous superconducting links between filaments (21) or mechanical contacts
(22), which behave like the proximity effects. The density of bridging is a function of the spacing to filament
ratio s/d (or local area ratio between CuCuSn matrix and Nb filaments) and of the heat treatment schedule
(23). The superconducting properties of the bridges linking the filaments may be different from those of the bulk
filament: at higher field, temperature, or strain, some of the links may become too weak for the magnetization
currents. In these cases, the filament diameter is a function of b. The paths of the magnetization currents in a
cluster of randomly bridged filaments cannot be analytically modeled: whenever bridging occurs and the loss
formulas for cylinders are used, the equivalent filament diameter must be determined from the magnetization
curve, preferably at different b.
Three main methods are used to derive the filament diameter from the magnetization measurement in
a perpendicular field. All methods use Beans model and assume that the filament critical current density
is directly measured in the same field range. The same methods can also be applied to deduce the critical
current density once the filament diameter is known (e.g., to estimate J c or the low field J c , when a direct
measurement of Ic is not possible).
Diameter from the Penetration Field. The minimum field change to move from the upper to the lower branch
of the magnetization curve (see Figs. 1 and 2) is Bp = 2Bp . The filament diameter can be estimated using
Eq. (2) or (3) and Bp (B) from the magnetization curve. The advantage of this method is that no calibration
of the magnetization is necessary to estimate Bp .
Diameter from the Amplitude of the Magnetization. The filament diameter can be deduced using Eq. (5)
from the amplitude of the magnetization, measured as half of the distance from the lower to the upper
branch of the curve. The accuracy of this method is limited by the calibration of the magnetization.
Diameter from the Energy Loss of a Closed Field Cycle. The hysteresis energy loss for a closed field cycle
(magnetization loop) can be estimated either by the line integral of the magnetization curve, according
to Eq. 1, or by the calorimetric method, after subtracting the coupling loss contribution, if any. If the
calorimetric method is used, the magnetization does not need to be calibrated. According to the amplitude
of the applied field B (Bp > B or Bp < B), the measured energy is compared with the formulas in
Table 1 to deduce the filament diameter.
Crossing the Zero Field. The formulas for magnetization and hysteresis loss have limited validity
at low applied fields, especially at the zero-field crossing. On one side, the linear flux profile approximation
(Beans model) is very rough at fields smaller than the first penetration field, and below Bc1 the surface screening
currents prevent any flux change inside the filament. On the other hand, non-current-carrying superconducting
materials are sometimes included for manufacturing reasons in technical superconducting strands, resulting
in low field perturbations of the magnetization curve. In soldered cables, the low field superconductivity of the
solder may also play a similar role.
Fig. 4. Magnetization loop of a Nb3 Sn multifilamentary strand with Nb + Ta diffusion barrier. The low field peak of the
magnetization is due to the pure Nb shell with diameter 0.5 mm.
In thin filament NbTi strands, a Nb shell encircles each filament to prevent TiCu intermetallic formation
during the intermediate heat treatment process, and some Nb3 Sn suppliers use a Nb layer as diffusion barrier
or include it to buffer the Ta or V barrier on the side facing the stabilizer. In both cases, a continuous Nb shell
is left on the outer side of the barrier. When a continuous Nb3 Sn ring grows from the Nb diffusion barrier, its
magnetization is as much as one order of magnitude larger than that in the filament (24).
The pure Nb behaves like a soft type II superconductor, with Bc 0.18 T. The effect of the screening
currents in the Nb layer on the outer side of the diffusion barrier, whose diameter is more than 100 times
larger than that of the filament, can be clearly recognized as a low field peak in the magnetization curve; see
Fig. 4.
Whenever an anomaly of the magnetization curve occurs at the zero crossing, large errors are likely in
the hysteresis loss calculation. If the filament diameter is derived from microscopic examinations or from the
higher field magnetization, the loss at low field will be substantially underestimated by the loss formulas. In
contrast, if the energy loss of a bipolar field cycle is used to deduce the filament diameter, this, and hence the
higher field loss, will be overestimated, because of the additional contribution of the Nb below 0.18 T. The range
of the operating conditions should dictate the decision on the criterion to be used for the filament diameter. If
necessary, a correction factor can be added in the calculation code to include the Nb magnetization contribution
at the zero-crossing field.
Hysteresis Loss with DC Transport Current. When a longitudinal current is superimposed on the
transverse field magnetization currents of a filament, the electrical center line is moved to the periphery of the
filament (or slab), the flux profiles are asymmetric, and the penetration field decreases by a factor 1 i, where
i is the ratio of the longitudinal current (also called the transport current) to the critical current
Below penetration (i.e., for B < 2Bi p ), the low B amplitude formula in Table 1 can also be used in the
presence of a dc transport current. Above penetration, the magnetization decreases as a function of the transport
current, dropping to 0 for i = 1, that is, Itr = Ic . For large B, the area of the magnetization loop (i.e., the energy
supplied by the external field change) decreases when a transport current is superimposed on the magnetization
currents [see Fig. 5(b) from 25]
Fig. 5. Magnetization loss as a function of the dc transport current for a single core NbTi conductor: (a) B = 0.25
T < 2Bi = 0 p , (b) B = 0.50 T > 2Bi = 0 p . From 25. Reprinted from Cryogenics, 25, T. Ogasawara, Y. Takahashi, K. Kanbara,
Y. Kubota, K. Yasohama, and K. Yasukochi, Alternating field losses in superconducting wires carrying dc transport currents:
Part 1. Single core conductors, pp. 736740, copyright 1979, with permission from Elsevier Science.
As a function of the transport current, the magnetization loss increases until full penetration is achieved for
B = 2Bp (1 i). A further increase of the transport current decreases the magnetization loss; see Figs. 5(a)
and 6 from 25.
A change of the filament magnetization beyond 2Bi p is opposed by the power supply, which works to
maintain the transport current, that is, the asymmetric flux profiles. A voltage appears along the filament,
and an extra energy Qd due to the dynamic resistance Rd must be added to the magnetization loss (26, 27).
Above penetration, the dynamic resistance is proportional to the amplitude of the field change and inversely
proportional to the duration t0 of the field change
10
Fig. 6. Magnetization loops at increasing transport current for a single core NbTi conductor (D = 250 m), with B = 0.25
T, from 25. The magnetization loss is maximum at F, when 2Bi = 60 p = 0.25 T; see also Fig. 5(a). Reprinted from Single core
conductors, pp. 736740, copyright 1979, with permission from Elsevier Science.
For B 2Bi p , Rd , that is, the energy loss per cycle, Qd , is proportional to i2 but is independent of the
field rate. The total loss in filaments carrying a dc transport current is
From Eq. (12), at B 2Bi p the ratio of the total loss with transport current to the magnetization loss is
2 for any transport current. For Bp B/2 > Bi p , the loss enhancement factor can be much larger than a
factor of two (10). This can be understood by remembering that the low B magnetization loss is proportional
to B3 , but the dynamic resistance loss Qd is proportional to Bi2 .
The transport current also affects the azimuthal magnetization currents, modifying the local field orientation angle. Some kind of dynamic resistance is also expected because of the interference of Itr with J c .
Equations (9) and (12) give a satisfactory and validated (25) model for a single-core strand. However, two
assumptions are required to extend them to a multifilamentary strand or to a cable of stranded wires:
Each filament of each strand carries the same fraction of critical current.
The longitudinal current is constant during the external field change.
11
Both assumptions are highly unlikely: On one hand the current distribution is not homogeneous across
either the cable or the filaments of an individual strand. On the other hand, the several coupling current loops
induced by a field change cause local, time-dependent, very large variations of the current density compared
with the average value.
Self-Field Loss. A special case of hysteresis loss occurs for a straight, solid conductor carrying a
longitudinal current in the absence of any external field. The flux penetration is due only to the self-field,
which is proportional to the longitudinal current. Full penetration and maximum loss occur for I = Ic . For ac
operation, it is convenient to write the hysteresis loss per unit length per cycle as a function of i, the ratio of
the peak current to the critical current. 28 proposed a formula for self-field loss in an isolated thin slab and a
round (or elliptical) filament
For round or elliptical cross section
For conductors with the same critical current, the loss ratio at saturation (i.e., at i = 1) is Qr /Qs = 1.3. At small
fractions of the critical current, Qr /Qs = 1/i, showing that the advantage of the thin slab geometry is significant
only at very small current density.
In a round multifilamentary composite, the filaments are not transposed for self-field, and the filamentary
zone of diameter Dfz can be treated as a single core, applying a filling factor for the critical current. 10
discusses the self-field loss for a round multifilamentary composite in terms of penetration field. The complete
penetration field is Bps = 0 J c Dfz /2, and the partial penetration field is Bms = iBps . The loss per cycle per unit
filament volume is
Equation (15) can be written in terms of critical current, as a loss per unit length, and becomes
which is identical to Eq. (13) except for the filling factor . In the case of an oscillating, unidirectional current,
i is defined as the ratio of transport to critical current, and the loss formula proposed by 10 as loss per unit
length, becomes,
The use of thin filaments does not help to reduce the self-field loss, as the nontransposed filamentary
zone behaves like a single core with critical current reduced by the filling factor . When the self-field loss
12
becomes a crucial issue, it is recommended to select a transposed cable or braid, where the filamentary zone of
the individual strands is kept as small as possible.
The preceding formulas have become very popular in the high temperature superconductor community,
although both Norris and Wilson warned about the limits of their applicability. The assumption of constant
critical current may result in significant errors at low field. The twist in the multifilamentary composites
introduces a spiral component of the self-field. For cabled conductors, the field from the neighboring strands
may give rise to coupling current loss, not taken into account in the preceding formulas.
Accuracy of Hysteresis Loss Estimation. The overall accuracy of the hysteresis loss estimation is
affected by the simplifications assumed in the model, the accuracy of the conductor parameters, the local field
orientation, the distribution of the transport current (29, 30), and the nonfilamentary magnetization at low
field. The weight of the individual error sources depends on the conductor layout and operating conditions.
The use of sophisticated computer codes does not help much to improve the accuracy of the hysteresis loss
prediction, which lies, in the best cases, around 20%.
Model Accuracy. The geometrical basis for hysteresis formulas is either a cylinder or an infinite slab.
The actual filament geometry, especially in the case of bridging, is not a cylinder: even when an equivalent
diameter is defined, it does not perfectly model the real filament over the entire range of operating conditions.
Beans assumption of linear flux profile may be a source of inaccurate estimation of the magnetization
and penetration field at low magnetic field, especially for thick filaments, strands, and tapes. In addition, the
model does not account for surface screening currents below Bc1 .
The loss formulas may include the effect of the strain and temperature on J c and Bp , but the integration
of the magnetization is done under isothermal conditions. A step-by-step integration, calculating the magnetization from the instantaneous value of J c (T,), also would not be correct: an increase of T or || at constant
field decreases the magnetization, as J c decreases and more flux penetrates. However, a decrease in T or || at
constant field leaves the flux profiles, and hence the magnetization, unchanged.
13
When a high resistivity barrier builds up around the filaments (e.g., in hot extruded NbTi composites), the
transverse resistance is assumed to be
In a cable of noninsulated strands, the interstrand coupling currents add to the interfilament loops. In a
multistage cable, a large variety of coupling current loops exists, each with an individual time constant i . The
size of the loops depends on the length and sequence of the cable pitches, but the exact path of the coupling
currents, and hence the transverse resistance, is hard to predict (33). As a general trend, the transverse
resistance is larger and the loss is smaller when the pitches of the different cable stages all have the same
direction (34) and their ratio is close to one, that is, short pitches are used for the higher cable stages and long
pitches for the lower cable stages.
In most cases, the loss is not homogeneously distributed over the strand or cable volume: a dimensionless
geometry factor, ni , is associated with each current loop with time constant i . The geometry factor (35,36,37)
allows for the demagnetization effects (e.g., round versus flat conductor) and normalizes the loss to the overall
strand volume (e.g., when the filament bundle is surrounded by a large normal metal shell) or to the cable
volume (e.g., when an interstrand current loop is restricted to a fraction of the cable volume).
Steady State Coupling Loss Formulas. When the time scale of a field change (e.g., the duration
of a linear ramp or the period of a field oscillation) is much larger than any of the conductor time constants,
steady state conditions are established for the coupling currents. For linear field change, with constant dB/dt,
the power loss (10, 35, 38) per unit volume of strand material is
For sinusoidal field variations B = (B/2 sin t, with frequency and = 2, the average power loss (10, 35, 39)
is
14
For cabled conductors with multiple current loops and associated time constants, the n in the preceding
formulas is the sum of the individual terms
In steady-state conditions, where all the current loops are fully activated, it is not necessary to know the
breakdown of n into individual components. The average coupling loss can be calculated from the overall n,
obtained, for example, from measurements on a short conductor section.
The tool for experimental assessment of the coupling currents loss is the loss curve, where the energy per
cycle per unit volume of strand (or cable) is plotted as a function of the field rate, for a linear ramp, or the
frequency, for a sinusoidal field sweep. The hysteresis loss is the extrapolation of the loss curve to dB/dt = 0.
From the initial slope of the loss curve, n is derived using the steady-state formulas, Eq. (22).
Transient Coupling Loss Formulas. The energy loss per unit volume in Eq. (22) is linear in the field
rate or frequency. However, the energy loss has an obvious upper limit set by the magnetic field energy density,
B2 /20 . At > 0.3 and at ramp time t0 < 10, Eqs. (20 21 22) give a loss overestimation larger than 10% and
should be replaced by transient field loss formulas.
For multifilamentary strands in an oscillating field, the currents flowing in the outer filament layers
screen the inner volume of the conductor. In a fast ramped field, the field penetrates the innermost layers with
the time scale of the decaying screening currents , even if the duration of the applied field change is smaller.
In fully transposed cables, the mechanism of screening depends on the interstrand current loops and is hardly
predictable.
For conductors characterized by a single time constant , the transient formulas for sinusoidal oscillations
(35), linear ramp (10), and exponential decay (40) are respectively for B = (B/2) sin t,
for = B/t0
In case of multiple time constants, Eqs. (24 25 26) cannot be applied using the n defined in Eq. (23). If each
current loop behaved independently (i.e., the screening currents of the largest loops did not affect the applied
field at the other loops), the total transient loss would be the sum of the individual i-contributions. From
15
On the assumption that the larger current loops screen the smaller current loops, which is what happens in
nontransposed conductors, a formula for the transient loss in a sinusoidal field has been proposed in 37 for a
conductor with N loops
It is hard to reliably predict the transient coupling loss in a large multistage cable. On one hand, the
overall n should be broken down into the individual ni i : the procedure to add the ns measured separately
on the lower cable stages is not satisfactory, as the transverse resistance and the current loops change when
the subcables are bundled together. On the other hand, because the current path for each loop is not known,
it is hard to decide to what extent the higher loops do screen the smaller loops, that is, to decide between Eqs.
(28) and (29).
In conductors with multiple time constants, the largest underestimation of the transient field loss occurs
when the Eqs. (24 25 26) for a single time constant are applied. The steady state formulas, Eqs. (20 21 22), give
the largest overestimation. Whenever the breakdown of the overall n is known, Eqs. (2728) give a better, but
still conservative, estimate, because they assume no screening. On the contrary, Eq. (29) is rather optimistic,
because it treats the current loops as nested shells. Equation (29) has been used to find the n components
from the experimental loss curves in 37 and 41.
An example of coupling loss prediction for a conductor with multiple time constants is shown in Fig. 7. The
steady-state, overall time constant is assumed to be n = 100 ms (e.g., drawn from an experimental loss curve).
The breakdown of the time constant is assumed to be 1 = 2 ms, 2 = 8 ms, 3 = 40 ms, and n1 = n2 = n3 = 2
(round cross section). The plot in Fig. 7 shows the loss according to Eqs. (22), (24), (27), and (29), for an applied
field oscillation B = (B/2)/sin t, with B = 2 T. At low frequencies (i.e., for < 0.2), all the formulas give the
same result, but at higher frequencies the assumptions about the current paths and the multiple screening
lead to substantially different results.
Saturation of Coupling Currents. According to the coupling loss formulas, the energy dissipation
occurs in the resistive section of the induced current loops, that is, in the composite matrix for interfilament
coupling loss and at the strand-to-strand contacts for the interstrand coupling loss. At a first approximation
level, the coupling currents are assumed not to change the filament magnetization. Actually, the coupling currents flowing in the outer filament layers create in steady state a field difference across the multifilamentary
zone. The associated magnetization loss is referred to as penetration loss and can be treated in analogy to the
hysteresis loss of a solid filament of the size of the filamentary zone, Dfz , with a critical current J c , where
16
Fig. 7. Example of prediction of coupling loss in oscillating field, for a conductor with multiple time constant, n = n1 1 + n2
2 + n3 3 = 4 + 16 + 80 = 100 ms. The plot shows the result obtained neglecting the shielding effect, Eq. (22), and with three
different approaches to taking account of shielding.
is the superconductor fraction in the filamentary zone (39). In steady state, the penetration loss per cycle Qp ,
normalized to the volume of the filamentary zone, can be easily found by replacing Bp by in the formulas
of Table 1:
The total loss in a round multifilamentary composite with n = 2 is the sum of the coupling loss, according to
Eq. (20), and the penetration loss
Whenever the loss is experimentally assessed, the penetration loss does not need to be added to the
coupling loss, because it is already buried in the n inferred from the loss curve. The penetration loss for an
oscillating field and for a transient field are discussed in 39 and 10.
At high field rates, the coupling currents may reach the critical current. The outer filament layer is
saturated, and the difference between outer and inner fields is the penetration field for the filamentary zone,
= Bfz p = 0 J c Dfz /. Saturation in a multifilamentary composite occurs whenever
17
The saturation loss is the upper limit of the penetration loss and is obtained by substituting Bfz p for in Eq.
(30):
When the condition of Eq. (32) is fulfilled (i.e., when the current loops are saturated), the coupling loss
does not increase for higher field rates. The maximum total loss in a multifilamentary composite for a long
duration (steady-state) field change is independent of and can be written by substituting Eqs. (32) and (33)
into Eq. (31):
Coupling Loss with Transport Current. As long as coupling currents and transport current use a
small fraction of the superconducting cross section, the influence of the transport current is limited to the
hysteresis loss change. At a higher field rate or higher i (ratio of transport current to critical current), all the
superconducting cross section is eventually engaged to carry either the transport or the coupling currents. The
criterion for saturation with transport current in Eq. (32) becomes
The larger i is the smaller the loop current (and hence the field rate) is to achieve saturation. Above saturation
(i.e., when 2Iloop + Itransport > Ic ), the excess of transport current must be accommodated in the superconducting
cross section carrying Iloop . The paths of the coupling currents, with the current direction reversing with the
periodicity of the transposition pitch, force the transport current (or a fraction of it) to switch continuously from
one to the other filament (interfilament coupling) or strand (interstrand coupling) to match Iloop . The energy
dissipated is at the expense of the power supply, and it is called the dynamic resistance loss (29), because of
the analogy between hysteresis and coupling loss due to transport current (see 42 for a discussion of the limits
of this analogy). Whenever a transport current is imposed, the magnetic energy density of the applied field
cannot be considered as an upper limit for the overall loss.
Above saturation, the coupling currents (and coupling loss) decrease and the dynamic resistance loss
sharply increases. The behavior of the total loss as a function of i over the full range of has been calculated
analytically for a slab; see Fig. 8 (from 29). A cylinder requires a numerical calculation for the saturation range
(43), leading to a results similar to Fig. 8. Experimental results on interfilament loss with transport current
(29, 43) confirm the behavior of Fig. 8. At a very large field rate (i.e., when saturation occurs even at i = 0), the
effect of the transport current on the overall loss is an increase by a factor 1 + i2
At an intermediate field rate (i.e., when saturation is achieved only above a certain value of transport current)
18
Fig. 8. Normalized loss, Q/( fz p /0 ), in a slab geometry as a function of the transport current fraction i for different = /Bfz p
(29). The locus of the knees of the curves shows the saturation threshold. Above saturation, the loss is dominated by the
dynamic resistance. Reprinted from Cryogenics 20, T. Osagawara, Y. Takahashi, K. Kanbara, Y. Kubota, K. Yasohama, and
K. Yasukochi, Transient field losses in multifilamentary composite conductors carrying dc transport currents, pp. 216222,
copyright 1980, with permission from Elsevier Science.
the behavior of the total loss as a function of i is complex [see (29, 42, 43)]. Using Qc (i) = (1 + i2 )Qc (0) is not
recommended and may lead to large errors in the actual loss values.
In large cable-in-conduit conductors, the occurrence of saturation cannot be exactly predicted from
Eq. (35). If the current loops (i.e., the coupling currents) are not homogeneously distributed, a redistribution of the transport current at the start of the field change may avoid the occurrence of saturation and
dynamic resistance loss in steady state. Using Eq. (35) with the average i and the overall cable time constant is
very conservative and may result in an underestimate of the field rate causing saturation. In cable in conduit
with multiple time constants, where only the overall n is known, it may be difficult to select the correct to
be used in Eq. (35). An example of saturation of coupling currents in a large cable-in-conduit conductor with
nonhomogeneous current distribution is reported in 44.
Coupling Loss in Flat Cables. In flat cables and in rectangular composites with sides a and b,
both n and are much larger for field perpendicular to the broad side a of the cable. The loss ratio for the
19
two orthogonal orientations has been calculated as a function of the aspect ratio = a/b for conductors with
homogeneous transverse resistivity. The results obtained by Murphy et al. with concentric ellipses (45), Turck
et al. with concentric rectangles (46), and Campbell with rounded-edge concentric layers (35) are respectively
In practical large flat cables, an insulating (or high-resistivity) strip is placed in the midplane to increase the
transverse resistance of the coupling currents for field orientation perpendicular to a; see for example 47. In
such cases, the 38 are no longer valid and the loss anisotropy is reduced (48).
At intermediate angles, an analytical loss formula is proposed in 45, modeling the flat cable as an ellipse
with homogenous transverse resistivity. In practical, nonhomogeneous flat cables, the coupling loss for field
orientation at an angle with respect to the broad side a can be roughly estimated by splitting the field into
the orthogonal components and adding the loss contributions
For flat cables with a large aspect ratio, the second term in Eq. (39) can be neglected over a broad range of
angles.
Coupling Loss in Spatially Changing Magnetic Field. When a superconducting cable is exposed
to a time-varying magnetic field that is not homogeneous along the conductor, the periodicity of the boundary
conditions for the coupling currents loops is affected. If the flux linked by two geometrically identical current
loops next to each other is not balanced, the coupling currents extend beyond the boundary of the pitch length.
In one-stage cables (e.g., one-layer flat cables and Rutherford cables), adjacent strand pairs may build current
loops with different flux balance in the presence of a spatial gradient of magnetic field. Because of the different
boundary conditions, the individual strand pairs carry coupling currents of different amplitude. The inductance
associated with these extended current loops is larger and the resistance is smaller, resulting in time constants
that may be orders of magnitude larger than with strictly periodic boundary conditions. The result is a strong,
quasi-steady-state current imbalance and larger loss.
49 50 first did an analysis of the coupling loss in a spatially changing magnetic field for flat cables.
The subject, later named , (BICCs), assumed a much larger relevance in the context of Rutherford cables for
accelerator magnets. Here, the spatial field gradients along the conductor at the saddles of the dipole magnets
are large and occur over a length smaller than the cable pitch. The long-lasting current imbalance across the
cable leads to field distortions (51, 52) and ramp rate limitations in the accelerator dipoles (53, 54).
The variation of the strand crossover resistance along Rutherford cables has also been shown to be a
potential reason for BICCs (53). In multistage cable-in-conduit conductors, the current loops do not have a
regular pattern, as a result of the nonhomogeneous distribution of the interstrand resistance. Flux imbalance
for current loops next to each other is expected to be frequent in large cable-in-conduit conductors, even in a
spatially homogeneous magnetic field.
Interstrand Resistance in Cable-in-Conduit Conductors. (CICCs) are a special case of multistage
cables. What makes the CICCs different is the tribological nature of the transverse resistance, which is only
marginally determined by the bulk properties of the metallic components. A database for coupling currents
loss in CICCs and its implication for stability is discussed in 55.
In CICCs with void fraction in the range of 30% to 40%, the coupling currents may follow complex, zigzag
paths through a number of good electrical contacts at the strand crossovers. Rather than the 2-D smeared
transversal resistivity, the interstrand resistance is the critical parameter, together with the pitch length, for
20
assessing and controlling the coupling loss in CICCs. The interstrand resistance in CICCs has units of ohmmeters and is measured as the dc resistance between a strand pair embedded in a cable, multiplied by the
length of the cable section, which should be longer than a pitch length. For the same conductor, the interstrand
resistance in a CICC may vary as much as 20% from piece to piece and as a function of the sample length and
homologous strand pair (56).
NbTi Strands. The interstrand resistance depends on the operating transverse load and on the conductor
history, including contact surface oxidation, heat treatment, and cycling. In CICCs made of bare NbTi strands,
the interstrand resistance, as well as the coupling loss, may have a broad range of results (57): the thin
layer of copper oxide that develops at room temperature at the strand surface provides a precarious resistive
barrier, which may either partly dissolve or break under heat treatment and/or applied load (58 59 60). The
electromagnetic load in operation can also produce a dramatic increase in the coupling loss in CICCs made
of bare NbTi strands (61, 62). Whenever long term, reliable control of the coupling loss is desirable, it is
recommended to use a surface coating for the NbTi strands.
Several coatings have been investigated on NbTi strands for contact resistance, mostly in the frame of the
Rutherford cable development for accelerator magnets, including Zn, SnAg, Ni, and Cr. The SnAg (Stabrite) soft
coatings produce very low contact resistance with applied load (59, 63) and are not recommended for low-loss
cables. A resistive barrier can be obtained by a diffusion heat treatment at 200 C of the SnAg-coated strand
before cabling, building a Sn-rich bronze shell at the surface; however, the diffusion heat treatment also affects
the (RRR) of a fraction of the stabilizer. The Ni coating, as well as the Zn, has higher contact resistance and
is not sensitive to curing heat treatment and applied load (63). The Cr coating has proved to be effective in
cutting the interstrand loss in medium-sized CICCs (64, 65). A direct comparison of contact resistance for bare
and Cr- and Ni-plated strands indicates almost one order of magnitude higher resistance for Cr than for Ni
and another order of magnitude difference between Ni and clean Cu (57).
Nb3 Sn Strands. In CICCs of bare Nb3 Sn strands, diffusion bonding (sintering) occurs at a number of
strand crossovers during heat treatment, resulting in low interstrand resistance and high coupling loss (66).
The Cr coating has been identified as a reliable, thin coating to avoid sintering during the heat treatment, with
moderate effect on the strand RRR.
The effect of the Cr plating on the coupling loss of Nb3 Sn-based CICCs has been the object of systematic
investigations. A comparison of CICC samples identical except for Cr plating by vendors (67) has found a
variation as high as a factor of four for the interstrand resistance (and the loss), depending solely on the proprietary electroplating processes. The influence of the Cr plating process parameters on the contact resistance
is discussed in 68.
The void fraction is an important parameter affecting the interstrand resistance and coupling loss in
CICCs, with a low loss range above 40% voids and a large loss range below 30% (69). The looseness of the cable
in the jacket may play an even more important role than the average void fraction: the electromagnetic forces
associated with the coupling currents tend to pull apart the strand bundle and relax the contacts at the strand
crossovers.
The most striking effect observed in the interstrand resistance of Cr-plated Nb3 Sn CICCs is the decrease
in resistance after heat treatment and the increase after mechanical (70) and/or electromagnetic loading (44,
71). The diagram in Fig. 9 shows the evolution of the interstrand resistance at different steps: the large drop
after the heat treatment may be due to a partial depletion of O from the Cr oxide at the sealed surface of
the strand crossovers. The subsequent increase of the interstrand resistance after bending loads (as happens
in the react and transfer coil manufacturing process) or transverse loads (Lorentz forces in operation) can be
understood in terms of microscopic movements of the crossovers, which partly lose their initial engagement.
An important lesson learned from these results is that most loss test results obtained for short samples in
the virgin state (i.e., as heat-treated, without any load) overestimate the loss by about one order of magnitude
compared with the actual coil operating conditions.
21
Fig. 9. Evolution of the interstrand resistance in a Cr-plated Nb3 Sn CICC (81 strands, 30%), before and after the heat
treatment and after controlled bending strain.
Target Interstrand Resistance for CICCs. The goal of the designer is to obtain in operation a value
of interstrand resistance large enough to reduce the coupling loss to an acceptable level but small enough to
allow fast and effective current redistribution among the strands and provide stability under transient local
disturbances.
The experimental results on the interstrand resistance Rc and the coupling current constant n, measured
on the same Nb3 Sn CICCs specimens, have been correlated in 55 to obtain
Once the acceptable coupling loss is assessed and the cable pitch lt is known, Eq. (40) indicates the minimum
design value for the interstrand resistance, that is, for the kind of strand coating to be selected. The maximum
allowable value for the interstrand resistance is assessed by the requirement on the interstrand current sharing
length, l0 . According to 72
where R (/m) is the normal longitudinal resistance of the strand per unit length. Combining Eqs. (40) and
(41), a criterion for interstrand resistance in CICCs summarizing the coupling loss and stability requirements
22
is obtained according to 55
In very large CICCs, Eq. (42) may need a correction if the interstrand resistance for the first cable stage, to
be used for the current-sharing length, is significantly smaller than in the cable stage where the largest loss
occurs (73).
Accuracy of Coupling Current Loss Estimation. The calculation of the coupling current loss in
steady-state conditions is based on the experimental value of n, drawn from the loss curve. In other words, to
estimate the coupling loss for a coil in operation, it is necessary to measure first the loss on a conductor specimen
under controlled conditions. The assessment of n from the pitch and the expected transverse resistivity may
lead to very rough loss estimates.
The accuracy of n depends on the loss calibration method, the resolution and reproducibility of the loss
measurements, and the number of test points in the linear range of the loss curve (n is inferred by linear
regression from the initial slope of the loss curve). In multistage, cabled conductors, the loss curve may show
slight deviations from linearity at a very low field rate, possibly due to very large time constants associated
with the very small factor n (41). In such cases, the actual operating field rate should drive the choice of the
range of the loss curve from which n must be inferred. Typical experimental values of n have an error bar
larger than 10% (74).
When the coupling currents are not in steady state (transient field change or high frequency oscillations),
the product n from the loss curve must be broken down into n and to use the transient formulas from Eqs.
(24 25 26 27 28 29). This is very hard to do, especially in the case of multiple time constants. A measurement
of from the decay time of the induced currents is discussed in 75 for conductors with a single (or dominant)
time constant.
Besides the issue of breaking down the overall n, the prediction of non-steady-state loss in conductors
with multiple time constants is made difficult by the choice between a model with independent current loops
or with nested loops (see discussion of Fig. 7). For 1 or ramp time t0 , the error in loss estimation may be
large and the transient formulas should be used only to provide the order of magnitude of the expected loss.
In large cable-in-conduit conductors, the accuracy of the coupling loss estimation is much worse than
in multifilamentary composites. On one hand, it is hard to reproduce in a short sample measurement the
actual load history for interstrand resistance and hence to obtain a reliable result for n in operation. On the
other hand, the nonhomogeneous distribution of the interstrand resistance may give rise, in a coil, to complex
patterns of BICCs, not measurable on a short sample (61, 62). In the presence of a transport current, more
incertitude is added because of the occurrence of dynamic resistance loss and the variation of current density
across the cable (44, 73).
Concluding Remarks
Although the basic mechanisms of ac losses in superconductors are well known, the optimization of low-loss
conductor design and the prediction of ac losses in operation are still the subject of several studies and much
R&D activity. The complexity of the conductor layout and the variety of the boundary conditions conclusively
show that the existing formulas and models need systematic improvement.
The challenging task for the designer consists in distinguishing, for an individual application, the relevant
from the negligible sources of ac losses and implementing measures to reduce their effect on the overall
performance of the device. Reduction of ac losses is always a welcome result, but it must be carefully balanced
23
with possible negative effects (e.g., reduction of the overall current density due to passive components or poor
stability due to impaired current sharing).
The task of calculating ac losses may be challenging, although no complex finite-element models are
required, as they are for example in thermohydraulic and mechanical analyses. For the hysteresis loss a rough
estimate is easy to make, because the results for a single filament can be reliably scaled to large conductors.
However, very accurate predictions are not easy.
Estimating the coupling current loss for large conductors may be difficult. The electrodynamic behavior
can be predicted only on the basis of several assumptions, which can hardly be verified. Small-scale experiments,
even on full-sized conductors, are mostly unable to fully reproduce the actual operating conditions.
BIBLIOGRAPHY
1. D. Saint-James E. J. Thomas, G. Sarma, Type II Superconductivity, New York: Pergamon, 1969.
2. A. M. Campbell J. E. Evetts, Flux vortices and transport currents in type II superconductors, Adv. Phys. 21 (90):
333357, 1972.
3. H. Ullmaier, Irreversible Properties of Type II Superconductors, New York: Springer-Verlag, 1975.
4. C. P. Bean, Magnetization of hard superconductors, Phys. Rev. Lett., 8(6): 250253, 1962.
5. C. Y. Pang, P. G. McLaren, A. M. Campbell, Losses in superconducting cylinders in transverse field, Proc. ICEC, 8:
739743, 1980.
6. A. P. Martinelli B. Turck, Some effects of field orientation on the magnetization of superconducting wires, Cryogenics,
18: 155161, 1978.
7. Y. B. Kim, C. F. Hempstead, A. R. Strnad, Critical persistent currents in hard superconductors, Phys. Rev. Lett., 9:
306309, 1962.
8. H. Brechna C. Y. Hwang, Hysteretic effects in pulsed superconducting magnets, Cryogenics, 19: 217223, 1979.
9. K. Kwasnitza P. Bruzzone, Hysteresis losses of multifilament superconductors in superimposed dc and ac fields,
Cryogenics, 21: 593597, 1981.
10. M. N. Wilson, Superconducting Magnets, London: Oxford Univ. Press, 1983.
11. E. W. Collings, Applied Superconductivity, Metallurgy and Physics of Titanium Alloys, New York: Plenum, 1986.
12. M. P. Mathur et al., Anisotropy of the critical current density in the NbTi filaments of round composite superconductors,
J. Appl. Phys., 43 (9): 38313833, 1973.
13. H. H. Farrell, G. H. Gilmer, M. Suenaga, Grain boundary diffusion and growth of intermetallic layers: Nb3 Sn, J. Appl.
Phys., 45: 40254035, 1974.
14. T. Schild, J. L. Duchateau, D. Ciazynski, Influence of the field orientation on the critical current density of Nb3 strands,
IEEE Trans. Appl. Supercond, 7: 15121515, 1997.
15. S. Takacs, M. Polak, L. Krempasky, Critical currents of NbTi tapes with differently oriented anisotropic defects,
Cryogenics, 23: 153159, 1983.
16. M. Jergel S. Takacs, The dependance of critical current of Nb3 Sn tapes on the direction of a transverse magnetic field,
J. Low Temp. Phys., 10 (3/4): 469, 1973.
17. J. F. Artaud, Distribution de courant dans des fils supraconducteurs soumis a` un champ magnetique dorientation
quelconque, PhD Thesis, Univ. de Aix-Marseille, France, 1994.
18. T. S. Kreilick, E. Gregory, J. Wong, Influence of filament spacing and matrix material on the attainment of high quality,
uncoupled NbTi fine filaments, IEEE Trans. Magn., 24: 10331036, 1988.
19. M. Polak et al., Anomalous magnetization behavior in fine filamentary NbTi superconducting wires, IEEE Trans. Appl.
Supercond., 3: 150153, 1993.
20. M. D. Sumption E. W. Collings, Influence of twist pitch and sample length on proximity effect coupling in multifilamentary composites described in terms of a field independent, two current region model, Cryogenics, 34: 491505,
1994.
21. A. K. Gosh, K. E. Robins, W. B. Sampson, Magnetization measurements of multifilamentary Nb3 Sn and NbTi conductors,
IEEE Trans. Magn., 21: 328331, 1985.
22. R. B. Goldfarb K. Itoh, Reduction of interfilament contact loss in Nb3 superconductor wires, J. Appl. Phys., 75: 2115
2118, 1994.
24
23. R. B. Goldfarb J. W. Ekin, Hysteresis losses in fine filament internal-tin superconductors, Cryogenics, 26: 478481,
1986.
24. S. S. Shen, Effect of the diffusion barrier on the magnetic properties of practical Nb3 Sn composites, Adv. Cryog. Eng.
Mat., 28: 633638, 1982.
25. T. Ogasawara et al., Alternating field losses in superconducting wires carrying dc transport currents: Part 1. Single
core conductors, Cryogenics, 19: 736740, 1979.
26. T. Ogasawara et al., Effective resistance of current carrying superconducting wire in oscillating magnetic field 1: Single
core composite conductor, Cryogenics, 16: 3338, 1976.
27. S. S. Shen R. E. Schwall, Interaction of transport current and transient external field in composite conductors, IEEE
Trans. Magn., 15: 232235, 1979.
28. W. T. Norris, Calculation of hysteresis losses in hard superconductors carring ac: Isolated conductors and edges of thin
sheets, J. Phys. D, 3: 489507, 1970.
29. T. Ogasawara et al., Transient field losses in multifilamentary composite conductors carrying dc transport currents,
Cryogenics, 20: 216222, 1980.
30. D. Ciazynski et al., Ac losses and current distribution in 40 kA NbTi and Nb3 Sn conductors for NET/ITER, IEEE Trans.
Appl. Supercond., 3: 594599, 1993.
31. M. N. Wilson et al., Experimental and theoretical studies of filamentary superconducting composites, J. Phys. D, 3:
15171546, 1970.
32. W. J. Carr, Jr., Conductivity, permeability and dielectric constant in a multifilament superconductor, J. Appl. Phys., 46
(9): 40434047, 1975.
33. K. Kwasnitza P. Bruzzone, Large ac losses in superconducting Nb3 Sn cable due to low transverse resistance, Proc.
ICEC11, 741745, Berlin, 1986.
34. K. Kwasnitza I. Horvath, Experimental evidence for an interaction effect in the coupling losses of cabled superconductors, Cryogenics, 23: 914, 1983.
35. A. M. Campbell, A general treatment of losses in multifilamentary superconductors, Cryogenics, 22: 316, 1982.
36. B. Turck, Effect of the respective position of filament bundles and stabilizing copper on coupling losses in superconducting composites, Cryogenics, 22: 466468, 1982.
37. A. Nijhuis et al., Coupling loss time constant in full size Nb3 Sn CIC model conductors for fusion magnets, Adv. Cryog.
Eng. Mat., 42B: 12811288, 1996.
38. H. Brechna G. Ries, Ac losses in superconducting synchrotron magnets, IEEE Trans. Nucl. Sci., 18 (3): 639642, 1971.
39. G. Ries, Ac losses in multifilamentary superconductors at technical frequencies, IEEE Trans. Magn. 13 (1): 524527,
1977.
40. J. P. Soubeyrand B. Turck, Losses in superconducting composites under high rate, pulsed transverse field, IEEE Trans.
Magn., 15: 248251, 1979.
41. A. Nijhuis et al., Electromagnetic and mechanical characterization of ITER CS-MC conductors affected by transverse
cyclic loading, part 1: Coupling currents loss, IEEE Trans. Appl. Supercond. 9, 10631072, 1999.
42. A. M. Campbell, The effect of transport current and saturation on the losses of multifilamentary superconducting wires,
Cryogenics, 21: 107112, 1981.
43. D. Ciazynski, Effect of the transport current on the losses of a superconducting composite under fast changing magnetic
field, IEEE Trans. Magn., 21: 169172, 1985.
44. P. Bruzzone et al., Test results for the high field conductor of the iter central solenoid model coil, Adv. Cryog. Eng., 45,
to be published.
45. J. H. Murphy et al., Field orientation dependence of ac losses in rectangular multifilamentary superconductors, Adv.
Cryog. Eng., 22: 420427, 1975.
46. B. Turck et al., Coupling losses in a rectangular multifilamentary composite, Cryogenics, 22: 441450, 1982.
47. E. Seibt, Investigations of a steel reinforced NbTi superconducting flat cable for toroidal field magnets, IEEE Trans.
Magn., 15: 804807, 1979.
48. P. Bruzzone, Ac losses in high current superconductors for nuclear fusion magnets, Ph. D. Thesis, ETH 8224, Zurich,
1987.
50. S. Takacs,
Coupling losses in cables and in spatially changing ac fields, Cryogenics, 22: 661665, 1982.
25
51. A. A. Akhmetov, A. Devred, T. Ogitsu, Periodicity of crossover currents in a Rutherford-type cables subjected to a
time-dependent magnetic field, J. Appl. Phys., 75: 31763183, 1994.
52. L. Krempasky C. Schmidt, Theory of supercurrents and their influence on field quality and stability of superconducting
magnets, J. Appl. Phys., 78: 58005810, 1995.
53. A. P. Verweij, Electrodynamic of superconducting cables in accelerator magnets, Ph.D. Thesis, Univ. of Twente, Enschede, The Netherlands 1995.
54. L. Krempasky C. Schmidt, Ramp rate limitation in large superconducting magnets due to supercurrents, Cryogenics,
36: 471483, 1996.
55. P. Bruzzone, Ac losses and stability on large cable-in-conduit superconductors, Phys. C, 310: 240246, 1998.
56. A. Nijhuis, P. Bruzzone, H. H. J. ten Kate, Influence of Cr plating on the coupling loss in cable-in-conduit conductors,
Appl. Supercond. 1997, Inst. Phys. Conf., 158: 921924, 1997.
57. M. D. Sumption et al., Contact resistance and cable loss measurements of coated strands and cables wound from them,
IEEE Trans. Appl. Supercond., 5: 692695, 1995.
58. M. D. Sumption et al., Calorimetric measurements of the effect of nickel and Stabrite coatings and resistive cores on
ac loss in accelerator cables under fixed pressure, Adv. Cryog. Eng., 42: 13031311, 1996.
59. E. W. Collings et al., Magnetic studies of ac loss in pressurized Rutherford cables with coated strands and resistive
cores, Adv. Cryog. Eng., 42: 12251232, 1996.
60. D. Richter et al., Dc measurement of electrical contacts between strands in superconducting cables for the LHC main
magnets, IEEE Trans. Appl. Supercond., 7: 786789, 1997.
61. T. Hamajima et al., Ac loss performance of the 100 kWh SMES model coil, Proc. of Magnet Technology Conf., 16, Sept.
99, Ponte Vedra, FL.
62. A. Kawagoe et al., Increase of interstrand coupling losses of superconducting cable-in-conduit for acutal condition of
sweep rate, IEEE Trans. Appl. Supercond. 9: 727730, 1999.
63. J. M. Depond et al., Examination of contacts between strands by electrical measurement and topographical analysis,
IEEE Trans. Appl. Supercond., 7: 793796, 1997.
64. T. M. Mower Y. Iwasa, Experimental investigation of ac losses in cabled superconductors, Cryogenics, 26: 281292,
1986.
65. K. Kwasnitza, A. Sultan, S. Al-Wakeel, Ac losses of a 10 kA NbTi cable-in-conduit superconductor for SMES application,
Cryogenics, 36: 2734, 1996.
66. M. Nishi et al., Test results of the DPC-TJ, a 24 kA40 A/mm2 superconducting test coil for fusion machines, Fusion
technology 1992, Proc. 17th SOFT, 1993, p. 912916.
67. P. Bruzzone, A. Nijhuis, H. H. J. ten Kate, Effect of Cr plating on the coupling current loss in cable-in-conduit conductors,
Proc. ICMC 96, Kitakyushu, Japan, May 1996, Amsterdam, The Netherlands Elsevier, p. 12431248.
68. Y. Ipatov, P. Dolgosheev, V. Sytnikov, Prospective barrier coatings for superconducting cables, Supercond. Sci. Technol.,
10: 507511, 1997.
69. A. Nijhuis et al., Parametric study on coupling loss in subsize ITER Nb3 Sn cabled specimen, IEEE Trans. Magn., 32:
27432746, 1996.
70. P. Bruzzone, A. Nijhuis, H. H. J. ten Kate, Contact resistance and coupling loss in cable-in-conduit of Cr plated Nb3 Sn
strands, Proc. MT-15, Beijing, October 1997, Science Press, 1998, p. 12951298.
71. A. Nijhuis, H. H. J. ten Kate, P. Bruzzone, The influence of Lorentz forces on the ac loss in sub-size cable-in-conduit
conductors for ITER, IEEE Trans. Appl. Supercond., 7: 262265, 1997.
72. G. Ries, Stability in superconducting multistrand cables, Cryogenics, 20: 513519, 1980.
73. P. Bruzzone, Stability under transverse field pulse of the Nb3 Sn ITER cable-in-conduit conductor, Proc. of Magnet
Technology Conf., 16, Sept. 1999, Ponte Vedre, FL.
74. P. Bruzzone et al., Coupling currents losses bench mark test of ITER subsize conductor, IEEE Trans. Magn., 32:
28262829, 1996.
75. L. Krempasky C. Schmidt, Time constant measurements in technical superconductors, Cryogenics, 39: 2333, 1999.
PIERLUIGI BRUZZONE
Centre de Recherches en Physique des Plasmas
305
Atomic Number
NMR Frequency
(MHz/Tesla)
1
2
13
17
23
31
42.5759
6.5357
10.705
5.772
11.262
17.236
(1)
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
306
THEORETICAL DESIGN
Almost all superconducting NMR and MRI magnets are solenoids. The reason for that is the relative simplicity and ease
of manufacture and design of solenoids, compared with, for
instance, extended dipoles. Although the generation of the RF
field could be simpler with a transverse background field, the
difficulty of manufacture of a high-background field magnet
would far outweigh any advantage in the RF coil. The construction of a high-homogeneity solenoid proceeds in three
parts: a winding array is designed, based solely on the analysis of the axial variation of the field of a solenoid; the magnet
is wound and the spatial variation of its actual field is measured; and the unwanted errors in the field arising from manufacturing imperfections are removed by shimming.
The center field of a solenoid is given by
B0 = 0 Ja0 ln{[ + ( 2 + 2 )1/2 ]/[1 + (1 + 2 )1/2 ]}
(2)
(3)
(4)
j - (At/m)
a0
0
z0
0 i[(5a40z
20a20 z3
+ 8z
)(a20
(5)
z20 )13/2]/1440
(6)
Notice that the magnitude of any harmonic coefficient is mediated by the denominator of the expressions that each include the term (a02 z02)(n1/2), where n is the order of the harmonic. Thus, the generation of high-order harmonics requires
coils with large values of current (ampere-turns) or small radius. This is significant in the construction of shim coils, as is
noted later.
Associated with an axial variation of field is a radial variation, arising from radial terms in the solution of the Laplace
scalar potential equation. For instance, even-order axial variations are accompanied by axisymmetric radial variations (6)
of the form
307
2
1
r
(7)
308
The harmonic components of the z field can also be expressed in the form of associated Legendre functions of order
n, m (7). Those functions define the variation of the local z
field strength at points around the center of the magnet and
include variation of the field with azimuth . Thus,
(10)
x
Figure 3. The system of spherical coordinates specifying field points
and current sources.
LEGENDRE FUNCTIONS
The expression of the harmonics of the field in terms of
Cartesian coordinates provides a simple insight into the
source of the harmonics. However, as the order of the harmonic increases, the complexity of the Cartesian expressions
renders manipulation very cumbersome, and an alternative
method is needed. The Laplace equation for the magnetic field
in free space is conveniently solved in spherical coordinates.
These solutions are spherical harmonics, and they are valid
only in the spherical region around the center of the solenoid,
extending as far as, but not including, the nearest current
element. Figure 3 illustrates the coordinate system for spherical harmonics. The convention followed here is that dimensions and angles without subscripts refer to a field point, and
with subscripts they refer to a current source.
The axisymmetric z field generated by a coaxial circular
current loop can be expressed in the form of a Legendre polynomial, thus,
Bz =
gn rn Pn (cos )
(8)
where Cn,m and Sn,m are the harmonic field constants in tesla
per metern, Pn,m(u) is the associated Legendre function of order n and degree m, and u is cos(). The order n is zonal,
describing the axial variation of z field. The degree m is tesseral, describing the variation of the z field in what would be
the xy plane in Cartesian coordinates. is the azimuth to
the point at radius r from an xz plane. is the elevation of
the point from the z axis. Tables of the values of the Legendre
polynomials can be found in standard texts on mathematical
functions (8).
In Eq. (10), m can never be greater than n. For example, if
n m 0, Bz(0,0) is a uniform field independent of position.
If n 2 and m 0, Bz(2,0) is a field whose strength varies as
the square of the axial distance [i.e., B2 of Eq. (7)]. If n 2
and m 2, Bz(2,2) is a field that is constant in the axial direction but increases linearly in two of the orthogonal radial directions and decreases linearly in the other two. Figure 4
shows a map of the contours of constant field strength of a
Bz(2,2) field harmonic for which S2,2 0. The Bz(2,2) field has
zero magnitude at the origin and along the x and y coordinate
axes. Of course, the direction of the zero values of the Bz(2,2)
harmonic will not generally lie in the Cartesian x and y
planes. Depending on the relative values of Cn,m and Sn,m in
Eq. (10), the zero harmonic planes will lie at an angle other
than 0 or m/2. The constant field contours of Bz(2,2)
extend to infinity along the z axis and represent, arbitrarily
in this figure, values for Bz(2,2) of 104, 106, and 108, for
example. Within the indicated cylinder centered on the z axis,
the value of the harmonic is everywhere less than 106. For
y
8
n=0
where r and define the azimuth of the field point in spherical coordinates, and u is cos(). Pn(u) is the zonal Legendre
polynomial of order n and gn is a generation function given by
gn = 0 iPn+1 cos(0 ) sin(0 )/(2 n+1 )
(9)
4
2
Optimization Methods
102
104
106
39
z
309
With the recent rapid increase in the speed and size of computers, an alternative technique for the design of uniform
field magnets has been developed. Not only is a uniform field
of specific magnitude required but that should be combined
with other criteria. For instance it could be accompanied by
the smallest magnet, that is, the minimum of conductor, or
by a specified small fringing field. To achieve these ideal solutions, an optimization technique is now generally used. The
field strength of a set of coils is computed at points along the
axis, and, if fringing field is a consideration, at points outside
the immediate vicinity of the system. The starting point may
be a coil set determined by a harmonic analysis as described
earlier. Now however, mathematical programming methods
are employed to minimize the volume of the windings satisfying the requirement that the field should not vary by more
than the target homogeneity for each of the chosen points.
Again, for purposes of homogeneity, only field on axis is considered because the radial variation of axisymmetric components of field is zero if the axial component is zero. The field
strengths at points outside the magnet will be minimized by
inclusion of a set of coils of much larger diameter than the
main coils but carrying current of reverse polarity.
All design techniques, but particularly that of optimization, are complicated by the highly nonlinear relationship between the harmonic components generated by a coil and the
characteristics of the coil. Thus the reversal in sign of the
harmonic components occurs rapidly as the dimensions or position of a coil are changed. In the example of an NMR magnet shown in Fig. 6, the value of the second harmonic changes
by 4 ppm for an increase in the diameter of the wire in the
small coil l of only 0.1 mm. The optimization of the ampereturns, shape and position of a coil thus affects the various
harmonics in highly nonlinear and often conflicting ways.
Design optimization involves the computation of an objective function which contains all the elements that have to be
minimized, subject to a set of constraints (10). For example,
it may be required to minimize some combination of winding
volume or magnet length subject to constraints on the field
error at a number of points within the bore and on the fringing field at some point outside the magnet. The objective function would then be of the form
N
pVi + L
(11)
i=1
s t u v
x
1060 mm
w
y
j k
h
g
k
h
fe
dc
b
a
520 mm
Figure 6. Coil profiles of an actual 8th order compensated NMR solenoid. The graded sections a through j produce axial harmonics of
which orders 2, 4, and 6 are compensated by sections k and l. Layers
x and y are shim coils.
310
N
+8 +6
+4 +2
6+
4+
2
Bi, p B0
< B2
(12)
+8
i=1
N
Bi,f < B f
+3
8+
2 3 1 3
(13)
i=1
In Eq. (12) Bi,p is the field at point p due to coil i. The equation
represents the constraint on uniformity of field. It could also
be expressed in terms of harmonic terms; for example, each
even term up to P10,0 being less than 106 B0, the center field.
[The inclusion of the squared terms in Eq. (12) allows for either positive or negative error field components.] Equation
(13) expresses the condition that the fringing field should be
less than, say, 1 mT (10 gauss) at a point, outside the magnet
system. The 10 gauss criterion frequently represents the maximum field to which the public may be exposed in accessible
areas around an MRI system.
The minimization of the objective function is performed by
a mathematical programming algorithm, whereas the solution of the constraining Eqs. (12) and (13) will require a nonlinear technique (such as NewtonRaphson), in order to deal
with the extremely nonlinear variation of the harmonics as
they change with coil geometry (11).
SHIELDING
The minimization of the external fringing field is becoming
increasingly important for the siting of MRI systems, so the
active shielding of MRI magnets with center fields up to 2 T
is now almost universal. (Active shielding of MRI magnets
with center fields above 2 T is uneconomical and is not generally attempted.) Active shielding is generally achieved by the
inclusion in the coil array of two reverse polarity coils at diameters typically twice that of the main coils. Because of the
large dipole moment of an MRI magnet, the unshielded fringing field will extend several meters from the boundary of the
cryostat. Consequently, active shielding is applied to many
MRI magnets with central fields of over 0.5 T (12). The effect
of the shielding on the harmonics of the center field must, of
course, be included in the design of the compensation coils.
SHIMMING
The harmonic errors in the field of an as-built magnet divide
into purely axial variations (axisymmetric zonal harmonics,
which are accompanied by radial variations dependent on the
elevation from the z axis, but independent of ) and radial
variations (tesseral harmonics, which depend on , where
is the angle of azimuth in the xy plane).
In order to compensate for the presence of various unwanted harmonic errors in the center field of the as-built
coils, additional coils capable of generating the opposite harmonics are applied to the magnet. For each set of n and m
in the associated Legendre functions, a current array can be
for a fixed linear current density, only the angles defining the
start and end of each coil are needed, together, of course, with
the current polarities, either side of the center plane of the
magnet, odd for n 1, 3, 5, . . . and even for 2, 4, 6, . . ..
The set of coils illustrated in Fig. 7 generate negligible harmonics above the third order, B(3,0). The individual coils of
each harmonic group are connected in series in sets, there
being in each set enough coils to generate the required axial
harmonic but excluding, as far as is practical, those harmonics that are unwanted. Thus, in the figure, coils labeled 2 generate second-order B(2,0) but no fourth order. However, they
do generate higher orders. The first unwanted order is B(6,0)
but that is small enough that it may be neglected. So also
with all higher orders because the denominator in the expressions of Eqs. (4) and (5) strongly controls the magnitude of
the harmonic. Also illustrated in the figure is the effect on
harmonic generation of the angular position of a circular current loop. Each of the dashed lines lies at the zero position of
an axial harmonic. Thus, at an angle of 70.1 from the z axis,
the B(4,0) harmonic of a single loop is zero. Two loops carrying currents of the same polarity and suitable magnitude
may be located on either side of the 70.1 line to generate no
fourth-order harmonic yet generate a significant second order
harmonic. Similarly, a coil for the generation of only a first
order axial harmonic is located on the line for zero third order. The zero first-order harmonic line is at 90, the plane of
symmetry. In order therefore to generate a third order with
no first, two coils must be used, with opposing polarities. The
coils are all mirrored about the plane of symmetry, but the
current symmetries are odd for the odd harmonics and even
for the even harmonics. The loops may be extended axially as
multiturn coils while retaining the property of generating no
axial harmonic of a chosen order, if the start and end angles
subtended by the coils at the origin are suitably chosen.
The principles described earlier can be applied both in the
design of shim coils and in the selection of main coil sets. A
further observation from the zero harmonic lines of Fig. 7 is
that the higher harmonics reverse sign at angles close to the
plane of symmetry of the system. This implies that, to produce single, high-order harmonics, coil positions close to the
plane of symmetry must be chosen because the other coil locations where the sign of the harmonic reverses are too far from
the plane of symmetry to be usable; the coils lying a long way
from the plane of symmetry generate weak high-order harmonics.
311
radial harmonics may be generated. For the shim coil configuration of Fig. 8, the first unwanted radial harmonic is m
6. The higher tesseral harmonics are much smaller than the
fundamental because of the presence in the expression for the
field of a term (r/r0)n. Generally, the arc length is chosen to
eliminate the first higher-degree radial harmonic. As an example, if the arc length of each shim coil shown in Fig. 8 is
90 the B(6,6) harmonic disappears. The B(10,10) harmonic
is negligible.
The superconducting shims are almost invariably placed
around the outside of the main windings. Although the large
radius reduces the effective strength of the harmonics they
generate, the shim windings cannot usually be placed nearer
to the center of the coil because of the value of winding space
near the inner parts of the coil and because of the low critical
current density of wires in that region due to the high field.
A comprehensive treatment of shim coil design may be found
in Refs. 6 and 9. Those references also include details of superconducting coil construction. It should be noted, however,
that some expressions in Ref. 6 contain errors.
Ferromagnetic Shims
Ferromagnetic shimming is occasionally used in high field,
small bore NMR magnets, but its principal use is in MRI
magnets. It is in that application that it will be described.
The principle invoked in this kind of shimming is different
from that of shim coils. The shims now take the form of discrete pieces of ferromagnetic material placed in the bore of
the magnet. Each piece of steel is subjected to an axial magnetizing field at its position sufficient to saturate it. It then
generates a field at a point in space that is a function of the
mass of the shim and its saturation magnetization Bs with
little dependence on its shape. For ease of example, a solid
cylinder of steel will be assumed. The axis of the cylinder is
in line with the field, as shown in Fig. 9. (In Fig. 9 the axis
labeled z is that of the shim, not that of the MRI magnet
itself. In fact, the shim will usually be placed at the inside
surface of the bore of the MRI magnet.)
The field B, caused by the ferromagnetic shim, contains
both axial and radial components. The axial component Bz is
the correcting field required, and it adds arithmetically to the
field of the magnet. The radial component adds vectorially to
the field and produces negligible change in the magnitude of
71
27
x
90
312
Br
Bz
z
Figure 9. Field vectors generated by a ferromagnetic shim in the
bore of an MRI magnet. Bz adds arithmetically to the main field; Br
adds vectorially and so has negligible influence on the field.
(14)
(15)
FIELD MEASUREMENT
The accurate measurement of the spatial distribution of field
in the as-wound magnet is essential to shimming to high homogeneity. Sometimes, measurement of the field is possible
at very low field strengths with tiny currents flowing in the
windings at room temperature. That may allow mechanical
adjustment of the positions of the main compensations coils
(k k in Fig. 6) to reduce the B(1,0), B(2,0) and B(1,1) harmonics. Major field measurement is made with the magnet at
design field strength and in persistent mode. The methods of
measurement in NMR and MRI magnets are generally different.
In NMR magnets, because of the small bore, the field is
measured by a small NMR probe on the surface of a cylindrical region about 8 mm diameter and over a length of up to 10
mm. The measurements are made at typically 20 azimuthal
intervals. From these field measurements, the predominant
harmonics can be deduced, using a least-squares fit, and
shimmed by means of the superconducting shim coils, both
axial and radial. With subsequent measurements, as the harmonic content becomes smaller, the higher harmonics become
evident and in turn can be shimmed. The field measurements
are usually reduced to harmonic values because the shim sets
are designed to generate specific harmonics. The correcting
current required in any particular shim set is then immediately determined. Measurement and shimming is always an
iterative process, generally requiring several iterations to
achieve homogeneities of better than 109 over 5 mm dsv.
Field measurement in an MRI magnet is usually performed differently because much more space is available and
because knowledge of the magnitudes of the harmonics in associated Legendre polynomial form is an advantage in the
shimming process. In this case, the measuring points will lie
on the surface of a sphere. Typically, the diameter of this
sphere may be 500 mm. The field is measured at intervals of
, often 30, around each of the circles of intersection with
this spherical surface of several z const planes, called
Gauss planes. From these measurements and by the property
of orthogonality of the associated Legendre functions, the values of the constants Cn,m and Sn,m in Eq. (10) can be deduced
by the following methodology.
The double integral
+1
1
2
0
+1 2
1
313
(16)
Cn,m =
p q
p
Sn,m
wq B(u p , q )Pn,m (u p ) cos(m )
(17)
(18)
Voltage
Current
ic
314
(19)
315
Peak Field
(T)
Wire
Type
Wire
Diameter
(mm)
Inner
Diameter
(mm)
Outer
Diameter
(mm)
Winding
Length
(mm)
Number of
Turns
a
b
c
d
e
f
g
h
j
k
l/l
17.62
16.97
15.93
14.8
13.83
11.80
9.83
8.31
5.70
3.13
3.14
Nb3Sn
Nb3Sn
Nb3Sn
Nb3Sn
Nb3Sn
Nb3Sn
NbTi
NbTi
NbTi
NbTi
NbTi
2.4
2.22
1.84
1.83
1.63
1.61
1.41
1.30
1.14
1.30
1.30
43
52.2
68.8
83.4
99.0
121.7
141.3
158.0
176.0
194.2
194.2
52.2
65.3
79.9
92.5
115.2
134.8
149.5
171.0
186.2
212.5
212.5
600
600
650
650
700
750
800
1000
1000
88.7
377.1
1000
1620
1770
1780
3870
4194
3396
6948
6992
1020
4110 (each)
At a current of 307.86 A these windings generate 17.616 T at the center; that corresponds to 750 MHz proton resonance frequency. The total inductance is 109.2 H
and the stored energy is 5.17 MJ. The first nonzero harmonic of the design is the twelfth.
Most whole-body MRI magnets used in clinical applications have room temperature bores of between 1 and 1.3 m,
with fields up to 2 T. An example of the profile of the windings
of a whole-body MRI magnet is illustrated by the simple fivecoil system of Fig. 11.
The center field is 1.5 T and the dimensions of the windings are listed in Table 3. The compensation is to tenth order
(10 ppm over a 500 mm sphere). The current is 394 A for a 1.5
T center field. The inductance is 78 H and the stored energy 6
MJ.
The fringing field of this magnet extends a long way from
the cryostat in which the coils are housed. The 1 mT (10
gauss) line is at an axial distance of 11.3 m and at a radial
distance of 8.8 m from the center. Access to the space within
these limits must be restricted because of the dangers to the
wearers of pacemakers, the attraction of ferromagnetic objects, and the distortion of video monitors.
This may be an expensive restriction in a crowded hospital.
Therefore, methods of shielding the space from the fringing
fields are frequently used. Three methods are generally available: close iron, remote iron, and active shielding. The use of
iron close to the coils has been used in a few instances. However, the iron must be at room temperature, to avoid otherwise severe cryogenic penalties. That leads to difficulties in
2000 mm
1600 mm
balancing the forces between the coils and the iron in order
to minimize the loads that the cryogenic supports must resist.
Remote iron takes the form of sheet, typically several millimeters thick, placed against the walls of the MRI room. This
involves rather awkward architectural problems but is used
frequently where the restricted space can still extend several
meters from the cryostat.
The third form of shielding is by superconducting coils,
built around the main coils, operating in series with the main
coils as part of the persistent circuit, and in the same cryogenic environment. Those shield coils generate a reversed
field to cancel, or reduce, the external fringing field. Typical
of the resulting magnet is the eight-coil configuration shown
schematically in Fig. 12.
Particular aspects of the illustration follow. The outwardly
directed body forces in unshielded MRI windings are supported in tension in the conductor. However, in the shielded
version, those forces are too large to be supported by the conductor alone, and a shell is applied to the outside of the winding against which the accumulated body forces act. Thus, the
body forces on coils 3 and 4 are supported on their outer surfaces by a structural cylinder. Coil 4 provides the compensation of the dipole moment of the three inner windings so that
the fringing fields of the magnet are much reduced from those
of the unshielded magnet. The reduction in the volume of the
restricted space is about 93%. The magnet is much heavier
(and more expensive) than the simple unshielded type and
the structural design of the cryostat and the suspension system is accordingly stronger. The highest fields generated at
the center of shielded whole-body MRI magnets is 2 T. See
also Ref. 12.
Inner
Radius
(mm)
Outer
Radius
(mm)
Left
End
(mm)
Right
End
(mm)
Number
of
Turns
1
2
3
4
5
741.9
742.2
742.1
742.2
741.9
807.1
785.7
777.0
785.7
807.1
977.0
391.9
74.9
244.6
724.9
724.9
244.6
74.9
391.9
977.0
3030
1180
960
1180
3030
316
CRYOGENICS
As for NMR magnet systems, the economic operation of superconducting MRI magnets demands cryogenic systems with
low heat in-leak. The evolution of MRI cryostats has been significant over the past 15 years. They have changed from simple liquid helium, liquid nitrogen shielded reservoirs with relatively high cryogen evaporation rates to single or multicryocooled cryostats. In one embodiment, no refrigerant is
used in some types of cryocooled MRI magnets; in other examples, a combination of cryocoolers and refrigerants provide a
zero evaporation rate. Demountable current leads are an essential feature of any magnet system with low refrigerant
evaporation rate, and have been a standard feature of MRI
magnet systems since 1974. An implication of demountable
current leads is the need for the MRI magnet to be self-protecting during quenching, just as an NMR magnet must be.
shields and helium vessel, also sometimes the room temperature bore tube, if that is metallic. This distortion is minimized
by locating sets of shield coils near the room temperature bore
tube. These active shield coils are energized in opposition to
the main pulsed gradient coils. They serve to confine the return flux of the gradient coils to flow in the space between the
main gradient coils and the shield coils. The eddy currents
induced in the surrounding structures are thereby minimized.
The shield coils reduce the efficiency of the pulsed gradient
system, that effect becoming more pronounced as the diameter of the main coils becomes a large fraction of that of the
shield coils. At a diameter ratio greater than about 0.85, the
efficiency is so reduced that the driving power required for
useful gradient fields becomes prohibitively large. Figure 13
illustrates the form of the shielded dB/dx or dB/dy pulse coils.
The dB/dZ coils are simple solenoids surrounded by
shielding solenoids.
BIBLIOGRAPHY
1. E. M. Purcell, H. C. Torrey, and R. V. Pound, Resonance absorption by nuclear magnetic moments in a solid, Phys. Rev., 69: 37,
1946.
2. F. Bloch, W. W. Hansen, and M. Packard, Nuclear induction,
Phys. Rev., 69: 127, 1946.
3. E. Becker, High resolution NMR, Theory and Applications, New
York: Academic Press, 1980.
4. R. R. Ernst, G. Bodenhausen, and A. Wokaun, Principles of Nuclear Magnetic Resonance in One and Two Dimensions, Oxford:
Clarendon Press, 1987.
5. D. B. Montgomery, Solenoid Magnet Design, New York: WileyInterscience, 1969, p. 4.
MAJORITY LOGIC
8. M. Abramowitz and I. A. Stegun (eds.), Handbook of Mathematical Functions, Washington, DC: US Dept. of Commerce, Natl.
Bur. of Standards, 1964.
9. F. Romeo and D. I. Hoult, Magnetic field profiling: Analysis and
correcting coil design, Magn. Resonance Med., 1: 4465, 1984.
10. M. R. Thompson, R. W. Brown, and V. C. Srivastava, An inverse
approach to the design of MRI main magnetics, IEEE Trans.
Magn., MAG-30: 108112, 1994.
11. W. H. Press et al., Numerical Recipes: The Art of Scientific Computing, Cambridge, UK: Cambridge Univ. Press, 1987.
12. F. J. Davies, R. T. Elliott, and D. G. Hawksworth, A 2 Tesla active shield magnet for whole body imaging and spectroscopy,
IEEE Trans. Magn., MAG-27: 16771680, 1991.
13. E. S. Bobrov and W. F. B. Punchard, A general method of design
of axial and radial shim coils for NMR and MRI magnets, IEEE
Trans. Magn., MAG-24: 533536, 1988.
14. P. Davis and P. Rabinowitz, Abscissas and weights for Gaussian
quadrature of high order, J. Res. NBS, (RP2645), AMS (55): 35
37, 1956.
15. Y. Iwasa, Case studies in Superconducting Magnets, New York:
Plenum, 1994, pp. 306307.
16. J. E. C. Williams et al., NMR magnet technology at MIT, IEEE
Trans. Magn., MAG-28: 627630, 1992.
17. B. J. Maddock and G. B. James, Protection and stabilisation of
large superconducting coils, Proc. Inst. Electr. Eng., 115: 543
546, 1968.
18. A. Zhukovsky et al., 750 MHz NMR magnet development, IEEE
Trans. Magn., MAG-28: 644647, 1992.
19. D. G. Hawksworth, Superconducting magnets systems for MRI,
Int. Symp. New Develop. in Appl. Superconductivity, Singapore:
World Scientific, 1989, pp. 731744.
JOHN E. C. WILLIAMS
Massachusetts Institute of
Technology
MAGNETS FOR NMR. See MAGNETS FOR MAGNETIC RESONANCE ANALYSIS AND IMAGING.
317
POWER QUALITY
747
POWER QUALITY
Society is becoming more and more reliant on machines, devices, and processes that are sensitive to the quality of the
electrical power they use. At the same time, the generation
and distribution system for electrical power is becoming more
and more complex and vulnerable to internal and external
perturbations that disrupt the quality of power available to
the end user. For these reasons, there is a large market developing for high-quality power, and several technologies that
can provide such power have been or are being developed. In
this article, we discuss some of these power quality issues and
provide some insight into one of the technologies proposed to
provide high-quality power. The first part of the article focuses on power quality issues and how microSMES (Superconducting Magnetic Energy Storage) technology is inserted
into the power flow stream. The second part is devoted to a
discussion of the fine points of microSMES technology from a
design and performance point of view.
POWER QUALITY
The term power quality encompasses a broad range of technical issues that concern everyone, from the casual computer
user to the equipment manufacturer, the industrial plant
manager, and the utility transmission engineer. The technical
issues associated with power quality at all these levels are
complex, and they are often disguised behind misconceptions,
vague definitions, and misapplied solutions. The lack of a consistent vocabulary, difficulty in fully characterizing power
quality at the ultimate point of use, and confusion over the
applicability of available solutions often encourages an adversarial relationship between the user, the equipment manufacturer, and the utility provider.
The technical community as well as the general public is
increasingly concerned about power quality factors for a number of interrelated reasons. Some of the issues behind this
growing apprehension include:
Increasing levels of automation and flexibility have
caused a proliferation of microprocessor-based controls
and power electronic systems that are more sensitive to
power quality variations than older electromechanical
devices.
Utility operating procedures designed to minimize sustained interruptions have increased the frequency of
short-duration interruptions through wider use of line
reclosers and instantaneous breaker operations.
A growing emphasis on energy conservation has encouraged greater use of high-efficiency adjustable-speed motor drives and nonlinear power supplies that generate high
levels of harmonics and heating of neutral conductors.
The increasing sophistication of industrial processes and
their reliance on expensive raw materials have greatly
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
748
POWER QUALITY
Categories
1.0 Transients
1.1 Impulsive
1.1.1 Nanosecond
1.1.2 Microsecond
1.1.3 Millisecond
1.2 Oscillatory
1.2.1 Low frequency
1.2.2 Medium frequency
1.2.3 High frequency
2.0 Short-duration variations
2.1 Instantaneous
2.1.1 Interruption
2.1.2 Sag (dip)
2.1.3 Swell
2.2 Momentary
2.2.1 Interruption
2.2.2 Sag (dip)
2.2.3 Swell
2.3 Temporary
2.3.1 Interruption
2.3.2 Sag (dip)
2.3.3 Swell
3.0 Long-duration variations
3.1 Interruption, sustained
3.2 Undervoltages
3.3 Overvoltages
4.0 Voltage unbalance
5.0 Waveform distortion
5.1 dc offset
5.2 Harmonics
5.3 Interharmonics
5.4 Notching
5.5 Noise
6.0 Voltage Fluctuations
7.0 Power frequency variations
Typical
Spectral
Content
Typical
Duration
5 ns rise
1 s rise
0.1 ms rise
50 ns
50 ns1 ms
1 ms
5 kHz
5500 kHz
0.55 MHz
0.350 ms
20 s
5 s
0.530 cycles
0.530 cycles
0.530 cycles
0100th harmonic
06 kHz
Broadband
25 Hz
Typical
Voltage
Magnitude
04 pu
08 pu
04 pu
0.1 pu
0.10.9 pu
1.11.8 pu
30 cycles3 s
30 cycles3 s
30 cycles3 s
0.1 pu
0.10.9 pu
1.11.4 pu
3 s1 min
3 s1 min
3 s1 min
0.1 pu
0.10.9 pu
1.11.2 pu
1 min
1 min
1 min
Steady state
0.0 pu
0.80.9 pu
1.11.2 pu
0.52%
Steady state
Steady state
Steady state
Steady state
Steady state
Intermittent
10 s
00.1%
020%
02%
01%
0.17%
POWER QUALITY
749
500
400
300
Applicable to 120, 120/208, and
120/240 nominal voltages
200
140
120
110
100
90
80
70
Voltage-tolerance
envelope
40
0
1 s
0.001 c
0.01 c
0.1 c
1 ms
0.5 c
3 ms
1c
20 ms
10 c
100 c
1000 c
0.5 s
10 s
110%
100%
age magnitude and duration. The curve assumes that equipment will ride through disturbances falling within the envelope without any malfunctions. It is assumed that
disturbances that fall below the envelope may cause the load
equipment to drop out because of a lack of energy, whereas
disturbances that fall above the envelope may lead to overvoltage trips, insulation breakdown, and other problems. Unfortunately, the actual voltage tolerance of electronic equipment
varies quite widely and rarely approximates the CBEMA
curve. Nevertheless, the CBEMA curve has become a de facto
reference for evaluating the quality of the electrical supply
and the tolerance of sensitive equipment to voltage disturbances.
Figure 2 shows a plot of voltage sag data collected from
15 semiconductor manufacturing sites overlaid on the lower
portion of the CBEMA envelope. The disturbance data are a
subset of the data collected as part of the EPRI Distribution
Power Quality (DPQ) study (3). The DPQ study collected data
on a representative sample of utility distribution feeders,
with monitors placed on 100 different feeders at 24 geographically dispersed utilities over a period of approximately 2
years. The data shown in Fig. 2 was restricted to data acquired at semiconductor plants to characterize the electrical
environment faced by large industrial customers served by
dedicated substations. These sites generally have fewer disturbances than the average substation serving a mix of com-
Steady
state
Figure 1. Revised voltage tolerance envelope developed by CBEMA to characterize compatibility at the userss load in
terms of the minimum voltage magnitude
and duration of the disturbance. This de
facto standard was intended to provide a
benchmark for measuring the ridethrough capability of sensitive electronic
equipment against the quality of the
available electric supply.
90%
80%
70%
60%
50%
40%
30%
CBEMA curve
20%
10%
0%
10
100
Duration (cycles)
1000
Figure 2. Scatterplot of EPRI DPQ study data overlayed on the relevant portion of the revised CBEMA curve. The 1076 disturbance
events were acquired at 15 semiconductor manufacturing plants over
a period of approximately 2 years. The number of disturbances that
fell on or below the CBEMA curve was 166, giving an average of 5.4
events per year per site outside the voltage tolerance envelope.
750
POWER QUALITY
1520 events
80
70
1015 events
60
05 events per
site per year
50
40
30
20
10
10
20
40
60
80
100
200
300
400
Duration (ms)
500
600
700
800
POWER QUALITY
Maintenance
bypass
switch
Utility
source
Disconnect
switch
Disconnect
switch
Solid-state
isolation
switch
ac
Converter
dc
V
Converter
Sensitive
load
for
industrial
process
Inverter
Chopper
Superconducting
magnet
Support
system
Maintenance
bypass switch
Utility
source
751
Motor Drive System. In adjustable speed motor drive applications, the microSMES unit supplies a dc voltage rather
than the ac voltage supplied in shunt- and series-connected
applications. As shown in the block diagram of Fig. 6, the dc
voltage from the microSMES unit is fed into the dc link between the rectifier and inverter of the motor drive itself. This
configuration eliminates the need for a separate inverter for
Sensitive
load
for
industrial
process
Solid-state
isolation switch
Disconnect
switch
Disconnect
switch
Injection transformer
ac
Converter
dc
Inverter
V
Converter
Chopper
I
Support
systems
Superconducting
magnet
752
POWER QUALITY
Adjustable speed drive
ac
Utility
source
dc
Converter
Converter
dc
ac
Critical
motor
for
industrial
process
V
Converter
Voltage regulator
I
Superconducting
magnet
Support
systems
the MicroSMES system. The voltage regulator in the microSMES system simply ensures that the dc link capacitor
remains fully charged in the event of a loss of utility power
feeding the rectifier.
MicroSMES
The remainder of this article concentrates on the system that
provides the energy to ride through a power disturbance. In
particular, energy stored in a magnetic field is discussed.
Magnetic energy storage systems are described in general and
compared with other forms of storage. Details of the design
Battery UPS
ac
Utility
source
dc
Converter
Converter
dc
ac
Converter
Voltage regulator
I
Support
systems
Superconducting
magnet
Critical
motor
for
industrial
process
POWER QUALITY
Unconditioned
utility power
Raw
power
Optional
fast-start
stand-by
generator
Power
electronics
Continuous
conditioned power to
customer load
Clean
power
Converter
753
I
Power
leads
Controls
and remote
monitoring
Cryocooler/
recondenser
Superconducting
toroid
Helium vessel
Thermal shield
Vacuum vessel
are discussed, with the purpose of identifying the design drivers that affect the economy of such systems. Finally, a generalized algorithm to arrive at a design that minimizes the cost
required to store a unit of energy is developed to further illuminate the design issues related to microSMES.
What is SMES?
Designs for SMES systems have been proposed at several
sizes and stored energy scales. Early SMES studies focused
on very large systems, which stored thousands of megawatthours of energy and were designed to provide peaking power
for large utility baseloads. SMES systems storing tenths of
megawatt-hours have been proposed to provide stabilization
of power distribution grids subject to transients that can disrupt the delivery of power over transmission lines. Some applications in the military and elsewhere require hundreds of
megawatt-seconds of energy delivered at very high power that
can be supplied by SMES systems. Finally, SMES systems
storing on the order of 1 MW s to 10 MW s of energy are
proposed to provide short-term high-quality power to equipment and processes sensitive to voltage sags and/or power
outages. The latter set of systems as a group is referred to as
microSMES, or SMES.
A typical block diagram of a SMES system is shown in
Fig. 8. There is a power electronics subsystem that provides
an interface between the energy storage system (the magnet)
and the power source/load. Depending on the application,
there might be an auxiliary stand-by power source included
in the system to handle long power outages. Ancillary subsystems support the magnet. It operates at liquid helium temperature and requires refrigeration and insulating vacuum
systems to maintain operating conditions. The heart of the
system is a superconducting magnet. This is an electromagnet
wound with superconducting wire. When the wire is operated
at liquid helium temperature (4.5 K, or 450F), it will carry
an electric current with no resistance. Thus there are no resistive losses, and the storage of energy in the form of a magnetic field becomes possible.
The economics of SMES are determined by these three
major subsystems. Unlike battery storage, where all the cost
754
POWER QUALITY
POWER QUALITY
ture, with the conductor isolated from adjacent turns and the
supporting structure by some insulation system.
The conductor represents the major materials cost of a
SMES system. It will be either a single monolithic wire, or
a cable of smaller wires. At currents up to 2000 A to 3000 A,
a single wire will do the job and is easier to fabricate and
wind. At higher currents (higher powers), a cable is the preferred approach. The primary reason is that, as the size of
wire increases, the ac losses during discharge become significant. These losses are reduced in a cable because of the resistance created at the many contact points between individual
wires.
The structure must support the winding against the Lorentz loads created when a conductor is placed in a magnetic
field. In a simple solenoid, the structure is trivial because the
net force on the coil is zero (excepting its own weight). The
forces act to expand the coil radially outward and compress
the coil axially. The winding itself is the structure. In solenoidal arrays, or toroids, there are significant forces that act on
the individual coils, which must be reacted with structure.
The nature of the forces depend on the geometry and arrangement of the coil array. For a toroid, a centering force acts to
compress the toroid into as small a diameter as possible.
The insulation system is key to the economic viability of
SMES because it determines the maximum allowable voltage at which the coil can be discharged. The voltage level determines how much of the stored energy can actually be delivered at a given power level, and the higher the voltage, the
lower the current can be, which reduces the refrigeration
load. The largest voltages appear across the terminals of the
coil, and also from coil to ground. The insulation in these
areas must be designed to withstand high voltage stresses
for many cycles. The voltages between layers of the coil, and
between turns, are generally much less because the terminal
voltage gets divided essentially evenly over each layer and
turn.
The cryogenic system maintains the operating environment for the superconducting coil, which is at the normal boiling point of liquid helium, or approximately 4.5 K. The components are the helium refrigerator and the cryostat. A key part
of the cryostat that affects the economics of SMES are the
leads that connect the cold magnet to the warm power conditioning system.
The complexity, size, and cost of the refrigerator depends
on the size of the heat load to the refrigerated space. Larger
heat loads, on the order of tens of watts, require a refrigerator
based on the Collins cycle. These are commercially available
and consist of a compressor and a cold box. The compressor
produces a high-pressure stream of gas, which is passed
through the cold box. Inside the cold box are counterflow heat
exchangers, which cool the high pressure gas with cold, lowpressure gas returning from the cold space. At several temperature stages, part of the gas stream is diverted through an
expansion engine to produce additional cold cooling gas. Only
a portion of the gas that is compressed actually is used to
refrigerate the magnet. One of the problems with helium refrigerators is that any other contaminants in the gas stream
condense out on the heat exchanger surfaces. This includes
the oil that must be used to lubricate the compressor. One of
the reasons helium refrigerators are so expensive and hard to
maintain is that the gas cleaning systems require periodic
cleaning.
755
At smaller heat loads, newer cryocooler technology is preferred. These are small refrigerators based on the Gifford
McMahon cycle, which employs a regenerator heat exchanger
to cool the high-pressure gas stream. These devices are also
subject to degradation resulting from fouled heat transfer surfaces, but they have the advantage of not requiring cold
expansion engines that can wear out, and the regenerator
tends to be self-cleaning to some degree. Cryocoolers still require periodic maintenance, but the system can be designed
to accommodate a changeout of the cold head without having
to shut down the magnet. The disadvantage of cryocoolers is
that they are not as efficient as the Collins machines, which
is why they are not used for large heat loads.
The cryostat provides a vacuum enclosure for the helium
vessel and supports the vessel with low conductivity supports.
A thermal shield is placed between the vacuum vessel and
helium vessel to intercept heat radiated from the warm surface. The thermal shield is cooled either with liquid nitrogen
or by conduction to a cryocooler. Multilayer insulation is used
to further reduce the heat leak. As long as the vacuum is
maintained at a pressure of 102 Pa or lower, the heat leak
into the helium vessel can be made very low, with proper design practice.
The current leads to the coil will always be the largest single source of heat into the magnet. The connections, or power
leads, cannot be made from materials with low thermal conductivity because they would then have a high electrical resistance. More electrical resistance means more resistive heating, which then ends up in the cold magnet system. The
fundamental tradeoff for power leads is the balancing of the
heat conducted down the lead against the heat generated by
resistance within the lead. Whatever one does to make one
component smaller increases the other by roughly the same
amount. All is not lost, however; there are three things that
can be done to minimize the heat input.
The first is simply to reduce the current the leads have
to carry. The required refrigeration power is directly proportional to the amount of current in the leads. A good
magnet design, then, will use the least amount of current
possible. The drawbacks are threefold. First, less current
in the conductor means more turns of conductor are required to provide the same stored energy. Depending on
how the conductor is priced, this can mean additional
capital cost for the magnet system. (Note that the total
volume of conductor stays about the same, so if the cost
of conductor is dominated by bulk material cost, the cost
is about the same regardless of current; if the conductor
cost is primarily the result of processing, longer lengths
of smaller wire will cost more.) The other two drawbacks
are risk related. More turns of conductor make it harder
to protect the magnet from overheating in the event of a
quench. Also, as the current is decreased, it requires
more voltage across the coil terminals to extract the
same amount of power. As the voltage increases, so does
the risk of damaging the coil insulation as a result of
voltage breakdown.
The second way to reduce the heat leak is to employ a
high-temperature superconductor for a portion of the
leads. The ceramic materials are an exception to the general rule that thermal conductivity goes up as electrical
756
POWER QUALITY
resistivity goes down. Although still a developing technology, high-temperature superconductor (HTS) leads
have been built and have demonstrated a fourfold reduction in heat leak over conventional leads. They are complex and lack design maturity at the present time but
offer a promising path to reduced heat leak.
The final way to reduce the heat load caused by the
power leads is to short the coil leads with what is called
a persistent switch. This is a length of superconducting
wire stabilized with coppernickel alloy instead of pure
copper, so it is more resistive when normal. The switch is
opened by driving it normal. Allowing it to cool to helium
temperature makes it superconducting. When superconducting, the current circulates entirely within the helium
vessel. The leads only carry current during a current
pulse when the energy is extracted from the SMES device. As a consequence, the leads can be rated for transient operation only, resulting in a much smaller crosssection and a reduced heat leak.
What Drives the Design?
The design of a SMES storage system is fundamentally
driven by the need to minimize the cost necessary to provide
a specified amount of power for a specified span of time. The
cost includes the cost of materials (primarily superconductor),
the cost of labor to manufacture the magnet system, and the
life-cycle operating cost of the system (the cost of electricity
to run the refrigerator dominates). In this section, the design
issues and tradeoffs are discussed, and a generic algorithm
for producing an optimized design is outlined.
The ultimate design drivers for a SMES device are the
power P it is required to deliver and the time duration tp the
power is needed. It is then basic to SMES that the energy
stored in the magnet system be at least equal to the product
of the required power and the time duration. Other considerations force the total stored energy to exceed this amount.
There are constraints to the design as well. The fact that
the voltage must rise as energy is extracted from the magnet
has been mentioned. The maximum allowable voltage is one
constraint on how much energy can be extracted.
Another constraint to the efficiency of the design is the fact
that heat is produced in the windings when the coil is discharged. This is the result of what are commonly referred to
as ac losses. They arise when a magnetic field changes with
time in a conducting medium. If the ac losses heat the conductor to the point that superconductivity is lost, some of the
stored energy is lost to heat dissipated in the windings and
cannot be extracted as electrical power.
Another constraint is the stress level in the coil pack. The
factors that make a coil efficient in terms of cost are the current density in the conductor, the field that the coil produces,
and the size of the coil. Making any of these three larger to
improve cost efficiency also increases the stress level in the
conductor. Thus there is an upper limit to the economics resulting from the strength of the materials.
The behavior of superconducting material in a magnetic
field also places a limit on the performance of SMES. There
is a limit to the amount of current a conductor can carry at
any given field. This is called the critical current. The critical
current density in the niobiumtitanium superconducting
(1)
(2)
1 2
LI
2
(3)
(4)
POWER QUALITY
be expressed as
2
Ptf
P
=1
Uo
Vm Io
(5)
field decay rate B. For the purposes of this article, this can be
written as
Q = aB 2
(6)
Now, the field is proportional to the current. If Bp is the operating field at the operating current Io, then it can be shown
that the total heat Q that is deposited in the conductor during
a discharge is equal to
tf
Q=a
0
B 2 dt = a
B2p P
Uo
ln
4 Uo
Uo + Ptf
(7)
757
(8)
Coil winding
ao
ai
= ao / ai
= (l/2) ai
Coil centerline
758
POWER QUALITY
(9)
o w
S(, )
(10)
Jc (Bp , To + Tm )
1+
(11)
(12)
(13)
SCOTT D. PECK
JOHN C. ZEIGLER
Houston Advanced Research Center
INTRODUCTION
An interconnection network connects various sources of information using a set of point-to-point links. A link is a
connection using a copper wire or an optical ber, or it may
be wireless. The nodes are autonomous data sources and
can request to transfer any amount of information to any
other node. Figure 1 shows an example network consisting
of four nodes. Node A has a link connected to node B and
a link connected to node C. Node B is connected to nodes
A and D. Nodes C and D are connected to nodes A and B,
respectively. If node C desires to send some information to
node B, it sends it to node A, which in turn routes it to node
B. Node A thus acts as an intermediate node. The capacity of a node is the amount of information it can transmit
(also called source capacity) or receive (also called sink capacity). The capacity of a link is the amount of information
that can be transferred over the link in one unit of time.
The network design deals with the interconnection of
various nodes and how to transmit information from one
node to another. Network architecture and design both
have multiple meanings. The most commonly used interpretation relates to the decisions one needs to make to design a network. The four most important aspects of network
architecture and design are described below.
Network Topology
A topology denes how nodes are interconnected. For example, the topology of the NSF network is shown in Fig.
2. Most network topologies are hierarchical in nature. The
design involves developing the structure of the hierarchy,
structures of nodes at each level, and detailed designs of the
nodes. It also involves assigning link and node capacities
to transport the desired trafc. A hierarchical topology is
depicted in Fig. 3. We will be studying the decisioin-making
process and related algorithms and examples in detail in
this artice.
A network node is placed in a hierarchical fashion in
such a way that it is close enough to several data sources.
The closeness is described in terms of suitable performance
metrics such as physical distance and cost of connection. A
network node serves as a service point for all data sources
connected to it. Such a node is called a gateway as it connects data sources to network nodes. Nodes A, B, C, are such
nodes. Gateways connect to data sources, such as nodes
1, 2, .... 12 at the next lower level in the hierarchy and to
routers or switches such as nodes X, Y, etc. at the next
higher level. Switches and routers route information to
other switches and routers on way to other gateways from
where the data are delivered to destinations. There may be
more levels in the hierarchy.
Node and link placement, and their capacities, in a network topology depend on the desired or required trafc ow
that is dened by the trafc characteristics. This problem
is well-studied, and more information can be found in References (17). In principle, ideal locations for both network
nodes and links may be specied using algorithms (possibly
complex) that would optimize network design using performance metrics of interest. In practice, these placements are
also governed by factors such as existing network, ease of
operation, and convenience of management, which are not
always easy to accommodate in the design process.
Transmission Technology
Physical layer transmission technologies describe the characteristics of physical medium. These technologies involve
signal processing techniques, modulation and demodulation techniques, coding and decoding of information, multiplexing and demultiplexing techniques employed to enhance the utilization of each link, and issues related to
these techniques. Physical medium can be a wire, such
as copper link, coaxial link, optical ber, or wireless link
using microwave or radio frequencies. The signals being
transmitted over the physical medium can be modulated
and demodulated using amplitude modulation, frequency
modulation, or phase modulation where the information
being transmitted modies the shape of the waveform being transmitted. Figure 4 demonstrates examples of modulation techniques. Multiplexing techniques such as time
division, frequency division, and code division multiplexing
techniques are used to mix and transmit information from
various sources on a single link. In time division multiplexing, each source is given a fraction of time in a given interval, called a frame. In frequency and code division multiplexing, frequencies and bandwidth available on a channel are effectively partitioned so that all sources can use
parts of the capacity of the channel simultaneously. Figure
5 shows different multiplexing techniques.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Cost
The cost of the network is viewed differently by different
people. The cost includes parameters such as installation of
links and nodes, including the cost of the facility to house
the nodes and lay the links (copper or ber). Laying out
links is very expensive and includes buying/leasing land,
digging land, laying out conduits, cost of cables, wires, or
optical bers, end-interfaces, buffering, processing hardware at each end of a link, and management of links. Additional operational and maintenance costs are needed to
keep the hardware up and running, replacing faulty components and cables, and managing the resources.
For a network service provider, the cost consists of laying out and operating the network. On the other hand, the
users or consumers of network resources do not concern
themselves with these costs. The costs they account for are
the cost quoted to them by the network providers in terms
of tariffs for different quality of service (QoS) at different
times. These tariffs are usage sensitive and depend on the
volume of data being transported, time of day (morning,
evening, or night), priority of transmission, tolerable delay and loss of data, and several such factors. These factors together are called QoS parameters. To provide and
guarantee a specic quality of service, the network service provider has to dedicate some network resources such
as bandwidth on individual links, buffer spaces at various
nodes on the path, time slots for transmission of specic
data, and alternative resources in case of a failure for that
service. The cost of these resources forms the basis for tariffs. The development of a cost model for a link is a difcult
problem. Often good approximations and simplication of
cost structures are used by the network service providers to
keep the complexity of the network design and service tariffs under control. In our designs, we consider both models
(actual physical network cost model and consumer network
cost model) of cost in our designs.
APPROACHES TO NETWORK DESIGN
If a new network is being designed from scratch with no
existing capacity, well-dened trafc requirements (trafc
intensities), and full freedom in selecting network components, then the designers can make the best possible decisions by balancing the cost and QoS requirements, such as
throughput, delay, and other performance measures. However, more often than not, most real designs are incremental; that is, the resources are added or upgraded over the
existing capabilities as required by the new demands. The
network really evolves with the needs and, in general, is
in response to the new requirements. This restriction restricts the optimality in design as the existing design governs the nal output.
Inputs for network designs are based on the best estimates of the anticipated trafc between various sources
and destinations. Such data are available in the form of
a trafc matrix. Many networks are designed using current and additional anticipated needs and certain rules of
thumb in an incremental fashion. The decisions are based
on the experience of the designer. It is possible to make
serious mistakes as part of a new design. For example,
and
u1 = 0
uj = min{uk + ak j }
k = j
j = 2, 3, , N
j = 2, 3, , N
and
= min(um
um+1
ij
ik + ak j )
k
node with the mimimum value of dik as permanently labeled. The same computation is carried out again. As all
edge weights are positive, in the next iteration, none of the
marked nodes can have any smaller value.
An example of the execution of the algorithm is shown
in Fig. 10. Node A is the source node. A dark node is a permanently labeled node. At each step, one node is marked
labeled and the value associated with a node is its shortest distance from the source thus far with L being a large
value. The algorithm terminates in N 1 steps.
aik bk j
100 40
100
0
A=
40
30
20
20
30
30
20
20 30
0
100
A2 =
40
30
70
100
0
50
130
20
40
50
0
20
30
30
130
20
0
50
70
20
30
50
0
90
0
50
70
20
90
A4 =
40
30
70
40
50
0
20
30
30
70
20
0
50
70
20
30
50
0
FloydWarshall method
Another method to compute the shortest paths between all
node pairs is from Floyd and Warshall with a computational complexity of O(N3 ). In this method, um
i j denes the
length of the shortest path from node i to j such that it
does not pass through nodes numbered greater than m
1 except nodes i and j. Then
u1i j = ai j
and
m
m
= min{um
um+1
ij
i j , uim + um j }
uN+1
is the shortest path length matrix. Also, um+1
= 0 for
ij
ij
all i and for all m.
This procedure has N(N 1)(N 2) equations, each of
which can be solved by using N(N 1)(N 2) the additions
and N(N 1)(N 2) comparisons. This order of complexity
is the same as that for Bellmans method (also known as
the BellmanFord method as it was independently discovered by two researchers), which yields the shortest path
only from a single origin. The Dijkstra method can also
be applied N times, once from each source node, to compute the same shortest path length matrix. This process
takes only N(N 1)/2 additions for each pass, for a total of
N 2 (N 1)/2 additions, but again housekeeping functions
in Dijkstras method make it noncompetitive.
The computation in the FloydWarshall method proceeds with u1 = A and Um+1 is obtained from Um by using row m and column m in Um to revise the remaining
elements. That is, uij is compared with uim + um j and is replaced if the latter is smaller. Thus, the computation can
be performed in place and is demonstrated in the following
for the graph in Fig. 6a.
0
0
100 40 30
100 40
100 0
20
100 0
140
A0 =
40 0 20 30 A = 40 140 0
30
20 0
30
130 20
20
30 0
20
30
0
100
A3 =
40
30
70
100
0
140
130
20
40
140
0
20
30
30
130
20
0
50
70
20
30
50
0
0
100
A4 =
40
30
70
100
0
140
130
20
30
130
20
0
40
140
0
20
30
20
30
30
130
20
0
50
0
100
A2 =
40
30
120
70
20
30
50
0
0
90
A5 =
40
30
70
100
0
140
130
20
40
140
0
20
30
90
0
50
70
20
40
50
0
20
30
30
130
20
0
30
70
20
0
50
120
20
30
70
20
30
50
0
than one link exists between any pair of nodes. Nodes can
be visited in two different ways. In the rst case, once we
are at a node, we visit all of its neighbors before visiting any
other (non-neighbor) nodes. This process is called breadth
rst order. For example, for a given tree graph as shown in
Fig. 13, we rst visit the root node A. After that, we visit
all its children, which are B, C, and D. Then we traverse
children of B, C, and D, which are E and F, G and H, and
I, respectively. Finally, we visit the children of these nodes
and include nodes J, K, L, and M in the list of visited nodes.
In the second case, we visit nodes in depth rst order.
In this case, when we visit a node, we immediately visit
its children rst before visiting any of its siblings. In the
example tree of Fig. 13, the nodes will be visited in order
A, B, E, F, J, C, G, K, L, H, D, I, and M.
Depending on the application, one or the other method
is used. For example, if the tree nodes represent solutions
of a problem and we are interested in one solution, depth
rst search is likely to yield the solution faster. On the
other hand, if we are interested in all possible solutions,
then breadth rst search is more appropriate.
Network (Max) Flow
In a given network, one may like to compute the available
capacity on all paths from a source to destination. In that
case we need to determine the maximum information ow
possible from the source to the destination, which is accomplished by using a network ow analysis algorithms (15).
The network graph is treated as a directed graph, and the
maximum possible ow from a source node s to a destination node t is computed. For a given directed graph, each
edge (i, j) is assigned a capacity using a nonnegative value
Cij that represents the available capacity to carry information on edge (i, j) from node i to node j. In addition, nodes
may have additional constraints in terms of amount of information they can support in terms of buffer space and
other factors from all incoming edges or links. This characteristic is the node capacity constraint. Let Xij be the
amount of actual ow through edge (i, j). At each node, information must be conserved as part of the total ow from s
to t. That means the amount of information entering a node
must be the same as the amount of information leaving
that node. This information must not exceed the capacity
of the node, or the following constraints must be satised:
0 Xi j Ci j ,
and
i
Xi j =
j
X ji
Also
Xs j is the amount of information that leaves the
j
X jt , which is the amount
source node s and is equal to
j
of information that arrives at the destination node t.
Any such set of ows Xij that satises the above constraints is called a feasible ow set. Maximizing feasible
ow by increasing ow on different links while satisfying
all constraints yields the max-ow value. For a given graph,
this result is achieved. For a given graph, this result is
achieved as follows.
First, we nd a feasible ow from node s to node t (0
ow is trivial). Now, let P be an undirected path in the
directed network from s to t. An edge on this path is called
a forward edge if it is directed toward node t. Otherwise, it
is a backward edge. A ow on this path can be augmented
or increased if Xi j < Ci j on all forward edges and Xi j > 0 on
all backward edges. The amount of increase is given by
min{ min {Ci j Xi j }, min {Xi j }}
forward
backward
n
c jx j
j=1
10
ai j x j > bi
i = 1, 2, , m.
j=1
n!
which has no more than mn =
solutions for m
m!(n m)!
n possible solutions. The simplex method systematically
searches for an optimal solution over this space.
A variation of this problem is when all variables are
restricted to be integers only. This situation is called an integer programming problem (IPP), and it makes the problem more complicated. Standard packages are available to
solve the two types of problems. The goal of a network designer is to formulate the proble as an LPP or IPP and
then solve it using a standard package or a heuristic algorithm. If the problem size (the number of variables and
constraints and therefore the number of possible solutions
to search from) becomes too large, then we use heuristic
methods only to solve the problem.
ROUTING ALGORITHM
A routing algorithm establishes an appropriate path from
any given source to a destination. The objective of network
routing is to maximize network throughput with minimal
cost in terms of path length. To maximize throughput, a
routing algorithm has to provide as many communication
paths as possible. To minimize the cost of paths, the short-
11
12
Recongurable Topologies
There are two important issues in the design of a recongurable network: ease of embedding a given permutation
and the cost of implementing the network. An N N crossbar can realize all permutations easily but has a cost that is
proportional to O(N2 ). To reduce the cost, a rearrangeable
network (26) may be acceptable. The generalized foldingcube (GFC) and the enhanced hyper-cube (EHC) are two
such topologies derived from binary cube architecture.
13
Ci j Xi j
ij
Then the existence of links has to be subjected to conditions that the network should satisfy. For example, each
node should be connected by at least one link. This can be
specied as
Xi j + X ji 1
14
1
2 2
(x)2
2 2
n!
k!(n k)!
t0
15
Design
How we use these distributions can be demonstrated using
the following example. Suppose a network has ten nodes
that want to communicate among themselves. Assume the
probability that a node originates a data request is p=
0.1. The switching network can connect a call if all required links are free or not in use. How many links should
we provide so that a communication request can be satised with high probability? In this case, the average number of requests
is E(n, p) = 10
0.1 = 1.0 and the deviation is = 10 0.1 0.9 = 0.9 = 0.95. To satisfy most
of the requests with high probability, we may like to provide + 3 = 1 + 3 0.95 4 links.
Delays in Networks
A communication link can be viewed as a bit pipe over
which a given number of bits is transmitted over a unit
of time. This number is called the transmission capacity
of the link and depends on the physical channel and the
interface at the two ends of the link. The bit pipe (link) is
used to serve all trafc streams that need to use the link.
The trafc of all streams may be merged into a single queue
and transmitted on a rst-come-rst-serve basis. This process is called statistical multiplexing. It is also possible
to maintain several queues for a link, one for each trafc stream or one for each priority if the incoming trafc
streams have multiple priority levels assigned to them. If
a packet length is L and the link capacity is C bits/s, then
it takes L/C seconds to transmit a packet.
In case all incoming communication requests for a link
are assigned to a queue and serviced as the resources become available, there are four different kinds of delays a
packet suffers on a link. If the packet has to travel through
multiple links, then the total delays will be the sum of delays on all links
1. Queuing Delay. The queueing delay is the delay between when a packet is assigned to a queue and when
it is ready to be processed for transmission. During this time, that packet simply waits in a queue.
This time depends on the number of packets waiting
ahead of this packet in the queue.
2. Processing Time Delay. The processing time is the
time between events when the packet is ready to be
processed and the time it is assigned to the link for
the transmission. The processing delay depends on
the speed of the link processor and the actions the
processor needs to take to schedule the transmission.
3. Transmission Delay. The time difference between
the transmission of the rst and last bit of the packet
is referred to as the transmission delay. This delay
depends on the bit transmission rate of the link.
4. Propagation Delay. The propagation delay refers to
the time difference between the instances when the
Queuing Models
To compute the queuing delay for a packet, we have to understand the nature of the packet arrival process to a link,
the kind of service time it needs (amount of transmission
time), and the number of links we have from the source
to the destination. In most queuing systems (35, 36), we
assume that the arrival process is a Poisson process. We
also assume that the holding time (the amount of time a
request requires to service) follows an exponential distribution with parameter . The mean service time is then
given by l/. If two nodes i and j are connected by m links,
then m packets can be transmitted from node i to node j at
the same time. Generally m = 1 and therefore packets are
transmitted one at a time. In case of circuit switching, it
can be observed as one request being established at a time.
M/M/m Queue. A queuing system with m servers, Poisson arrival process, and exponentially distributed service
times is denoted by the M/M/m queuing system. The rst
letter M stands for memoryless. It can also be G for general
distribution of interarrival times or D for deterministic interarrival times. The second letter stands for the type of
probability distribution of the service times and can again
be M, G, or D. The last number indicates the number of
servers.
In a M/M/l queuing system, the average number of re1
quests in the system in steady state is given by
and
16
domain.
WDM Network Design Issues
as small as possible.
EXAMPLE 1: DESIGN OF A NETWORK USING WDM
FIBER OPTICS
Wavelength Division Multiplexing-Based Optical
Networking Technology
With the advent of optical transmission technology over
optical bers, the communication networks have attained
orders of magnitude increase in the network capacity.
The bandwidth available on a ber is approximately 50
THz (terahertz). Hence, wavelength division multiplexing (WDM) was introduced that divided the available
ber bandwidth into multiple smaller bandwidth units
called wavelengths. Figure 17 depicts the WDM view of
a ber link. Different connections, each between a single
source/destination pair, can share the available bandwidth
on a link using different wavelength channels. Advanced
features such as optical channel routing and switching supports exible, scalable, and reliable transport of a wide variety of client signals at ultra-high speed.
Early optical networks employed broadcast and select
technology. In such networks, each node that needs to
transmit data broadcasts it using a single wavelength and
the receiving node selects the information it wants to receive by tuning its receiver to that wavelength. To avoid
unnecessary transmission of signals to nodes that do not
require them, wavelength routing mechanisms were developed and deployed. The use of wavelength to route data is
referred to as wavelength routing, and networks that employ this technique are known as wavelength-routed networks. In such networks, each connection between a pair of
nodes is assigned a path and a unique wavelength through
the network. A connection from one node to another node
established on a particular wavelength is referred to as a
lightpath. A wavelength-routed WDM network is shown in
Fig. 18. The gure shows connections established between
nodes A and C, B and C, H to G, B to F, and D and E. The connections from nodes A to C and B to F share a link. Hence,
they have to use different wavelengths on the ber.
One alternative to circuit switching, described above,
is to use optical packet switching (OPS) or optical burst
switching (OBS) (4446)technology in the backbone. The
major advantages of OPS/OBS are the exible and efcient
bandwidth usage, which enables the support of diverse services. However, implementation technologies are not yet
there for successful deployment of them in an all-optical
WDM network design involves assigning sufcient resources in the network that would meet the projected trafc demand. Typically, network design problems consider a
static trafc matrix and aim at designing a network that
would be optimized based on certain performance metrics.
Network design problems employing static trafc matrix
are typically formulated as optimization problems. To formulate a network design problem as an optimization problem, the inputs to the problem, in addition to a static trafc demand, are some specic reguirements, e.g., required
network reliability and fault tolerance requirements, network performance in terms of blocking, and restoration
time when a failure occurs. The objective of the optimization problem is to nd a topology that would minimize the
resources, including the number of links and bers, the
number of wavelengths on each ber, and the number of
cross-connect ports, to meet the given requirements. The
outputs include the network conguration and the routes
and wavelengths that are to be used for source-destination
pairs. The network design problem can be formulated as an
integer liner programming (ILP) or mixed integer linearprogramming (MILP) problem. As the number of variables and constraints can be very large in WDM networks,
heuristics are usually used to nd solutions faster.
If the trafc pattern in the network is dynamic, i.e.,
specic trafc is not known a priori, the design problem
involves assigning resources based on a certain projected
trafc distributions. In case of dynamic trafc, the network designer attempts to quantify certain performance
metrics in the network based on the distribution of the
trafc. The most commonly used metric in evaluating a
network under dynamic trafc pattern is blocking probability. The blocking probability is computed as the ratio of
number of requests that cannot be assigned a connection to
the total number of requests. With this metric, one makes
decisions on the amount of resources that are needed to
be deployed in a network, the operational policies such as
routing and wavelength assignment algorithms, and call
acceptance criteria.
Trafc Grooming WDM Networks
Data trafc in ultra-long-haul WDM networks is usually characterized by large, homogeneous data ows. The
metropolitan-area WDM networks, on the other hand, have
to deal with dynamic, heterogeneous service requirements.
In such WAN, and MANs, equipment costs increase if separate wayelengths are used for each service. Each wavelength offers a transmission capacity at gigabit per second
rates, whereas the users request connections at rates that
are far lower than the full wavelength capacity. In addition, for networks of practical size, the number of available wavelengths is still lower by a few orders of magnitude than the number of source-to-destination connections
that may be active at any given time. Hence, to make the
network viable and cost-effective, it must be able to offer
sub-wavelength-level services and must be able to pack
these services efciently onto the wavelengths. These sub-
17
and trafc streams between node pair ((S2 ,D2 )) can share
a link.
A challenging problem for carrying IP trafc over WDM
optical networks is the huge opto-electronic bandwidth
mismatch. One approach to provisioning fractional wavelength capacity is to divide a wavelength into multiple subchannels using time-, frequency-, or code division multiplexing and then multiplex trafc on the wavelength, i.e.,
trafc grooming, However, optical processing and buffer
technologies are still not mature enough to achieve online
routing decisions at high speed. With the development of
MPLS (Multiprotocol Label Switching) and GMPLS (Generalized Multiprotocol Label Switching) standards (4749)
it is possible to aggregate a set of IP packets for transport over a single lightpath. Therefore, trafc grooming in
IP over WDM optical networks is performed at two layers,
namely IP trafc grooming and WDM trafc grooming. IP
trafc grooming is the aggregation of smaller granularity
IP layer trafc streams. It is performed at MPLS/GMPLSenabled IP routers by using transmitters and receivers.
This aggregated trafc streams are then sent to the optical layer where WDM trafc grooming (or wavelength level
trafc grooming) is performed by using optical add-drop
18
Problem Formulation
1. Objective: The objective is to minimize the number of
arcs in the virtual topology, which reects the minimum number of lightpaths in the optical layer. Recall
that variable ul counts the number of lightpatns on arc
i in the virtual topology. If the capacity carried by arc
i exceeds the full wavelength capacity, multiple lightpaths between the same node pair are required. Thus,
the number of transmitters (and receivers) increase.
min
ul
(1)
lL
2. Fiber link capacity constraint: Let TC1 be the total capacity carried by link l, which is given by equation 2.
Constraint 3 guarantees that the aggregated capacity
on any arc does not exceed the total ber capacity, which
is bounded by W C.
TCl =
(s,t),s = t
stk Al,k
st dst
(2)
TCl W C
stk dst
(4)
NC
stk dst
dst
C
(8)
dts
C
(9)
t:(s,t) E
Rxsmax =
t:(t,s) E
(3)
(5)
(6)
C ul TCl + C
(7)
19
Txsmin
=
t:(s,t) E
Rxsmin =
dst
t:(t,s) E
dts
(10)
(11)
20
introduce delay for lower-rate requests, and it would be affordable in the future slim IP-over-WDM control plane. As
a matter of fact, this is a trade-off that has to be made to
reduce the overall network cost.
An element in trafc matrix can be reallocated by merging it with other trafc streams. Thus, no need exists to
establish a direct path for that s-d pair. An element in trafc matrix can be aggregated if it is smaller then the full
capacity, i.e., has spare capacity on a wavelength channel
and allows other trafc streams to be merged on it. Each
element in the trafc matrix can be viewed as in one of the
three states:
21
d1,2 and d2,4 , which results into the matrix on the top right
in Fig. 22. Next the algorithm selects d2,3 = 6 and completes
its processing by choosing n = 1. The algorithm continues
until no more relocatable s-d pair exists as shown in Fig.
22. The botton-left matrix shows the nal results. Application of equations 8 and 9 indicate that 12 transmitters
(and receivers) are required for the original trafc matrix.
After trafc aggregation, this number is reduced by 3.
Solutions and Resuits
The previously given ILP formulation is solved by using
CPLEX Linear Optimizer 7.0. The ILP formulation and the
trafc aggregation approach are applied to solve IP trafc
grooming problem for a six-node network, with W = 6, C =
48. Table 1 gives a trafc matrix with randomly generated
50 requests. The integer numbers indicates the request capacity in a unit of OC-1 (51.84 Mbps). The objective is to design a network with as few logical links as possible. Notice
that there are totally P40 + P41 + P42 + P43 + P44 = 65 routes
for each s-d pair in a six-node network, and this number
increases dramatically as the network size increases. It
would be a great burden and might be unnecessary as well
to obtain optimality by searching among all possible routes.
Experiments with different maximum hop-length as 3, 4,
and 5 are performed on this six-node network. The results
show that limiting the hop-length to 3 still yields close to
an optimal solution, whereas the number of all candidate
paths for each s-d pair is effectively reduced from 65 to
P40 + P41 + P42 = 17, which signicantly reduces the size of
the feasible region of this ILP formulation; hence, it reduces
the computation complexity of solving the ILP optimization
problem.
The results obtained from solving ILP with hop length
= 3 and the trafc aggregation approach are shown in Fig.
23a and respectively.
According to Equations 10 and 11, at least nine transmitters (receivers) are required. Figure 23a shows an optimal solution consisting of 11 lightpaths by solving, the ILP
formulation with a maximum hop-length limit of 3. Figure 23b shows a solution with 12 transmitters (receivers)
using the trafc aggregation approach. Table 2 shows the
virtual topology routing assignments obtained by solving
Figure 23. Comparison of the ILP solution and the heuristic approach: an illustrative example, (a) Results obtained by solving
the ILP optimization problem with hop-length limit 3. (b) Results
obtained from trafc aggregation approach.
22
1
2
3
4
5
6
1
0
12+12+12+3
3
3
3
1+3
2
3
0
1
12
3+12
12
3
3+1+1
3
0
3+12+3+3
12
0
4
12+12
1+3
12+12
0
0
3+12
5
3+1+1
0
3+1+1
1
0
0
6
12+12
1+1+12
0
3+1+1+12
3+1
0
Requested
capacity
3
5
24
5
24
39
3
4
14
3
1
24
5
3
12
21
1
17
3
15
12
4
4
12
15
ILP formulation
Route on VT
1-6-2
1-4-3
1-4
1-4-3-5
1-6
2-1
2-4-3
2-4
2-4-6
3-5-2-1
3-5-2
3-5-4
3-5
4-1
4-6-2
4-3
4-3-5
4-6
5-4-1
5-2
5-2-4-3
5-4-6
6-2-1
6-2
6-2-4
Trafc aggregation
Route on VT
1-4-2
1-4-3
1-4
1-4-3-5
1-6
2-1
2-1-4-3
2-6-4
2-6
3-4-1
3-4-2
3-4
3-5
4-1
4-2
4-3
4-3-5
4-2-6
5-2-1
5-2
5-3
5-2-6
6-4-1
6-4-2
6-4
1
0
3
9
6
0
11
0
0
4
0
2
5
0
3
0
6
3
2
5
5
9
3
8
8
0
8
10
4
10
6
11
9
4
11
4
7
0
4
4
2
2
8
3
5
3
0
3
2
0
3
11
3
8
7
6
8
5
10
5
2
0
5
1
2
10
7
5
1
11
5
11
2
0
11
3
1
8
7
2
8
2
10
6
1
0
1
2
9
8
3
0
1
5
8
6
5
0
1
10
10
1
6
1
2
3
0
0
5
0
23
Light Trails
2, 3, 4, 7, 9
3, 2, 6, 8, 10
4, 3, 2, 1, 5
Hops
4
4
4
4
5
4, 7, 6, 8, 10
5, 1, 2, 3, 4
4
4
6
7
8
5, 1, 6, 7, 9
5, 1, 6, 8, 10
5, 8, 7, 9, 10
4
4
4
9
10
11
9, 7, 4, 3, 2
9, 7, 6, 1, 5
10, 8, 6, 2, 3
4
4
4
12
10, 8, 6, 7, 4
13
10, 9, 7, 8, 5
Accommodated sd Pairs
(3,7) (3,4) (2,7) (2,9) (4,9)
(2,6) (2,8) (2,10) (3,6) (3,8) (3,10)
(4,1) (4,3) (4,5) (3,5) (1,5) (3,1)
(2,1)
(6,8) (6,10) (4,6) (4,7) (4,8) (4,10)
(1,2) (1,3) (1,4) (5,2) (5,3) (5,4)
(2,4)
(1,7) (1,9) (6,9)
(1,8) (1,10) (1,6) (5,6)
(9,10) (8,9) (5,9) (5,8) (5,7) (7,9)
(5,10)
(9,2) (9,3) (9,4) (7,3) (7,2) (3,2)
(7,6) (6,5) (9,1) (9,6) (6,1)
(10,3) (10,2) (8,3) (8,2) (6,3) (6,2)
(2,3)
(10,6) (10,4) (7,4) (6,4) (6,7) (8,4)
(8,6) (8,7)
(10,9) (10,8) (10,7) (10,5) (9,8) (9,7)
(9,5) (8,5) (7,8) (7,5)
Load
23
32
34
22
48
21
27
44
39
25
44
35
38
Light Tails
3, 2, 6, 8, 10
Hops
4
10, 8, 6, 2, 3
1, 6, 2, 3, 4
1, 5, 8, 10, 9
5
6
2, 6, 8, 7, 9
3, 4, 7, 8, 5
4
4
7
8
9
10
11
12
13
14
15
16
4, 3, 2, 6, 1
4, 7, 9, 10
5, 8, 7, 4, 3
9, 7, 6, 2, 1
9, 7, 4, 3
9, 10, 8, 5
10, 8, 6, 7, 4
1, 5, 8, 6, 7
5, 1, 2
6, 1, 5
4
3
4
4
3
3
4
4
2
2
Accommodated sd Pairs
(3,10) (2,10) (2,8) (3,2) (6,10) (2,6)
(6,8) (3,8) (3,6)
(10,3) (8,6) (10,8) (6,2) (6,3) (8,2)
(8,3) (2,3) (10,2)
(1,4) (6,4) (2,4) (1,2) (3,4) (1,3)
(1,6)
(1,9) (10,9) (5,10) (1,5) (8,9) (5,9)
(1,8) (1,10)
(2,9) (2,7) (6,7) (7,9) (6,9) (8,7)
(3,5) (7,8) (4,5) (4,8) (8,5) (4,7)
(7,5) (3,7)
(4,1) (2,1) (4,6) (4,3) (3,1) (6,1)
(4,10) (4,9) (9,10)
(5,3) (8,4) (7,4) (5,4) (5,8) (7,3)
(9,1) (9,6) (7,2) (9,7) (7,6) (9,2)
(9,3) (9,4)
(9,5) (9,8) (10,5)
(10,4) (10,6) (10,7)
(1,7) (5,6) (5,7)
(5,2)
(6,5)
Load
44
47
47
41
31
38
42
7
38
21
19
16
14
18
6
3
switching at the packet/burst level, combined with the exible provisioning for diverse trafc granularity, makes the
light trails an attractive option to conventional circuit-and
burst-switched architecture.
Light Trail
A four-node light trail is depicted in Fig. 24. The light trail
starts from Node 1, passes through Node 2, Node 3, and
ends at Node 4. Each of the nodes 1, 2, and 3 are allowed
to transmit data to any of their respective downstream
nodes without a need for optical switch reconguration.
Every node receives data from upstream nodes, but only
a requested destination node(s) accepts the data packets,
whereas other nodes ignore them. An out-of-band control
signal carrying information pertaining to the setup, tear
24
down, and dimensioning of light trails is dropped and processed at each node in the light trail. As a light trail is
unidirectional, a light trail with NT nodes can be used by
NT (NT 1)
optical connections along the trail. The
up to
2
six paths for the four-node light trail are shown in Fig. 24.
Node Structure
Figure 25 provides a node structure that can be deployed in
a light trail framework. In the gure, the multiple wavelengths from the input link are demultiplexed and then
sent to corresponding light trail switches. A portion of the
signal power is directed to the local receiver, the remaining signal power passes through an optical shutter. Such
a shutter can be realized using various technologies as
an AOTF (Acousto-Optic Tunable Filter). Thus, a node receives signals from all wavelengths. If a particular wavelength is not being used by an upstream node (incoming
ber has no signal), the local host can insert its own signal; otherwise, it does not use the trail. The local signal is
coupled with the incoming signal as shown in the gure.
Figure 26 depicts a connection of a four-node light trail
in a network and the corresponding ON/OFF switch congurations. The direction of communication is from Node 1
to Node 4. The optical shutter is set to the OFF state at the
start and end nodes of the light trail such that the signal is
blocked from traveling further. For an intermediate node
along the light trail, the optical shutter is set to the ON
state to allow the signal to pass through the node. A unidirectional light trail is thereby obtained from the start node
to the end node. No switch reconguration is required after
the initial light trail setup. From the power loss within the
25
In this step, trafc on s-d pair (s, t) with hst > Tlmax is
reallocated on multiple hops. The goal is to nd a node n
such that path from node s to node n forms the rst hop,
which is less than Tlmax in distance. A next intermediate
node n is found recursively for a new source node. Among
all possible intermediate nodes, n is chosen to be as close to
destination node t as possible, as shown in step 1 in Fig. 27.
This is done to reduce the number of hops that the original
trafc has to take.
After the preprocessing of the trafc matrix, each
nonzero element in modied trafc matrix would have corresponding distance that is less than Tlmax , the maximum
length allowed for a light trail.
Step II: ILP Formulation: Given the network topology
G p (V, E), and modied trafc matrix obtained from Step I,
the next step is to list all possible paths within the hoplength limit for each s-d node pair, which can be accomplished by applying a breath rst search for each node.
These eligible paths form a set of all possible light trails.
Among all possible choices, the next step is to choose an optimal set of paths to form the light trail network, such that
the total number of light trails is minimized. This problem
is formulated as an ILP optimization problem. It is also assumed that each request cannot be divided into different
parts and transferred separately.
For the given directed graph G p (V, E), N = |V |, let LT be
set of all the possible light trails within hop-length limit
Tlmax and Let T = 1, 2, . . . , |LT | be the number assigned to
each light trail in the LT.
Let C denote the full-wavelength capacity, represented
as an integer that is a multiple of the smallest capacity
requests. The smallest capacity request is denoted as 1.
The integer entry in trafc matrix DNN , represented by
dst , denotes the requested capacity from node s to node t in
the units of the smallest capacity request.
A single ber network with fractional wavelength capacity is considered. Hence, dst C. In the absence of wavelength converters, the wavelength continuity constraints
must be satised for light trail networks. The grooming
helps to increase the wavelength utilization and reduces
the total number of wavelengths that is required to satisfy the trafc needs. The following notations are used in
problem formulation.
Variables.
26
s is t s upstream node.
Objective:
min
(12)
st = 1
(13)
Light trail capacity constraint: The aggregated request capacity on a light trail should not exceed the
full-wavelength capacity.
st dst C
(14)
(s,t)
(s, t) : dst D
{0, 1}
(15)
(16)
Solution Considerations
The light trail design is a challenging problem for the following reasons.
First, to use a wavelength fully, one would like to groom
near full-wavelength capacity trafc onto the wavelength.
This is similar to a normal trafc grooming problem, which
is often formulated as a knapsack problem and is known
to be an NP-complete problem. However, it might be infeasible to simply set up a light trail for any set of trafc requests that add up to C. For example, given that
d12 + d13 + d16 = C, it might not be possible to establish the
desired light trail because of the physical hop-length constraint. As a matter of fact, the light trail hop-length limit
introduces complexity to the problem.
Second, the ILP formulation of the light trail design
problem is similar to the bin packing problem, which is
an NP-hard problem. However, if light trails are treated
as the bins, and elements in the given trafc matrix as
the items in the bin packing problem, this problem differs
from a normal bin packing problem because of a potential
physical route constraint that an item cannot be put in
any of the given bins but only a subset of the bins. More
specically, an s-d pair can be assigned to the routes that
satisfy 1) nodes s and t belong to the route and 2) node
s is the upstream node of node t along the route. Hence,
the approximate algorithms for solving normal bin packing problems cannot be directly applied here for solving the
light trail design problem.
Light Trail Design: Heuristic Approaches
As the study of Reference 52 proves that the light trail
design problem is NP-hard, the following heuristic algorithms for light trail design is proposed. It is well known
that the rst-t and best-t are two common and effective
heuristic algorithms for solving bin packing problems. In
the following, the best-t algorithm is used to solve the
light trail design problem.
The Best-Fit Approach. Recall that, after trafc matrix
preprocessing, each request in the newly obtained trafc
matrix satises the light trail hop-length limit; that is, the
shortest hop-length for each s-d pair is no greater than
Tlmax .
The goal of the second step is to identify a set of light
trails for carrying the given trafc. To do this, rst pick
the s-d pair that has the longest distance in the distance
matrix Hst . A light trail between this s-d pair is eventually
required.
Once an s-d pair with the longest physical hop-length is
found, the head and tail of a light trail is decided. The goal
now is to nd the best eligible light trail between these two
end nodes, which is analogous to fully packing a bin in the
Increasing packing order tries to allocate ner requests rst, so that the number of requests that can
be packed onto this path is maximized. Some capacity might still be left on this light trail, but that is
not sufcient for the next smallest request. This approach grooms as many requests as possible onto the
light trail, thereby leaving the rest of the network
with fewer number of requests that still need to be
allocated. The expectation is that this contributes to
the saving on the total number of light trails that
are needed in the network. However, for each light
trail, the packing might not be the most efcient or
the spare capacity might not be minimized.
Decreasing packing order tries to allocate bigger requests rst and leaves the light trail with minimum
spare capacity. However, as the big requests are allocated rst, the total number of requests that can be
carried by the light trail might be smaller than that of
the allocation in the Increasing packing order. Therefore, it could leave more requests unallocated in the
network and more light trails might need to be set up
later on in order to carry all requests. The spare capacity on each light trail is minimized in this approach
at the time of allocating the capacity.
It is not clear which approach works better and always
gives the minimum number of light trails required in the
network. It depends on the trafc patterns. A preferred
approach is to try both and choose the one that yields a
better solution for given data.
Algorithm Design. For the given graph, all possible paths
for each s-d pair can be computed. The paths information is stored appropriately. The data structure called
KSPath[N][N][NRoutemax ] contains the path information
for each route in the network.
For efcient usage, paths are sorted according to their
physical hop-length, such that KSPath[head][tail][1] contains the shortest path information (hop-length, interme-
27
28
s,t C/d
(17)
0 1
(18)
(s,t)
s,t
(19)
29
30
ARUN K. SOMANI
Iowa State University
RECONFIGURABLE COMPUTING
INTRODUCTION
Although recongurable fabrics can in principle be constructed from any type of technology, in practice, most contemporary designs are made using commercial eld programmable gate arrays (FPGAs). An FPGA is an integrated circuit containing an array of logic gates in which
the connections can be congured by downloading a bitstream to its memory. FPGAs can also be embedded in integrated circuits as intellectual property cores. More detailed surveys on recongurable computing are available
in the literature (25).
Microprocessors offer an easy-to-use, powerful, and exible implementation medium for digital systems. Their
utility in computing applications makes them an overwhelming rst choice, and parallel interconnections of microprocessors can be extremely powerful. Moreover, it is
relatively easy to nd software developers, and microprocessors are widely supported by operating systems, software engineering tools, and libraries. Unfortunately, their
generality does not make them the best choice for a large
class of applications that need to be optimized for performance, power, board area.
Application-specic integrated circuits (ASICs) and FPGAs are able to arrange computations in a spatial rather
than temporal fashion and greater levels of parallelism
than a microprocessor can be achieved. Thus, performance improvements of several orders of magnitude can
be achieved. Also, the absence of caches and instruction decoding can result in the same amount of work being done
with less chip area and lower power consumption (6). As an
example, in a cryptographic key search problem, a single
FPGA with 96 parallel RC4 encryption engines operating
at 50 MHz achieved a speedup of 58 over a 1.5-GHz Pentium 4 implementation (7).
An example involving the implementation of a nite impulse response (FIR) lter is shown in Fig. 1. The recongurable computing solution is signicantly more parallel
than the microprocessor-based one. In addition, it should
be apparent that the recongurable solution avoids the
overheads associated with instruction decoding, caching,
register les, and speculative execution, and unnecessary
data transfers as well as control hardware can be omitted.
Compared with ASICs, FPGAs offer very low nonrecurrent engineering (NRE) costs, which is often a more
important factor than the fact that FPGAs have higher
units costs and many applications do not have the very high
volumes required to make ASICs a cheaper proposition. As
integrated circuit feature sizes continue to decrease, the
NRE costs associated with ASICs continue to escalate, increasing the volume at which it becomes cheaper to use an
ASIC (see Fig. 2). Recongurable computing will be used
in increasingly more applications, as ASICs become only
cost effective for the highest performance or highest volume applications.
Additional benets of recongurable computing are that
its technology provides a shorter time to market than
RECONFIGURABLE FABRICS
A block diagram illustrating a generic ne-grained islandstyle FPGA is given in Fig. 3 (9). Products from companies
such as Xilinx (10) Altera (11), and Actel (12) are commercial examples. The FPGA consists of a number of logic cells
that can be interconnected to other logic and input/output
(I/O) cells via programmable routing resources. Logic cells
and routing resources are congured via bit-level programming data, which is stored in memory cells in the FPGA.
A logic cell consists of user-programmable combinatorial
elements, with an optional register at the output. They are
often implemented as lookup tables (LUTs) with a small
number of inputs, 4-input LUTs being shown in Fig. 3. Using such an architecture, subject to FPGA-imposed limitations on the circuits speed and density, an arbitrary circuit
can be implemented. The complete design is described via
the conguration bitstream which species the logic and
I/O cell functionality, and their interconnection.
Current trends are to incorporate additional embedded
blocks so that designers can integrate entire systems on
a single FPGA device. Apart from density, cost, and board
area benets, this process also improves performance because more specialized logic and routing can be used and
all components are on the same chip. A contemporary
FPGA commonly has features such as carry chains to enable fast addition; wide decoders; tristate buffers; blocks
of on-chip memory and multipliers; embedded microprocessors; programmable I/O standards in the input/output
cells; delay locked loops; phase locked loops for clock deskewing, phase shifting and multiplication; multi-gigabit
transceivers (MGTs); and embedded microprocessors. Embedded microprocessors can be implemented either as soft
cores using the internal FPGA resources or as hardwired
cores.
In addition to the architectural features described, intellectual property (IP) cores, implemented using the logic cell
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright 2007 John Wiley & Sons, Inc.
Recongurable Computing
Figure 1. Illustration of a microprocessor based FIR lter vs. a recongurable computing solution. In the microprocessor, operations are
performed in the ALU sequentially. Furthermore, instruction decoding, caching, speculative execution, control generation and so on are
required. For the recongurable computing approach using an FPGA, spatial composition is used to increase the degree of parallelism.
The FPGA implementation can be further parallelized through pipelining.
Figure 2. Cost of technology vs. volume. The crossover volume for which ASIC technology is cheaper than FPGAs increases as feature
size is reduced because of increased non-recurrent engineering costs.
Figure 3. Architecture of a basic island-style FPGA with four-input logic cells. The logic cells, shown as gray rectangles are connected to
programmable routing resources (shown as wires, dots, and diagonal switch boxes) (source: Reference (9) and (76)).
Recongurable Computing
APPLICATIONS
Recongurable computing has found widespread application in the form of custom computing-machines for highenergy physics (19), genome analysis (20), signal processing (21, 22), cryptography (7, 23), nancial engineering (24)
and other domains (25). It is unique in that the exibility of
the fabric allows customization to a degree not feasible in
an ASIC. For example, in an FPGA-based implementation
of RSA cryptography (23), a different hardware modular
multiplier for each prime modulus was employed (i.e., the
modulus was hardwired in the logic equations of the design). Such an approach would not be practical in an ASIC
as the design effort and cost is too high to develop a different chip for different moduli. This led to greatly reduced
hardware and improved performance, the implementation
being an order of magnitude faster than any reported implementation in any technology at the time.
Another important application is logic emulation (26,
27) where recongurable computing is used not only for
simulation acceleration, but also for prototyping of ASICs
and in-circuit emulation. In-circuit emulation allows the
possibility of testing prototypes at full or near-full speed,
allowing more thorough testing of time-dependent applications such as networks. It also removes many of the dependencies between ASIC and rmware development, allowing them to proceed in parallel and hence shortening development time. As an example, it was used in Reference (28)
for the development of a two-million-gate ASIC containing
an IEEE 802.11 medium access controller and IEEE 802.1
la/b/g physical layer processor. Using a recongurable prototype of the ASIC on a commodity FPGA board, the ASIC
went through one complete pass of real-time beta testing
before tape-out.
Digital logic, of course, maps extremely well to negrained FPGA devices. The main design issues for such
systems lie in partitioning of a design among multiple
FPGAs and dealing with the interconnect bottleneck between chips. The Cadence Palladium II emulator (29) is a
commercial example of a logic emulation system and has
256-million-gate logic capacity and 74-GB memory capacity. It uses custom ASICs optimized for logic emulation
and is 10010,000 times faster than software-based register transfer language simulation. Further discussion of
interconnect time-multiplexing and system decomposition
is given later in this article.
Hoang (20) implemented algorithms to nd minimum
edit distances for protein and DNA sequences on the
Splash 2 architecture. Splash 2 can be modeled in terms
of both bidirectional and unidirectional systolic arrays. In
the bidirectional algorithm, the source character stream
is fed to the leftmost processing element (PE), whereas
the target stream is fed to the rightmost PE. Comparing two sequences of length m and n requires at least
2 max(m + 1, n + 1) processors, and the number of steps
required to compute the edit distance is proportional to the
size of the array. The unidirectional algorithm is suited for
comparing a single source sequence against multiple target sequences. The source sequence is rst loaded as in the
bidirectional case, and the target sequences are fed in one
after the other and processed as they pass through the PEs
(which results in virtually 100% utilization of processors,
so that the unidirectional model is better suited for large
database searches).
The BEE2 system (22), described in the next section, was
applied to the radio astronomy signal processing domain,
which included development of a billion-channel spectrometer, a 1024-channel polyphase lter banks, and a twoinput, 1024-channel correlator. The FPGA-based system
used a 130-nm technology FPGA and performance was
compared with 130-and 90-nm DSP chips as well as a 90nm microprocessor. Performance in terms of computational
throughput per chip was found to be a factor of 10 to 34 over
the DSP chip in 130-nm technology and 4 to 13 times better than the microprocessor. In terms of power efciency,
the FPGA was one order of magnitude better than the DSP
and two orders of magnitude better than the microprocessor. Compute throughput per unit chip cost was 20307%
better than the 90-nm DSP and 50500% better than the
microprocessor.
SYSTEM ARCHITECTURES
Recongurable computing machines are constructed by interconnecting one or more FPGAs. Functionally, we can
view FPGA-based systems as consisting of two components, reprogrammable FPGAs providing logic implementation and eld programmable interconnect chips (FPICs)
providing connectivity among FPGAs. The FPICs, in turn,
could be implemented as ASICs or using FPGAs. Most sys-
Recongurable Computing
GAs are used for computation and one for control. Each
PPGA has two PowerPC 405 processor cores. A local mesh
connects the computation FPGAs in a 2-D grid using lowvoltage CMOS (LVCMOS) parallel signaling. Off-module
communications are of via 18 (two from the control FPGA
and four from each of the compute FPGAs) Inniband
4X channel-bonded 2.5-Gbps connectors that operate fullduplex, which corresponds to a 180-Gbps off-module fullduplex communication bandwidth. Modules can be interconnected in different topologies including tree, 3-D mesh,
or crossbar. The use of standard interfaces allows standard
network switches such as Inniband and 10-Gigabit Ethernet to be used. Finally, a 100 base-T Ethernet connection
to the control FPGA is present for out-of-band communications, monitoring, and control.
Commercial machines such as the Cray XD1 (35), SRC
SRC-7 (36), and Silicon Graphics RASC blade (37), have
a similar interconnect structure to the BEE2 in that they
are parallel machines employing high performance microprocessors tightly coupled to a relatively small number of
FPGA devices per node. Nodes are interconnected via high
speed switches and for specialized applications, such machines can have orders of magnitude performance improvement over conventional architectures. Switching topologies can be altered via conguration of the switching fabric.
RUNTIME RECONFIGURATION
A recongurable computing system can have its functionality updated during execution, resulting in reduced resource
requirements. A runtime recongurable system partitions
a design temporally so that the entire design does not need
to be resident in the FPGA at any given moment (38, 39).
Conguration and execution can be overlapped to improve
performance in the presence of reconguration latency. Using this technique, designs that are larger than the physical hardware resources can be realized in an efcient manner.
Dharma, a time-sharing FPGA architecture, was proposed that contains a functional block and an interconnect
network (40). The interconnect and the logic can be timeshared. The authors proposed that emulated design topology be levelized in a folded pipeline manner; this topology
simplies the architecture and provides predictable interconnect delay (Fig. 7).
Single context, partially recongurable, and multiple
context architectures have been proposed. In a single
context system, any changes to the functionality of the
FPGA involves reloading the entire bitstream; early FPGAs were of this type. This scheme has the disadvantage of
long reconguration time. Partial reconguration, as supported by the Xilinx Virtex FPGAs (10), allows portions
of the FPGA to be changed via a memory mapped scheme,
whereas the other portions of the FPGA continue functioning. Compared with a single context scheme, area overhead
is associated in providing this feature. Multiple context
architectures, such as NECs Dynamically Recongurable
Processor (DRP) (41), allow a number of complete congurations to be stored in the fabric simultaneously and thus
reconguration can be achieved in a small number of cy-
Recongurable Computing
Figure 4. Example of a logic emulation system. Arrays ofFPGAs and FPICs reside on the emulation modules. The user inputs the
emulated design netlist and commands from the workstation. The workstation and control processor personalize the emulation modules,
which are used in place of the emulated chip.
Figure 5. SPLASH2 architecture. Each board contains 16 FPGAs, XI through XI6. The blocks Ml through Ml6 are local memories of the
FPGAs. A simplied 36-bit bus crossbar, with no permutation of the bit-lines within each bus, interconnects the 16 FPGAs. Another 36-bit
bus connects the FPGAs in daisy-chain fashion. The local memories are dual ported with one port connecting to the FPGAs and the other
port connecting to the external bus.
recongurable fabric with a processor to support the execution of custom instructions implemented on a recongurable fabric. Furthermore, the fabric can be recongured
at runtime and the design environment is software-centric,
with programming of the processor being in Stretch C.
An operating system for guarantee-based scheduling of
hard real-time tasks has been proposed (44). Under control
of software running on a microprocessor, task circuits can
be scheduled online and placed in a suitable free space in a
hardware task area. Communications between tasks and
I/O are done though a task communication bus, and termination of a task frees the recongurable resources used. It
Recongurable Computing
Figure 6. BEE2 Compute Module block diagram. Compute modules can be interconnected via the Inniband IB4X connectors, either
directly or via a 10-Gigabit Ethernet switch. The 100-Base T Ethernet can be used for control, monitoring, or data archiving.
Figure 7. Dynamic Architecture for FPGA-based systems. The architecture contains a functional block and an interconnect network.
The interconnect and the logic can be time shared. The emulated design topology is levelized in a folded pipeline manner. The levelized
topology simplies the architecture with predictable interconnect delay.
DESIGN METHODS
Hardware description languages (HDLs) such as the Very
High Speed Integrated Circuit Hardware Description Lan-
Recongurable Computing
Recongurable Computing
Figure 8. Hardware compilation example. The C program is translated into a datapath (top) and control (bottom). Execution of statements
in the while loop are controlled by s1 and s2; s0 and s3 correspond to the start signals of the statements before and after the while loop.
Figure 9. Clos network. A Clos network contains three stages: inputs, intermediate switches, and outputs. The input and output stages
are symmetric. In the gure, the rst-stage has r n m switches, the second-stage has m r r switches, and the third-stage has r m n
switches.
Recongurable Computing
10
Recongurable Computing
Figure 10. Folded Clos network. The three-stage Clos network is folded into a two-stage network so that the inputs and outputs are
mixed in the rst stage.
Figure 11. Variations of the Clos network. The rst level crossbar of the Clos network is replaced with FPGAs to save board space.
Routability is worse than an ideal Clos network.
Recongurable Computing
11
Figure 12. Variations of Clos network. The fanout width of FPGAs is expanded so that each FPGA I/O pin is connected to more than one
FPIC. The fanout width expansion improves routability without signicant additional delay.
System Decomposition
To decompose a system into multiple devices, Yeh et al. (67)
proposed an algorithm based on the relationship between
uniform multi-commodity ow and min-cut partitioning.
Yeh et al. construct a ow network wherein each net initially corresponded an edge with ow cost one. Two random
modules in the network were chosen and the shortest path
(i.e., path with lowest cost) between them was computed.
A constant <1 was added to the ow for each net in the
shortest path, and the cost for every net in the path was
incremented. Adjusting the cost penalizes paths through
congested areas and forces alternative shortest paths. This
random shortest path computation is repeated until every
path between the chosen pair of modules passes through at
least one saturated net. The set of saturated nets induces
a multi-way partitioning in which two modules belong to
the same cluster if and only if there is a path of unsaturated
nets between them.
For each of these clusters, the ux (dened as the cutsize between the cluster and its complement, divided by
the size of the cluster) is computed and the clusters are
sorted based on their ux value. Yeh et al. began with a
single cluster equal to the entire netlist, and then peeled
off the clusters with lowest ux. This approach was attractive because the saturated nets are good candidates to be
cut in a partitioning solution. As peeled clusters can be very
small, a second phase may be used to make the multi-way
partitioning more balanced. This approach, with its subsequent speedup by Yeh (68), is well-suited for large-scale
multi-way partitioning instances.
12
Recongurable Computing
Figure 13. Memory organization, (a) Memory is attached directly to a local FPGA. (b) Memory is attached to the second-stage switches
of the Clos network via a host interface, (c) Memory is attached to the rst-stage switches of the Clos network.
Recongurable Computing
CONCLUSION
Recongurable computing offers a middle ground between
software-based systems and ASIC implementations, and
is often able to combine important benets of both. Implementations are able to avoid overheads such as unnecessary data transfers, decoding and control mandatory in
microprocessors, and designs can be optimized on a basis
specic to an application, a problem instance or even an
execution. Using this technology, it is possible to achieve
size, performance, cost, or power improvements over more
conventional computing technologies.
ACKNOWLEDGMENTS
The authors would like to thank Y M. Lam for his help in
preparing this manuscript and Prof. Wayne Luk (Imperial
College) for his proofreading of this article.
BIBLIOGRAPHY
1. Estrin, G. Recongurable Computer Origins: The UCLA
Fixed-plus-variable (F+V) Structure computer. IEEE Ann.
Hist. Comput. 2002, 24 (4), pp 39.
2. Compton, K.; Hauck, S. The roles of FPGAs in Reprogrammable Systems. Proc. IEEE 1998, 86, (4), pp 615639.
13
3. Bondalapati, K.; Prasanna, V. Recongurable Computing System. Proc. IEEE 2002, 90 (7), pp 12011217.
4. Compton, K.; Hauck, S. Recongurable Computing: A Survey
of System and Software. ACM Comput.Surv. 2002, 34 (2), pp
171210.
5. Todman, T.; Constantinides, G.; Wilton, S.; Mencer, O.; Luk,
W.; Cheung, P. Recongurable Computing: architectures and
design methods. IEE Proc. Comput. Digit. Tech. 2000, 152, (2),
pp 193205.
6. DeHon, A. The Density Advantage of Congurable Computing.
IEEE. Computer 2000, 33 (4), pp 4149.
7. Tsoi, K. H.; Lee, K. H.; Leong, P.H.W. A Massively Parallel RC4
Key Search Engine; Proc. of the 10th Annual IEEE Symposium
on Field-Programmable Custom Computing Machines FCCM;
Washington, DC, IEEE Computer Society, 2002, pp 1321.
8. Liang, J.; Tessier, R.; Goecket, D. A Dynamicallyrecongurable, Power-efcient Turbo Decoder; 2004, pp
91100.
9. Betz, V.; Rose, J.; Marquardt, A. Eds. Architecture and CAD
for Deep-Submicron FPGAs; Kluwer Academic Publisher: Dordrecht, the Netherlands, 1999.
10. Xilinx.http://www.xilinx.com (accessed 2006).
11. Altera.http://www.altera.com (accessed 2006).
12. Actel.http://www.actel.com (accessed 2006).
13. Ahmed, E.; Rose, J. The Effect of LUT and Cluster Size on
Deep-submicron FPGA Performance and Density Proc. of the
2000 ACM/SIGDA Eighth International Symposium on Field
Programmable Gate Arrays ACM Press: New York, 2000; pp
312.
14. Goldstein, S. C.; Schmit, H.; Budiu, M.; Cadambi, S.; Moe, M.;
Taylor, R. R. Piperench: A Recongurable Architecture and
Compiler. Computer 2000, 33 (4), pp 7077.
15. Ebeling, C.; Cronquist, D. C.; Franklin, P. Rapid - Recongurable Pipelined Datapath; Proc. of the 6th International
Workshop on Field-Programmable Logic, Smart Applications,
New Paradigms and Compilers; London, UK, Springer-Verlag:
l996, pp 126135.
16. M2000. FPC06: http://www.m2000.fr (accessed 2006).
17. eASIC. http://www.easic.com (accessed 2006).
18. Wilton, S.; Kafa, N.; Wu, J.; Bozman, K.; AkenOven, V.; Saleh,
R. Design Considerations for Soft Embedded Programmable
Logic Core. IEEE Solid Circuits 2005, 40 (2), pp 485497
19. Moll, L.; Vuillemn, J.; Boucard, P.; High-energy Physics on
DECPeRLe-1 Programmable Active Memory; Proc. of the 1995
ACM Third International Symposium on Field-programmable
Gate Arrays; New York, (FPGA 95), ACM Press: 1995, pp
4752.
20. Hoang, D. T. Searching Genetic Database on Splash, IEEE
Workshop on FPGAs for Custom Computing Machines, Napa,
CA, April 1993, pp 185191.
21. Ting, L.-K.; Woods, R.; Cowan, C. F. N. Virtex FPGA Implementation of a Pipelined Adaptive LMS Predictor for Electronic
Support Measure Receivers. IEEE Trans. VLSI Syst. 2005, 13
(1), pp 8695.
22. Chang, C.; Wawrzynek, J.; Brodersen, R. W. BEE2: A high-end
Recongurable Computing System. IEEE Des. Test 22 (2), pp
114125.
23. Shand, M.; Vuillemin, J.; Fast Implementations of RSA Cryptography: Proc. 11th Symposium on Computer Arithmetic,
1993, pp 252259.
24. Zhang, G. L.; Leong, P. H. W.; Ho, C. H.; Tsoi, K. H.; Cheung,
C. C. C.; Lee, D. -U.; Cheung, R. C. C.; Luk, W. Recongurable
14
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
35.
36.
37.
38.
39.
40.
41.
42.
43.
44.
Recongurable Computing
Acceleration for Monte Carlo based nancial simulation: Proc.
International Conference on Field Programmable Technology
(ICFPT). 2005, pp 215222.
Vuillemin, J.; Patrice, B.; Didier, R.; Shand, M.; Herve, T.;
Philippe, B. Programmable Active Memories: Recongurable
System Come of Age. IEEE Trans. VLSI Syst. 1996, 4 (1), pp
5659.
Butts, M.; Batcheller, J.; Varghese, J.; An Efcient Logic Emulation System. IEEE Trans. Very Large Scale Integr. (VLSI)
Syst. 1993, pp 171173.
Babb, J.; Tessier, R.; Dahl, M.; Hanono, S. Z.; Hoki, D. M.;
Agarwal, A. Logic Emulation with Virtual Wires. IEEE Trans.
Computer-Aided Design 1997, 16 (6), p 609.
de Souza, L.; Ryan, P.; Crawford, J.; Wong, K.; Zyner, G.; McDermott, T. Prototyping for the Concurrent Development of
an IEEE 802.11 Wireless LAN Chaipset: Proc. International
Conference on Field-Programmable Logic and its Applications
LNCS 2778, Springer, 2003, pp 5160.
Cadence.
http://www.cadence.com/datasheets/
lncisivePalladiumllds.pdf (accessed 2006). Palladium Data
Sheet, 2005, pp 18.
Bertin, P.; Roncin, D.; Vuillemin, J. Introduction to Programmable Active Memories. DEC Memo 3 1989, pp 19.
Chan, P. K.; Schlag, M. D. F. Architectural Tradeoffs in Fieldprogrammable Devices Based Computing System; EEE Workshop on FPGAs for Custom Computing Machines; l993, pp
152161
Mohsen, A. Programmable Interconnects Speed System Verication. IEEE Circuits Devices Mag, 1993, 9 (3), pp 37
42.
Slimane-Kadi, M.; Brasen, D.; Saucier, G. A Fast-FPGA Prototyping System That Uses Inexpensive High-performance
FPIC. ACM Int. Workshop on FPGAs, Berkeley, CA, 1994, pp
1.3. 111.
Arnold, J. M.; Buell, D. A.; Davis, E. G. SPLASH 2; 4th Annual ACM Symposium on Parallel Algorithms and Architectures (SPAA 9Z); 1992, pp 316322.
Cray. http://www.cray.com/downloads/Cray XDl Datasheet.pdf
(accessed 2006). Cray XDl Datasheet.
SRC Computers. http://www.srccomp.com (accessed 2006).
SGI. http://www.sgi.com/products/rasc/ (accessed 2006).
Villasenor, J.; Mangione-Smith, W. H. Congurable Computing. Scientif. Amer. 1997, pp 6771.
Becker, J.; Hubner, M. Run-time Recongurability and Other
Future Trendy; Proc. of the 19th Annual Symposium on Integrated Circuits and Systems Design (SBCCI 06); ACM Press:
New York, 2006, pp 911.
Bhat, N. B.; Chaudhary, K.; Kuh, E. S. Performanceoriented Fully Routable Dynamic Architecture for a Fieldprogrammable Logic Device. Memorandum No. UCB/ERL
M93/42, Electronics Research Lab., College of Engineering,
UC Berkeley, 1993, pp. 121.
NEC Electronics. http://www.necel.com/drp/in/index.html (accessed 2006).
Wirthlin, M. J.; Hutchings, B. L. A Dynamic Instruction Set
Computing; Proc. of the IEEE Symposium on FPGAs for Computing Machines Washington, DC, IEEE Computer Society,
1995, pp 99107.
Stretch, Inc. http://www.stretchinc.com (accessed 2006).
Steiger, C.; Walder, H.; Platzner, M. Operting System for Recongurable Embedded Platforms: Online Scheduling of Realtime Task. IEEE Trans. Comput. 2004, 53 (11), pp 13931407.
45. Schmit, H. Incremental Reconguration for Pipelined Applications; Proc. of the 5th IEEE Symposium on FPGA-Based Custom Computing Machines Washington, DC, IEEE Computer
Society, 1997, pp 4755.
46. Young, S.; Alfke, P.; Fewer, C.; McMillan, S.; Blodget, B.; Levi,
D. A High I/O Recongurable Crossbar Switch; Proc. of the
11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 03); Washington, DC, IEEE
Computer Society, 2003, pp 310.
47. Guccione, S.; Levi, D.; Sundarajan, P. A Java-based Interface for Recongurable Computing; Second Annual Military and Aerospace Applications of Programmable Devices
and Technologies Conference (MAPLD); September 1999. citeseer.ist.psu.edu/681383.html.
48. Luk, W.; Page, I. Compiling Occam into FPGAs. FPGAs. Abingdon, EE &CS books: 1991; pp 271283.
49. Page, I. Constructing Hardware/Software System From a Single Description. VLSI Signal Processing, 1996, 12,pp 87
107.
50. Celoxica. http://www.celoxica.com (accessed 2006).
51. Mentor Graphics. http://www.mentor.com/products/esl/high
level synthesis/catapult synthesis/index.cfm
(accessed
2006).
52. Mathworks, Inc. http://www.mathworks.com (accessed 2006).
53. Haldar, M.; Nayak, A.; Choudhary, A.; Banerjee, P. A System
for Synthesizing Optimized FPGA Hardware from MATLAB;
Proc. of the 2001 IEEE/ACM International Conference on
Computer-aided Design (ICCAD) Piscataway, NJ, IEEE Press:
2001, pp 314319.
54. Lau, D.; Pritchard, O.; Molson, P. Automated Generation
of Hardware Accelerators with Direct Memory Access from
ANSI/ISO Standard C Functions, Proc. of the 14th Annual
IEEE symposium on Field-Programmable Custom Computing
Machines (FCCM06), Washington, DC, IEEE Computer Society, 2006, pp 4556.
55. Isshiki, T.; Dai, W. M. High-level Bit-serial Datapath Synthesis
for Multi-FPGA System; International Workshop on FPGAs;
1995, pp 167174.
56. Leong, M. P.; Leong, P. H. W. A Variable-Radix Digit-serial
Design Methodology and Application to the Discrete Cosine
Transform. IEEE Trans. VLSI System 2003, 11 (1), pp 90
104.
57. Clos, C. A Study of Nonblocking Switching Networks. Bell System Tech. J. 1953, 32,pp 406424.
58. Benes, V.E. Mathematical Theory of Connecting Networks and
Telephone Trafc; Academic Press: New York, 1965.
59. Cole, R.; Hopcroft, J. On Edge Coloring Bipartite Graphs.
SIAM J.Computing 1982, 11,pp 540546.
60. Richards, G. W.; Hwang, F. K.A Two-stage Rearrangeable
Broadcast Switching Network. IEEE Trans. Commun. 1985,
COM- 33(10), pp 10251035.
61. I-Cube, Using FPID Devies in FPGA-based Prototyping. Application Note 1994, pp 111.
62. Wei, Y. C.; Cheng, C. K.; Wurman, Z. Multiple-level Partitioning: An Application to the Very Large-Scale HardWare Simulator. IEEE J. Solid State Circuits 1991, pp 706716.
63. Li, J.; Cheng, C. K.. Routability Improvement Using Dynamic
Interconnect Architecture. IEEE FPGAs for Custom Computing Machines 1995, Apr, pp 13.2.7.
64. Chan, P. K.; Schlag, M. D. F.; Martin, M. BORG: A Recongurable Prototyping Board Using Field-programmable Gate Arrays. Int. Workshop on FPGA; Berkeley CA, 1992, pp 4751.
Recongurable Computing
65. Lillis, J.; Cheng, C. K.; Lin, T. T. Optimal Wire Sizing and Buffer
Insertion for Low Power and a Generalized Delay Model.
IEEE/ACM Int. Conf. on Computer-Aided Design; 1995, pp
138143.
66. Hsieh, W. J.; Jenq, Y. C.; Horng, C. S.; Lofstrom, K. Input/output
I/O Bidirectional Buffer for Interfacing I/O Parts of a Field
Programmable Interconnection Device with Array Ports of a
Cross-point Switch. US Patent no 5,428,800, 1992, pp 113.
67. Yeh, C. W.; Cheng, C. K.; Lin, T.T. A Probabilistic
Multicommodity-ow Solution to Circuit Clustering Problems. IEEE Int. Conf. on Computer-Aided Design; 1992, pp
428431.
68. Yeh, C. W. On the Acceleration of Flow-oriented Circuit Clustering. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
1995, pp 13051308.
69. Liu, L. T.; Kuo, M. T.; Cheng, C. K.; Hu, T.C. Performancedriven Partitioning Using a Replication Graph Approach.
ACM/IEEE Design Automation Conf; June 1995, pp 206
210.
70. Cong, J.; Lim, S. K.; Wu, C. Performance driven Multi-level
and Multiway Partitioning with Retiming; Proc. of the 37th
Conference on Design Automation (DAC 00); New York, ACM
press: 2000, pp 274279.
71. Alpert, C. J.; Kahng, A.B. Recent Directions in Netlist Partitioning: A Survey. Integration, The VLSI. 1995, August,pp
181.
72. Chan, P. K.; Schlag, M. D. F.; Zien, J. Y. On routability Prediction for Field-programmable Gate Arrays; IEEE Design Automation Conf.; Dallas, 1993, pp 326330.
73. El Gamal, A. Two-dimensional Stochastic Model for Interconnections in Master Slice Integrated Circuits. IEEE Trans.
CAS. 1981, 28 (2), pp 127138.
74. Selvide, C.; Agarwal, A.; Dahl, M.; Babb, J. TIERS: Topology
Independent Pipelined Routing and Scheduling; Int. Symp. on
FPGA; 1995, pp 2531.
75. Chang, S. C.; Cheng, K. T.; Woo, N. S.; Marek-Sadowska, M.
Layout Driven Logic Synthesis for FPGA; Proc. ACM/IEEE
Design Automation Conference. 1994, pp 308313.
76. Leong, M. P. FPGA Design Methodologies for High Performance Applications. PhD dissertation, The Chinese University of Hong Kong, 2001.
CHENG CHUNG-KUAN
ANDREW B. KAHNG
PHILIP H.W. LEONG
Dept. of Computer Science and
Engineering, University of
California, La Jolla,
California
Dept. of Computer Science and
Engineering, The Chinese
University of Hong Kong,
Shatin, Hong Kong
15
SQUIDs
311
SQUIDs
Since the development of the Superconducting QUantum Interference Device (SQUID) in the late 1960s and its commercial introduction in 1970, SQUID-based instruments have
proved to be the most sensitive measurement devices not only
for magnetization measurements but also for a number of
other electrical measurements. Their device noise (well below
1 mK), frequency response to dc, and low drift permit electromagnetic measurements at levels far below those of conventional techniques.
SQUID instruments consist of a SQUID amplifier or sensor and a detection circuit that transforms the signal of interJ. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
SQUIDs
Feedback current
RF
coil
Input
RF
amp Det
Cryogenic region
RF
oscillator
Phase
sensitive
detector
Output
RF
oscillator
Figure 2. Block diagram of SQUID input and electronics for lockedloop operation.
V
S N S
Ic
+Ic
Detected RF output
312
1 0
SQUIDs
dc current
source
313
Feedback current
Sensitivity
ac
amp
Input
Sync
detector
Ref
Modulation
oscillator
Cryogenic region
typically better than 1 ppm. Like the RF SQUID, this feedback current (presented as a voltage at the output) is a direct
measure of changes in flux applied to the SQUID.
Control Electronics. The system output voltage is the voltage drop across the feedback resistor in a negative feedback
loop controlled by the SQUID electronics. The feedback signal
is generated in response to changes in the output signal of the
SQUID sensor. The output of the SQUID sensor is periodic
in the field coupled into the SQUID loop. Negative feedback
(similar to a phase-locked loop technique) is used to maintain
the system operating point at a particular (and arbitrary) flux
quantum. When operated in this mode, the system is in a
flux-locked loop.
One important factor of SQUID design is such that the
feedback electronics be able to follow changes in the shielding
currents. If the shielding current changes so fast that the flux
in the SQUID loop changes by more than 0 /2, it is possible
that the feedback electronics will lag behind the rapidly
changing flux. When the electronics finally catch up, they
can lock on an operating point (Fig. 3) different from the original. In this case, the SQUID has lost lock because the
SQUID has exceeded the maximum slew rate of the electronics. This places an upper limit on the bandwidth of the system. The typical bandwidth of commercially available SQUID
systems is dc to 50 kHz. Custom electronics have been built
extending bandwidths above 5 MHz. Typical slew rates for
SQUIDs are in the range of 105 106 0 /s.
Even though one may not need or want to observe rapidly
changing signals, situations may arise when ambient noise
(e.g., 60 Hz) may determine the slew rate requirements of the
system. To recover a signal from such interference, the system must be able to track all signals present at the input,
including the noise. When system response is sped up to handle very fast signals, sensitivity to RF interference and spurious transients is also increased. Because the ability to remain
locked while subjected to strong electrical transients is greatest when the maximum slew rate is limited (slow), whereas
ability to track rapidly varying signals is greatest when the
maximum slew rate is greatest (fast), it is desirable to be able
to match the maximum slew-rate capability to the measuring
situation. As a matter of convenience, many commercial
2
EN = Li IN
=
2
N
Li
(1)
where Li is the input inductance of the device, IN is the current noise, and N is the flux sensitivity. EN is often expressed
in terms of Plancks constant h 6.6 1034 J/Hz.
The major limiting factor in the noise of a RF SQUID is
the bias frequency f 0 used to excite the tank circuit and that
RF SQUID noise is proportional to 1/ f 0 (6). As f 0 increases,
the complexity of the electronics also tends to increase.
The minimum noise energy for a dc SQUID is given by (7)
EN = kB T LloopC
(2)
where kB is Boltzmanns constant, Ll is the inductance of the
SQUID loop, and C is the capacitance of the junction. Substituting appropriate numbers indicates that the minimum
noise energy EN for a dc SQUID is on the order of h/2. Devices
with sensitivities of h have been constructed. These extremely low noise levels are achieved by limiting dynamic
range and avoiding feedback. The need for practical (useful)
devices requires that feedback be used and that the SQUID
have a reasonable dynamic range. Commercially available RF
SQUIDs have noise levels of 1029 J/Hz; commercial dc
SQUIDs are typically 1031 J/Hz.
In addition to the frequency independent (white) component of system noise, there exists a low-frequency contribution that increases as the frequency decreases. The onset of
this 1/f noise can be dependent on the ambient magnetic field
when the SQUID sensor is cooled. When cooled in the earths
magnetic field, the point at which the 1/f noise equals the
white (frequency independent) noise is typically 1 Hz. Cooling the SQUID sensor in low ambient magnetic fields (less
than 1 T) may improve the 1/f performance by as much as
an order of magnitude. A large contribution to this noise in
some dc SQUIDs can arise from the presence of the dc current
bias. By chopping the dc bias in combination with the conventional flux modulation techniques, it is possible to reduce this
added 1/f noise. This ac bias reversal approach (8) separates
the original signal waveform from the noise associated with
the dc bias and can reduce 1/f noise at very low frequencies.
The major difference between RF and dc SQUIDs is that
the dc SQUID offers lower noise. From a historical viewpoint,
although the dc SQUID was the first type of SQUID magnetometer made, the early development was with RF SQUIDs
because of the difficulty in fabricating two nearly identical
Josephson junctions in a single device. With modern thin film
fabrication techniques and improvements in control electronics design, the dc SQUID offers clear advantages over the RF
SQUID for many applications.
Limitations on SQUID Technology
It is important to bear in mind several fundamental limitations in designing SQUID-based mesurement systems and
data reduction algorithms.
314
SQUIDs
= NA
B = (Lcoil + Li )
I
(3)
H
cm turn2
(4)
B = (Lcoil + Li )
I/NA
(5)
SQUID
loop
Magnetometer coil
(N = 2 turns)
Electronics
Li
Lc
SQUIDs
(b)
(c)
(d)
(e)
(f)
Figure 6. (a) magnetometer, (b) first derivative gradiometer, (c) planar gradiometer, (d) second derivative gradiometer, (e) first derivative asymmetric gradiometer, (f) second derivative asymmetric gradiometer. Courtesy of S. J. Williamson.
1
Fir
0.1
st
de
Se
Comp
coil
ati
ve
nd
gra
de
dio
riv
Baseline (b)
me
at
0.01
ter
iv
Signal
coil
e
gr
ad
m
Distance to source
io
0.001
riv
co
et
er
Magnetometers are extremely sensitive to the outside environment. This may be acceptable if one is measuring ambient
fields. If what is to be measured is close to the detection coil
and weak, outside interference may prevent measurements at
SQUID sensitivities. If the measurement is of a magnetic
source close to the detection coil, a gradiometer coil may be
preferred. The field of a magnetic dipole is inversely proportional to the cube of the distance between the dipole and the
sensor. It follows that the field from a distant source is relatively uniform in direction and magnitude at the sensor. If we
connect in series two identical and exactly parallel loops
wound in opposite senses, separated by a distance b (the baseline), we obtain a coil [Fig. 6(b)] that will reject uniform fields.
Because the response of a single coil to a magnetic dipole
goes as 1/r3, an object that is much closer to one coil than the
other will couple better to the closer coil than the more distant. Sources that are relatively distant will couple equally
into both coils. For objects that are closer than 0.3 b, the gradiometer acts as a pure magnetometer, while rejecting more
(a)
315
Source
0.0001
1b
10 b
100 b
Distance to pickup coil
316
SQUIDs
(Ls + Lc + Li + Ll ) = 0
Ns
(6)
Neck
tube
Vacuum
space
Thermal
shield
Outer wall
at room
temperature
REFRIGERATION
The superconducting nature of SQUIDs require them to operate well below their superconducting transition temperature
(9.3 K for Nb and 93 K for YBa2Cu3O7). The thermal environment for the SQUID sensor and detection coil has typically
been liquid helium or liquid nitrogen contained in a vacuuminsulated vessel known as a dewar (Fig. 8). The cryogen hold
time depends on the boil-off rate (heat load) and the inner
vessel volume.
The major heat load on dewars is the result of thermal
conduction down the neck tube and a magnetometer probe
along with black body radiation. The space between the inner
and outer walls is evacuated to prevent thermal conduction
Neck
baffle(s)
Magnetometer
probe
Inner wall
at liquid
helium
temperature
SQUIDs
Detection
coils
Tail spacing
SQUIDs
107
Environmental Noise
The greatest obstacle to SQUID measurements is external
noise sources. If the object being measured is within the cryostat (such as is typical in most laboratory experiments), metallic shielding can minimize external noise (e.g., act as a
low-pass eddy current shield). Superconducting shields essentially eliminate all external field variations. This assumes
that any electrical inputs to the experimental region have
been appropriately filtered. Powerline or microprocessor clock
frequencies can severely degrade performance.
When measuring external fields, the SQUID magnetometer must operate in an environmentthe magnetic field of
the earththat can be ten orders of magnitude greater than
its sensitivity (Fig. 9). The magnetic field at the surface of
the earth is generated by a number of sources. There exists a
background field of 50 T with a daily variation of 0.1
T. In addition, there is a contribution (below 1 Hz) from the
interaction of the solar wind with the magnetosphere. The
remaining contributions to external magnetic fields are primarily man-made. These can be caused by structural steel
and other localized magnetic materials such as furniture and
instruments that distort the earths field and result in field
gradients; moving vehicles that generate transient fields;
electric motors; elevators; radio, television, and microwave
transmitters; and the ever-present powerline electromagnetic
field and its harmonics.
106
Hospital
(Helsinki)
Laboratory
(Berlin)
Lab.
(Helsinki)
Low
noise
Typical geomagnetic
activity
(Stanford)
104
103
Eddy current shielded
room (Tampere)
102
Magnetically
shielded room
(M.I.T.)
101
Magnetically
Shielded room
(Berlin)
1
101
/0 f
(7)
(8)
where L is the inductance of the enclosure and R is the resistance along the path of current flow. Unfortunately, induced
Sensor limit
1
101
Frequency (Hz)
102
Figure 9. Rms field noise spectra in various environments as a function of frequency. Courtesy of S. J. Williamson.
Noise Reduction
One method to attenuate external noise sources is with an
eddy current shield that generates fields that act to cancel
the externally applied fields within the conducting material.
The shielding effect is determined by skin depth . For a sinusoidal varying wave
High
noise
105
Brms =
317
64kB Tt
hd
(9)
318
SQUIDs
yy
;;
;;
yy
108
SQUID
susceptometry
Nondestructive test
and evaluation
1010
Magnetocardiography
1012 Geophysical
Magnetic
anomaly
detection
1014
Magnetoencephalography
Figure 10. Field sensitivities and bandwidths typical of various applications. The
lines indicate the sensitivity of commercially available SQUIDs.
1016
104
102
1
Frequency (Hz)
104
SQUIDs
319
Z rstd
rstd
(c) dc voltage
Current
source
ac
bridge
Rx
rstd
RF
(d) dc resistance
Figure 11. (a) ac and dc current, (b) magnetic field, (c) dc voltage, (d) dc resistance, (e) ac
resistance/inductance bridge, (f) ac mutual inductance (susceptibility bridge).
SQUID
electronics
VO
RS ,TS
Sensitivity
1012
1015
1014
1012
1012
1010
A/ Hz
T/ Hz
V
H
emu
rs
320
SQUIDs
Null
detector
Control
electronics
Preamp
SQUID
Oscillator
ac bridge unit
SQUIDs
Table 3. Medical Applications of SQUIDs
105
Cardiogram
Oculogram
104
Myogram
Fetal cardiogram
103
rhythm
Auditory evoked response
Retinogram
101
1
10
Frequency (Hz)
1. B. D. Josephson, Possible new effect in superconductive tunneling, Phys. Lett., 1: 251253, 1962.
BIBLIOGRAPHY
321
102
103
Figure 14. Typical amplitudes and frequency ranges for various biomagnetic signals.
322
SRAM CHIPS
ROBERT L. FAGALY
Tristan Technologies
87
SUPERCONDUCTORS, STABILITY
IN FORCED FLOW
Forced-flowcooled conductors are the preferred choice for
magnets that must operate in an electromagnetic and mechanically noisy environment, when pulsed operation requires
minimization of ac losses, or whenever the operating conditions require a reliable and cost-effective design. In this article we review the guidelines that motivated the choice of
forced-flowcooled conductors to obtain an effective and stable superconductor design for large magnets, such as those
for fusion, superconducting magnetic energy storage (SMES),
particle detectors, or magneto-hydrodynamic (MHD) application. We will discuss the particular features of the stability
margin in forced-flowcooled conductors and the models commonly used to compute it.
SUPERCONDUCTOR STABILITY
Superconductors exhibit zero resistance only within relatively
narrow parameters of temperature, magnetic field, and transport current, below the so-called critical surface. When
brought outside this region by a disturbance (e.g., by energy
deposition stemming from a mechanical motion) superconductivity is lost and Joule heating is generated. If not prevented
by other mechanisms, the superconductor cascades further
from its nominal operating point into an irreversible process
leading to the complete loss of superconductivity in the magnet. This process is commonly known as a quench. Even if the
magnet is properly protected against damage, a magnet
quench is an undesirable event in terms of availability and
cost. A well-designed magnet will not quench under normal
operating conditions. The study of stability pertains to the
understanding of the processes and mechanisms whereby a
superconductor will remain (or not) within its operating region, thus ensuring magnet operation without quench. This
area of study has evolved through many years of experimentation and analysis.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
88
Cu I 2
<1
hpw ACu (Tc Top )
(1)
where Cu is the stabilizer resistivity, h the heat transfer coefficient between conductor and cooling bath, Tc is the critical
temperature, and Top the bath operating temperature.
Stability versus Perturbation Spectrum
Cryostable conductors have an exceptional tolerance to energy inputs. The drawback is that the resulting operating current density is low, and thus coil size and cost are large. The
present approach is different, and consists in designing the
conductor to be stable against the spectrum of energy disturbances expected in the magnet, instead of requiring the conductor to be stable against disturbances of arbitrary nature
and intensity. This implies a comparison of the initial estimate of the energy release mechanisms and magnitude to the
so called energy margin E that we define as the maximum
energy deposition that the conductor can tolerate while recovering from the superconducting state. Let us take as an example a conductor in which mechanical energy releases dominate. Following Keilin (2,3), we compare schematically in Fig.
1 the stability margin to the disturbances that can potentially
drive the conductor normal. As shown there, the stability
margin E decreases with increasing current I, while mechanical disturbances, the curves labeled D, increase with
the current. In this case, the conductor is no longer unconditionally stable but it has an upper stability margin, traditionally expressed in mJ/cm3 of metal in the cable. The disturbance spectrum must be interpreted as the energy released in
each event. At increasing current a single event releases an
increasing energy because of the proportionality to either the
Lorentz forces (I2) or to the strain energy in the cable (I4). As
the magnet is charged, the two curves approach, until eventually the spectrum of mechanical disturbances (D) equals and
surpasses the stability margin (E). At this point the magnet
will quench as soon as a perturbation event will take place.
The most likely event during magnet charge-up will be the
D1
D2 Dn
one associated with the largest energy release. Most mechanical energy inputs are associated with irreversible processes
such as stick-and-slip motions and cable compaction. Therefore, once this event has taken place, and the associated energy has been released, the energy perturbation spectrum at
the following charge-up will be diminished. We illustrate this
situation in Fig. 1 by the set of curves D1, D2, Dn that represent the perturbation spectrum at successive charge-ups 1, 2,
. . ., n. The intersection of these curves with the energy margin moves towards higher currents, and we see from this elementary example a simple explanation of the phenomenon of
training that disappointed early builders of superconducting
magnets.
We see from this simple example that we have two possibilities to guarantee the stable performance of the conductor.
The first is to decrease the energy perturbations (motions,
cracks, ac losses) as much as possible so that the highest possible operating current can be achieved. This solution can be
adopted for small- to medium-scale magnets operating in a
quiet environment where, for instance, the perturbation energy input can be limited by properly fixing the cable in the
winding pack. On the other hand, large size magnets, as typical of SMES systems, thermonuclear fusion experiments or
MHD applications, operate in a mechanic and electromagnetic noisy environment (e.g., rapidly changing magnetic
fields or large stress cycles) that per force results in a minimum value of the perturbation spectrum. In this case, the
designer must increase the stability margin to tolerate the
existing perturbation spectrum. This can be achieved by increasing the heat sink associated with the cable.
In the temperature range typical of the operation of a superconducting cable, generally from 2 to 4 K, all solid materials are known to have a very small heat capacity. In the same
temperature range, helium uniquely possesses a volumetric
heat capacity two to three orders of magnitude larger than
solids. Naturally, cable designers tend to take advantage of
this feature, trying to make an effective use of the heat sink
provided by adding a limited amount of helium to the cable.
To achieve this, it is necessary to increase the heat transfer
coefficient at the wetted surface of the conductor, at the conductor surface to volume ratio, or both. Forced-flowcooled
conductors are designed along this line to make the most effective use of the helium heat sink.
89
The main issue is, therefore, the heat transfer from the
strand surface to the helium flow and the thermodynamic process in the limited helium inventory.
FORCED-FLOWCOOLED CONDUCTORS
90
0.6
Nb3Sn at 9T
NbTi at 9T
0.5
0.4
0.3
Ilim =
(2)
0.2
0.1
0
0.1 (NRe = 200)
1 (NRe = 2000)
Figure 3. Stability margin of a NbTi and a Nb3Sn CICCs as a function of the steady state helium flow, measured by Hoenig, Montgomery, and Waldman (14). Reproduced from Ref. 14 by permission of
IEEE. Copyright 1979 IEEE.
10000
Limiting
current
Well-cooled
regime
Stability margin (mJ/cm3)
0.7
Ill-cooled
regime
Strands+helium
heat capacity
1000
Lower
limiting
current
100
Multiple
stablility
region
Strands
heat
capacity
10
0.00
1.00
Iop/Ic
low
Ilim
=
(3)
that we call lower limiting current for analogy to Eq. (2) and
low
because Ilim
is always smaller than Ilim. For operation at (and
low
below) Ilim , the full heat sink can be used for stabilization and
the stability margin is at the upper limitthe well-cooled
low
value. Between the two values Ilim and Ilim
, the stability margin falls gradually.
Multiple Stability
Near the limiting current the balance between heat production and removal becomes critical. Indeed, in some cases, a
multivalued region can be found in the vicinity of Ilim, as schematically shown in Fig. 4. As mentioned earlier, supercritical
helium behaves as a compressible fluid in the typical range
of operation of a magnet. Therefore, any heat pulse causes a
heating-induced flow driven by the fluid expansion and proportional to the pulse power. The flow in turn modifies the
heat transfer at the wetted surface of the conductor, enhancing the heat transfer coefficient. Let us concentrate on the
close vicinity of the limiting current, just above Ilim on the illcooled side. A large heating power, above the ill-cooled stability margin, can result in a significant heating-induced flow
and thus a large enhancement of the heat transfer coefficient.
Hence the power balance can be tipped in the direction favorable to recovery, and a second stable region appears. This is
what has been observed by Lue, Miller and Dresner (18,19)
500
200
H (mJ/cm3)
pulse to the helium and still recover at the end of the pulse,
provided that the helium temperature has not increased
above Tcs. Therefore, the energy margin is of the order of the
total heat sink in the cable cross section between the operating temperature Top and Tcs, including, obviously, the helium. In the ill-cooled regime, an unstable situation is reached
as soon as the strands are current sharing, and therefore the
energy margin is of the order of the heat capacity of the
strands between Top and Tcs plus the energy that can be transferred to the helium during the pulse. As mentioned earlier,
in practical cases, the heat capacity of the helium in the cross
section of a CICC is the dominant heat sink by two orders of
magnitude and more, and this explains the fall in the stability margin above Ilim.
The transition between the well-cooled and ill-cooled regimes happens in reality as a gradual fall from the maximum
heat sink values to the lower limit [Miller, (25)]. An intuitive
explanation of this fall can be given using again the power
balance at the strand surface. For the derivation of Eq. (2) it
was assumed that the helium has a constant temperature
Top. In reality, during the transient, the helium temperature
must increase as energy is absorbed and power is transferred
under a reduced temperature difference between strand and
helium. Two limiting cases can be defined. The first is the
ideal condition of helium at constant temperature, giving the
limiting current of Eq. (2), for which, however, the energy absorption in the helium is negligible. Operation exactly at Ilim
results thus in a stability margin at the lower limitthe illcooled value. The second limiting case is found when the
Joule heat production can be removed even when the helium
temperature has increased up to Tcs. This second case is obtained for a current of (and below)
91
100
50
NbTi single triplex
w = 1.0 mm, pabs = 5.0 atm
h = 16.7 ms, vHe = 0
Lsample = 3.8 m, B = 6.0 T
20
340
360
380
400
Is (A)
420
440
Figure 5. Stability margin of a NbTi CICC as a function of the operating current, measured by Lue and Miller (19). The experiment
was performed on a single triplex CICC of 3.8 m length (Lsample), with
strand diameter of 1 mm (w), under zero imposed flow (vHe) at a helium pressure of 5 bar (pabs). The background field was 6 T (B), and
resistive heating took place in 16.7 ms (h). Reproduced from Ref. 19
by permission of IEEE. Copyright 1981 IEEE.
92
H T =7T
H (mJ/cm3)
200
100
20
10
ing a quench. This limits the heat flux per unit length at the
wetted surface to roughly hpw(Tcs Top). The consequence is
that energy transferred to the helium, and thus the energy
margin, will grow at increasing pulse duration, until it becomes comparable to the total heat capacity available (as in
the well-cooled regime). This effect is partially balanced for
very fast pulses, because the heat transfer coefficient can exhibit very high values at early times (see the appendix, Transient Heat Transfer, below), which could shift the well-cooled/
ill-cooled transition at higher transport currents. In principle,
higher energy margins should be expected in this range. However, the high input powers in this duration range tend to
heat the conductor above 20 K, in a temperature range where
the stabilizer resistivity grows quickly, and the power balance
is thus strongly influenced. This effect causes the saturation
of the energy margin for extremely fast pulses (well below 1
ms duration).
Dependence on Operating Temperature and Pressure
The dependence on the operating temperature and pressure
in supercritical conditions is not easily quantified. The reason
is that the helium heat capacity in the vicinity of the usual
regimes of operation (operating pressure pop of the order of 3
to 10 bar and operating temperature Top around 4 to 6 K)
varies strongly with both pop and Top. This affects both the
heat sink and the heat transfer coefficient (through its transient components). An increasing temperature margin under
constant operating pressure gives a higher E. But a simultaneous variation of pop and Top, under a constant temperature
margin, can produce variations of E as large as a factor two
in the range given above [see Miller (25) and Chaniotakis,
(30)].
STABILITY MARGIN OF CABLE-IN-CONDUIT
CONDUCTORS IN SUPERFLUID HELIUM
If the operating temperature is lowered below the so-called
lambda value T (e.g., 2.17 K at 1 atm), helium undergoes a
10
20
(ms)
300
0.37
250
0.37
250
200
250
1.3
250
1.8
250
3.0
50
100
200
500
(4)
1000
6T
He = 0.50.6 mg/sec
m
500
PHe = 23 atm.
93
4.2 K
3.6 K
H, mJ/cm3
200
1.8 K
100
50
20
10
Il = 194A
Il = 210A
5
160
180
200
220
240 260
I T, A
280
300
320
340
Figure 7. Stability margin of a NbTi CICC as a function of the operating current, measured by Lottin and Miller (27), at different temperatures in supercritical and superfluid helium (filled-in symbols are
quenches, open symbols are recoveries). Reproduced from Ref. 27 by
permission of IEEE. Copyright 1983 IEEE.
Energy Balance
The simplest stability model is that considering the energy
balance for the combined helium/strand system integrated
over the duration of the disturbance. This method gives a
rough estimate of the stability margin in the well- and illcooled regimes (called here Ewc and Eic) based on the available heat capacities and the location of the well-cooled/illcooled boundary (neglecting the dual-stability region), and
has the advantage of producing easily applicable design criteria for the selection of the cable layout. We introduce the maximum heat sink in the cable cross section (referred to the unit
strand volume) Emax:
Emax =
Tcs
Top
AHe
C dT +
ASt He
Tcs
Top
CSt dT
(5)
where CHe and CSt are respectively the volumetric heat capacity of helium and of the strands, while AHe and ASt are their
cross sections. The estimate of CHe can pose some questions.
As known from thermodynamics, the volumetric heat capacity
in a compressible fluid depends on the process assumed. Two
limiting cases can be identified: a process at constant volume,
where we have that CHe cv; or the case of constant pressure, where we have that CHe cp (cv and cp represent the
helium constant-volume and constant-pressurespecific
heat). The proper selection depends on the comparison of the
characteristic times involved. In a transient where the flow
characteristic times are much longer than the heating and
recovery time (i.e., for long-heated zone or fast-heating pulse),
the process will be at constant volume. Approximate constant
pressure conditions will be found when the flow characteristic
times are much shorter than the heating time (short heated
zone or long pulse). The real process will be between these
two extremes, but generally a conservative estimate is obtained choosing the constant volume process.
In the well-cooled regime we will have
Ewc
Emax
94
e + r
0
CuI 2
dt
ACu ASt
(6)
Ewc
Emax
(7)
Eic
Tcs
Top
CSt dT +
pw
(Tcs Top )
ASt
e + r
hdt
(8)
where the second term on the right-hand side is an approximation of the energy transferred to the helium under the assumption that the strands rise instantaneously to Tcs and the
helium temperature Top does not change significantly. For
short energy pulses, the use of Eq. (8) shows that generally
Eic Emax. The energy margin given by Eq. (8) tends to
increase when the energy deposition time e increases, which
is consistent with the experimental results quoted earlier. For
very long pulses, the power input in the strand can be transferred to the helium without a significant temperature difference. At the limit of long pulse times, the whole heat capacity
is used again and we have that Eic Emax. In any case, the
value of the maximum heat sink Emax of Eq. (5) remains the
absolute upper limit of the stability margin. In summary,
Eqs. (7) and (8) give the estimated energy margin respectively
below and above the limiting current of Eq. (2).
Zero-Dimensional Model
The next level of complexity and accuracy in the calculation
of the stability margin consists of introducing time as a variable to capture the distinction between short and long duration pulses while neglecting heated-zone length effects. Maintaining the fundamental distinction between strand and
helium temperature, it is possible to write this 0-D balance
as follows:
TSt
= q Ext + q Joule pw h(TSt THe )
t
T
AHeCHe He = pw h(TSt THe )
t
AStCSt
(9a)
(9b)
The rightmost terms in Eqs. (9a) and (9b) represent the thermal coupling of strands (at temperature TSt) and helium (at
temperature THe) at the wetted perimeter pw with a heat
transfer h. In Eq. (9a) we have in addition the external and
Joule heat sources (per unit conductor length) qE xt and qJoule,
respectively. The Joule heating can be computed once the critical current dependence on the temperature Ic(T) is known.
Note that an accurate calculation of qJoule is necessary to describe the recovery phase properly. This model is attractive
because of its simplicity; it can be solved efficiently and used
routinely. It is accurate in describing the local energy balance
on the time scale of recovery, but some care must be taken in
the selection of the parameters in order to capture flow-related physical features that only a 1-D model can include.
The first parameter to be chosen properly is the volumetric
helium heat capacity, as we discussed earlier. The second parameter that requires care is the heat transfer coefficient,
changing in time during the transient. While the boundary
layer formation and the associated diffusive component of the
heat transfer coefficient can be approximated in a local treatment as a variable thermal resistance between strands and
helium, the heating-induced flow and its effect on stability
are not amenable to local treatment. An average value for this
component is a reasonable choice, but the actual modeling is
to a large extent left to empiricism [see Lue (29)]. This is, in
fact, one of the research areas on stability margin in CICCs.
The search of the stability margin with the 0-D model is
the virtual analogue of the experimental technique. A trialand-error search is done on the energy input, increasing or
decreasing it as a function of the quench or recovery result at
the end of the transient.
One-Dimensional Model
With a typical hydraulic diameter in the millimeter range,
the overall helium flow in a CICC can be expected to be onedimensional, with a good approximation already over flow
lengths of the order of 1 m. As the helium flows generally in
turbulent regime, the helium temperature is nearly uniform
in the cross section of the CICC. Therefore, the temperature
gradients in the cable cross section reduce to those across the
strand, and are negligibly small. We assume that the current
distribution is uniform in the strands. In well-designed
CICCs, the current can redistribute over typical lengths of the
order of some centimeters in times of the order and below 1
ms. In this case, the heat generation in the CICC cross section
during current sharing is also uniform. This is not the case
for CICCs with insulated strands or high transverse resistance, where the current redistribution can take several seconds over lengths of several meters. In this case, an homogenized treatment is not appropriate and the stability margin
is actually strongly degraded. We will therefore drop this case
in the following treatment. As the stability transients are fast
compared to the thermal diffusivity of the conduit materials
(e.g., steel), the conduit contribution to the energy balance is
neglected also.
These assumptions lead to a much simplified 1-D model of
the CICC, where two constituents are identified: the helium
and the strands. Both are at uniform, but distinct, temperature. The compressible flow equations in the helium (mass,
momentum, and energy balances) are written to include wall
friction, modeled using a turbulent friction factor. Strand and
+
=0
t
x
v v2
p
v|v|
+
+
= 2 f
t
x
x
Dh
e ve pv
pw h
+
+
=
(T THe )
t
x
x
AHe St
AStCSt
TSt
T
ASt
KSt st
t
x
x
= q Ext + q Joule pw h(TSt THe )
(10a)
(10b)
(10c)
(10d)
v2
2
Finally, the strand heat balance of Eq. (10d) takes into proper
account the contribution of the heat conductivity KSt along the
cable length.
The 1-D model introduced above is widely used for detailed
calculations of stability margin. When the numerical solution
technique to account for the different time scales involved is
properly selected, the model can predict the heating-induced
flows responsible for multivalued stability, and can be
adapted directly to follow the evolution of the normal zone
when the energy input is large enough and the coil quenches.
The only significant modification in this case is the need to
take into account the additional heat capacity of the conduit
material. This modification is straightforward and consists of
adding a temperature diffusion equation to the system. Because of the level of fine details, even within the simplification
of the 1-D assumption, this model gives the possibility of wide
parametric analysis. Its main drawback is that, dealing with
largely different time scales, it is slow and not easy to handle.
95
ACu
E
pw
Eo = hHe (T ) hHe (Top ) L
E=
(11a)
(11b)
f He
A
1 f He St
(11c)
qj =
q =
CuI 2
pw ACu
1/3
KCHe
(T Top )2/3
(4Eo )1/3
(11d)
(11e)
96
E/Eo
0.1
0.01
0.1
1.0
qj/q*
10.0
KHe cp
t
(12)
(13)
(14)
An empirical expression for the heat transfer to supercritical helium during a transient finally can be obtained modeling the Kapitza resistance and the helium boundary layer as
series thermal resistances, and taking
ht h K
hs hK
h = max
,
(15a)
ht + h K h s + h K
This expression is in good agreement with the experimental
results quoted above, and shows that, for short pulses, the
heat transfer coefficient only depends on the helium state and
not on the flow conditions. At temperatures below the lambda
point (superfluid helium), the Kapitza resistance is the only
limit to the heat transfer at the strand wetted surface. In this
case, we approximate the heat transfer coefficient simply as
h = hK
(15b)
97
8. M. O. Hoenig et al., Supercritical helium cooled cabled, superconducting hollow conductors for large high field magnets, Proc. 6th
Int. Cryo. Eng. Conf., Grenoble, France, 1114 May 1976, in K.
Mendelssohn (ed.), Guilford, Surrey 1PC Science and Technology
Press, 310, 1976.
9. L. Dresner, Stability-optimized, force-cooled, multifilamentary
superconductors, IEEE Trans. Magn., 13: 670, 1977.
10. L. Dresner and J. W. Lue, Design of forced-cooled conductors for
large fusion magnets, Proc. 7th Symp. on Eng. Probs. of Fus. Res.,
I: 703, 1977.
11. L. Dresner, Stability of internally cooled superconductors: A review, Cryogenics, 20: 558, 1980.
12. L. Dresner, Superconductor stability 1983: A review, Cryogenics,
24: 283, 1984.
13. M. O. Hoenig and D. B. Montgomery, Cryostability experiments
of force cooled superconductors, Proc. 7th Symp. on Eng. Probs. of
Fus. Res., I: 780, 1977.
14. M. O. Hoenig, D. B. Montgomery, and S. J. Waldman, Cryostability in force cooled superconducting cables, IEEE Trans. Magn.,
15: 792, 1979.
15. M. O. Hoenig, Internally cooled cabled superconductorsPart I,
Cryogenics, 20: 373389, 1980.
16. M. O. Hoenig, Internally cooled cabled superconductorsPart II,
Cryogenics, 20: 427434, 1980.
17. J. R. Miller et al., Measurements of stability of cabled superconductors cooled by flowing supercritical helium, IEEE Trans.
Magn., 15: 351, 1979.
18. J. W. Lue, J. R. Miller, and L. Dresner, Stability of cable-in-conduit superconductors, J. Appl. Phys., 51: 772, 1980.
19. J. W. Lue and J. R. Miller, Parametric study of the stability margin of cable-in-conduit superconductors: Experiment, IEEE
Trans. Magn., 17: 1981.
20. L. Dresner, Parametric study of the stability margin of cable-inconduit superconductors: Theory, IEEE Trans. Magn., 17: 753,
1981.
21. L. Dresner, Heating induced flows in cable-in-conduit conductors,
Cryogenics, 19: 653, 1979.
22. J. R. Miller et al., Stability measurements of a large Nb3Sn forcecooled conductor, Adv. Cryo. Eng., 26: 654, 1980.
23. J. W. Lue and J. R. Miller, Performance of an internally cooled
superconducting solenoid, Adv. Cryo. Eng., 27: 227, 1982.
24. J. V. Minervini, M. M. Steeves, and M. O. Hoenig, Experimental
determination of stability margin in a 27 strand bronze matrix,
Nb3Sn cable-in-conduit conductor, IEEE Trans. Magn., 21: 339,
1985.
25. J. R. Miller, Empirical investigation of factors affecting the stability of cable-in-conduit superconductors, Cryogenics, 25: 552,
1985.
26. T. Ando et al., Investigation of stability in cable-in-conduit conductors with heat pulse duration of 0.1 to 1 ms, Proc. 11th Int.
Cryo. Eng. Conf., 756, 1986.
27. J. C. Lottin and J. R. Miller, Stability of internally cooled superconductors in the temperature range 1.8 to 4.2 K, IEEE Trans.
Magn., 19: 439, 1983.
28. J. H. Schultz and J. V. Minervini, Sensitivity of energy margin
and cost figures of internally cooled cabled superconductors
(ICCS) to parametric variation in conductor design, Proc. 9th
Magn. Tech. Conf., 643646, 1985.
29. J. W. Lue, Review of stability experiments on cable-in-conduit
conductors, Cryogenics, 34: 779786, 1994.
30. E. A. Chaniotakis, Energy margin of cable-in-conduit conductor
as a function of operating pressure and initial heated zone, IEEE
Trans. Magn., 32 (4): 29662969, 1996; also E. A. Chanionakis,
98
31. G. Claudet et al., Superfluid helium for stabilizing superconductors against local disturbances, IEEE Trans. Magn., 15: 340,
1979.
32. S. W. Van Sciver, Stability of superconductors cooled internally
by He II heat transfer, Cryogenics, 31: 516, 1991.
33. P. Seyfert, J. Laffarranderie, and G. Claudet, Time-dependent
heat transport in subcooled superfluid helium, Cryogenics, 22:
401, 1982.
34. C. Meuris et al., Transient stability of superconductors cooled by
superfluid helium at atmospheric pressure, Proc. Int. Inst. Refrigeration, 215223, 1981.
35. C. Meuris, Experimental study of the stability of a superconductor cooled by a limited volume of superfluid helium, IEEE Trans.
Magn., 19: 272, 1983.
36. L. Dresner, A rapid, semiempirical method of calculating the stability margins of superconductors cooled with subcooled He-II,
IEEE Trans. Magn., 23: 918921, 1987.
37. L. Dresner, Stability of Superconductors, New York: Plenum
Press, 1995.
38. C. Schmidt, Stability of superconductors in rapidly changing
magnetic fields, Cryogenics, 30: 501, 1990.
39. D. L. Walker et al., SMES conductor design, IEEE Trans. Magn.,
25: 1596, 1989.
40. S. D. Peck, J. C. Zeigler, and C. A. Luongo, Tests on a 200 kA
Cable-in-Conduit Conductor for SMES Application, IEEE Trans.
Appl. Supercond., 4: 199, 1994.
41. L. Bottura, Stability, protection and ac loss of cable-in-conduit
conductorsA designers approach, Fus. Eng. Des., 20: 351
362, 1993.
42. E. Tada et al., Downstream effect on stability in cable-in-conduit
superconductor, Cryogenics, 29: 830, 1989.
43. N. Koizumi et al., Experimental results on instability caused
by non-uniform current distribution in the 30 kA NbTi
Demo Poloidal Coil (DPC) conductor, Cryogenics, 34: 155,
1994.
44. N. Koizumi et al., Current imbalance due to induced circulation
current in a large cable-in-conduit superconductor, Cryogenics,
36: 409418, 1996.
45. S. Jeong et al., Ramp-rate limitation experiments using a hybrid
superconducting cable, Cryogenics, 36: 623629, 1996.
46. P. J. Giarratano and W. G. Steward, Transient forced convection
heat transfer to helium during a step in heat flux, Trans. ASME,
105: 350357, 1983.
47. W. B. Bloem, Transient heat transfer to a forced flow of supercritical helium at 4.2 K, Cryogenics, 26: 300308, 1986.
48. S. Van Sciver, Helium Cryogenics, New York: Plenum Press,
1979.
49. L. A. Yaskin et al., A correlation for heat transfer to superphysical (sic) helium in turbulent flow in small channels, Cryogenics,
17: 549552, 1977.
50. P. J. Giarratano, V. D. Arp, and R. V. Smith, Forced convection
heat transfer to supercritical helium, Cryogenics, 11: 385393,
1971.
LUCA BOTTURA
CERN
CESAR LUONGO
Bechtel
98
Reprinted from P. Maccioni and B. Turck, A general criterion for intrinsic stabilization of superconducting composites with highly resistive matrix, 00112275/91/03019212. 1991 ButterworthHeinemann Ltd, Cryogenics, 1991 March, pages 192203, with permission from Elsevier Science.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
Jc = Jc0
Tc T
Tc Tb
Jc = 0
for T Tc
for T > Tc
99
It
as
=1
Ic
af
0 x as
In the frame of a simplified critical model, Jc is a step function versus electric field:
as x af
af x a
J(E = 0) = 0
J(E = 0) = Jc
The effects of more realistic expressions of current density,
such as Jc0 Jc0(E/E0)1/n, are discussed in the final section.
nf Jco
nf Jco
H
=0
t
J=0
Cf
as
as
a af
as
as
af a
T
= div(K grad T )
t
H
t
Jc
H
= f
rot
t
t
T
Cf
= f Jc E + div(K grad T )
t
rot E = 0
Without Cu shell
(homogeneous composite)
With Cu shell
(shell effect)
rot E = 0
rot H = Jn
Cn
H
t
T
= n Jn2 + div(Kn grad T )
t
100
For simplicity, the physical properties of materials are assumed to be independent of temperature. Equivalent and average characteristics are derived for each zone after a homogenization that takes into account the local structure of the
composite strand.
In each zone, according to the differential equations, the
solution for the temperature can be developed in the form of
a sum of terms with time and space, for separate variables:
T (x, t) = Xi (x) exp(i t/ )
(1)
where
Cf a2f
Kf
(2)
(4)
(1 )X
(2)
+ ( )X = 0
(3)
KnCf
,
KfCn
2 2
a
0 s2 Jc0
Cf (Tc Tb )
(4)
Kf 0
Cf f
(5)
=
4(1 + h )
4h
4
1
3
i3
en
,
af
h=
ht af
Kf
1+
h
2
(9)
From our final expression Eq. (9), we can find a condition for
when an instability occurs ( 0). Conversely, a general
stability criterion can be written in the form
D f
Dmf
<
X =0
X
nCf
,
0 Kf
4
2 4
2 2
+ 2 +
i
i
+h 1 +
X (4) X (2) X = 0
Kn
,
Kf
(7)
A + (A2 + 4hB)1/2
2B
(10)
with
A= 4 1+
B=
4
h
1+
3
+2
h
(2i + ) + 2h + 4
i3 +
1+
h
2
h 4
i
2
(11)
(12)
with
=
Kn Cf
Cn Kf
(8)
For a given constant transport current, there are eight boundary conditions among the three zones concerning the electric
field, magnetic field, temperature, flux, and heat transfer to
the outer coolant. The general solutions of the differential
equations are combinations of eight hyperbolic functions, the
coefficients of which can be derived from the set of eight linear equations.
In order to ensure self-consistency and to obtain a nonunique trivial solution for , the determinant of the system
101
K (W mK1)
C (J m3 K1)
( m)
D (m2 s1)
Dm (m2 s1)
1.0
300
1500
1000
3 107
3 1010
6 104
0.3
0.25
2.5 104
NbTi, CuNi
Cu
3
i3
1+
enCn
af Cf
(13)
C = Cf 1 +
C(T
Tb )
0
1/3
enCn
< Cf (Tc Tb ) 1 +
af Cf
enCn
af Cf
(s Jc )1/3 a2/3
A1 =
(14)
enCn
1+
af Cf
Dynamic Criterion
Several workers have proposed analytical expressions for stability criteria of homogeneous composites (68). To our knowl-
af Cf
enCn
4 1+
af Cf
(16)
e
2
enCn
4 1+
af Cf
38 i
(17)
2
enCn
af Cf
0 Kn en
enCn
+ 2i
2+
nCf af
af Cf
i3
3
enCn
+ 2i +
af
e C af Cf e C 2
n n
n n
+2 + 3
+
af Cf
af Cf
Kn
2+ 0
nCf
4 1+
Whereas using a wire with the highest possible critical current density seems important, the gain in stable density is
not so significant, however. On the other hand, the stability
is not as dependent on the size a as is often considered. Although it is true that the stability parameter varies as a2,
the maximum stable average current density varies as a2/3.
For instance, doubling the thickness a results in a stable average current density multiplied by 0.63.
ha
h en
1 + A1 t f + A2 t
Kf
Kn
e C
A2 =
(15)
with
yields
J<
2+3
0 (s Jc0 a)2 3
i
3
enCn
0 (s Jc0 a)2 3
i < Cf (Tc Tb ) 1 +
3
af Cf
J = s Jc i
edge, they have always been presented for i 1 (critical current) and for nonzero values for in the case of exact
solutions obtained along a similar approach.
Let us consider a typical composite wire as a guide to justify some approximations, with af 0.7 103 m, en 0.3
103 m, s 0.2, Cu 0.5, CuNi 0.3, and ht 103 W m2
K1. The basic assumption ( 0) is satisfied by f /0
Kf /Cf . To derive a dynamic criterion, it is necessary to assume
that the heat conductivity in the inner region is not negligible (f is kept infinite). The two terms htaf /Kf and hten /Kn are
considered to be much less than 1.
The general criterion can then be written as follows:
2
(18)
Equation (16) emphasizes the respective influences of the inner region and of the outer shell. From Eq. (16), two particular cases can be derived as follows.
1. Letting en 0 (no outer normal shell) and i 1, we find
5 ht af
0 (s Jc0 a)2
< Cf (Tc Tb ) 1 +
3
24 Kf
(19)
7 ht a
0 (s Jc0 a)2
< Cm (Tc Tb ) 1 +
3
20 Km
(20)
102
enCn
0 (s Jc0 a)2 3
i < Cf (Tc Tb ) 1 +
3
af Cf
with
Kn
A2 = 0
nCf
e
3+2
n
+ 2i
a
f
h en
1 + A2 t
Kn
(21)
e C
4 1+
af Cf
enCn
af Cf
2
(22)
0 (s Jc0 a)2 3
i
3
enCn
3 0 h t en
< Cf (Tc Tb ) 1 +
+
af Cf
4 n Cf
af
+ 2i (Tc Tb )
(25)
(26)
e
af
+ 2i
(23)
3 0 h t en
0 (s Jc0 a)2
en
< (Tc Tb ) Cf + Cn
+
3
af
2 n
3 0 h t a
0 (s Jc0 a)2
< (Tc Tb ) Cm +
3
10 m
(24)
40 ht en
nCf
ht af 4
B
i
2Kf
A
e
hence
A2 4
ht af
B
Kf
(27)
100
Q(i)
Unstable
50
h = 0.0001
h = 1.0
Stable
0.5
i
(28)
This expression can be compared with the expression obtained for the homogeneous composite studied previously (6)
in the case of a low ratio of thermal diffusivity to magnetic
diffusivity ():
103
A + (A2 + 4hB)1/2
2B
D
Dm
s = 3 1 +
5h
24
General criterion
(10)
ht
ht = 0
ht af
<<1
Kf
Adiabatic criterion
(14)
and
ht en
<<1
Kn
Dynamic criterion
(16)
en = 0
n 0
en 0
Simplified dynamic criterion
for homogeneous composite
(19)
Simplified dynamic
criterion with shell effect
(23)
Figure 3. Summary of conditions to deduce usual criteria from the general stability criterion
100
90
80
70
Q(i)
60
50
40
30
20
10
0.00
0.20
0.40
0.60
0.80
1.00
i
(a)
200
100
90
80
70
Q(i)
Q(i)
60
100
50
40
30
20
10
0.00
0.20
0.40
0.60
0.80
1.00
0.00
(b)
(c)
100
90
90
80
80
70
70
60
60
50
40
30
30
20
20
10
10
0.40
0.60
0.80
1.00
0.60
0.80
1.00
0.60
0.80
1.00
50
40
0.20
0.40
i
100
0.00
0.20
Q(i)
Q(i)
104
0.00
0.20
0.40
(d)
(e)
Figure 4. Stability is improved when both copper shell thickness and the heat transfer coefficient attain significant values for copper shell thickness en /af : (a) 0; (b) 0.3; (c) 0.6; (d) 1.0;
(e) 1.3. Lines from top to bottom: h 10, 1, 0.1, 0.01.
SUMMARY
At the cost of some particular assumptions, it has been shown
that the general criterion Eq. (10) encompasses the usual criteria that have been already developed in various particular
cases. This can be represented schematically as in Fig. 3.
150
100
0 (s Jc0 a)2
Cf (Tc Tb )
and CuNi = 1 s Cu
R and af =
Rf
2
2
respectively. All the parameters intervening in the expressions for Q(i) can be derived as equivalent physical properties.
The expressions Q(i) are plotted in Figs. 6(a)6(e) with the
copper fraction as a parameter for given values of the actual
heat transfer coefficient ht. Up to a heat transfer of 102 W
m2 K1, the composite can be considered to behave as in adiabatic conditions.
In order to determine the stable operating current, we can
proceed as follows. Calculate the parameter
=
0 (s Jc0 a)2
Cf (Tc Tb )
50
0
0.0
and then, for a given copper fraction and a given heat transfer
coefficient, determine the maximum operating current. For
instance, Fig. 6(d) shows the evaluation of the stable current
for two amounts of copper for a particular case. In a field of
11 T and if one assumes the strand to be cooled in superfluid
helium to 1.8 K (ht 5 103 W m2), 90, which means
that the transport current can be increased safely up to 60%
of the critical current for 60% of copper, whereas it is 77% of
the critical current for 70% of copper.
200
Q(i)
105
0.2
0.4
0.6
0.8
1.0
i
Figure 5. Influence of the copper shell thickness en /af on stability
curves for h 1. Lines from left to right: 0, 0.3, 0.6, 1.0, 1.3.
Q(i)
200
100
0.00
0.20
0.40
0.60
0.80
1.00
i
(a)
200
Q(i)
Q(i)
200
100
0.00
0.20
0.40
0.60
0.80
1.00
0.00
0.20
0.40
i
(b)
(c)
0.60
0.80
1.00
0.60
0.80
1.00
Q(i)
200
100
0.00
100
200
Q(i)
106
0.20
0.40
0.60
0.80
1.00
100
0.00
0.20
0.40
(d)
(e)
Figure 6. Stability curves for a 1.35 mm diameter wire with 20% of superconductor. ht (a) 0;
(b) 102; (c) 103; (d) 5 103; (e) 104 W m2 K1. Lines from left to right: Cu 0.3, 0.5, 0.6, 0.7.
107
J n
c
Jc0
J
Jc0
n1
The effective critical current J*c for which this value equals
the copper resistivity can be estimated. For E/J Cu,
Jc
=
Jc0
Cu
Jc0
nE0
1/n1
E (V m1)
E = E0
n = 100
n = 30
E0
0
J
Jc = 1.32 Jc0
Jc = 1.07 Jc0
This shows that the critical current density Jc0 defined for E0
has to be noticeably exceeded in order to obtain a stabilizing
effect by the copper matrix.
In order to establish the full relevance to our model, the
perturbation has to generate enough electric field so that J*c
is locally exceeded. This is hardly to be expected from the ac
losses produced by an external changing field or by the selffield of the increasing current itself, except in case of very
high field or current change rate (in less than 10 ms).
A way to cope with this problem is to evaluate the stability
through and i, not in using Jc0 to define but with J*c taken
as 10 to 30% more than Jc0 depending on the n value (Fig. 7).
This will result in a larger value for (20 to 60%) and a
smaller value for i. However, the stable transport current I*s
will be larger
Is = is Ic
As a result, one can see that a low n value could suggest that
the conductor is more stable, when actually it is only the
true critical current that has been underestimated.
Some other factors can give rise to a more stable current
than given in the calculations. They arise from the existence
of a steady-state current distribution (for E 0) that is differ-
E (V m1)
J*
Jc01 Jc02 c
Tb + T
E0
0
J
Jc0
Tb
Jc*(Tb)
108
NOMENCLATURE
a
af
as
Ba
C
Cf
Cm
Cn
Dm
D
E
en
h
ht
i
Ic
Is
It
J
Jc
Jc0
Jn
K
Kf
Km
Kn
Tb
Tc
Greek Letters
f
s
Cu
CuNi
f
m
n
BIBLIOGRAPHY
1. P. S. Swartz and C. P. Bean, A model for magnetic instabilities in
hard superconductors: adiabatic critical state, J. Appl. Phys., 46:
49914996, 1968.
2. M. N. Wilson et al., Experimental and theoretical studies of superconducting composites, J. Phys. D, 3: 15171532, 1970.
3. M. G. Kremlev, Stability of critical states in type II superconductors, JETP/Lett., 17: 312318, 1973.
4. M. G. Kremlev, Damping of flux jumping by flux flow resistance,
Cryogenics, 13: 132137, 1974.
5. J. L. Duchateau and B. Turck, Self field degradation effect in adiabatic conditions, Cryogenics, 14: 481486, 1974.
6. J. L. Duchateau and B. Turck, Dynamic stability and quenching
currents of superconducting multifilamentary composites under
usual cooling conditions, J. Appl. Phys., 46: 49894995, 1975.
7. R. G. Mints and A. L. Rokhmanov, On the theory of flux jumps in
hard superconductors, J. Phys. D, 9: 22812287, 1976.
8. M. N. Wilson, Superconducting Magnets, Oxford, UK: Clarendon
Press, 1983.
9. B. Turck, Self field effect in round and rectangular multifilament
composites and stability of superconducting coils, Proc. Conf.
ICEC, 6, IPC Science and Technology Press, 497500, 1976.
B. TURCK
P. MACCIONI
Euratom-CEA Association
743
(1)
where Bx and By are the x and y components of the flux density and B1 is a constant.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
744
E
0.3 q B1
(2)
and By = gx
(3)
where g is a constant referred to as the quadrupole field gradient (in teslas per meter).
According to Lorentz law, a beam of positively charged
particles traveling along the direction of the z axis through
the aperture of such a magnet is horizontally focused and vertically defocused when g is positive, and vertically focused
and horizontally defocused when g is negative. In reference to
its action along the x axis on a beam of positively charged
particles traveling in the z direction, a magnet with a positive
gradient is called a focusing quadrupole, while a magnet with
a negative gradient is called a defocusing quadrupole. To obtain a net focusing effect along both x and y axes, focusing
and defocusing quadrupoles must be alternated in the magnet
lattice. For either type of quadrupole magnets, the focal
length f can be estimated from
E
f
0.3qglq
(4)
745
FNAL
Tevatron
6.3
pp
0.9
774
76.2
6.1
4
216
88.9
1.7
76
1983
DESY
HERA
6.3
ep
0.82
416
75
8.8
4.68
256
75
1.9
91.2
1990
IHEP
UNK
21
pp
3
2168
70
5.8
5.0
322
70
3.0
97
undecided
SSCL
SSC
87
pp
20
7944
50
15
6.79
1696
50
5.7
194
cancelled
BNL
RHIC
3.8
heavy ions
up to 0.1 a
264
80
9.7
3.4
276
80
1.1
71
1999
CERN
LHC
27
pp
7
1232 b
56
14.2
8.36
386 b
56
3.1
223
2005
(a)
(b)
(d)
(c)
(e)
746
(a)
(b)
747
Cable Insulation
The main requirements for cable insulation are (1) good dielectric strength in a helium environment and under high
transverse pressure (up to 100 MPa), (2) small thickness (to
maximize overall current density in the magnet coil) and good
physical uniformity (to ensure proper conductor positioning
for field quality), (3) retention of mechanical properties over
a wide temperature range (from helium temperature to coil
curing temperaturesee the discussion that follows), and (4)
ability to withstand radiation in an accelerator environment.
In addition, the insulation system is required to provide a
means of bonding the coil turns together to give the coil a
semirigid shape and facilitate its manipulation during the
subsequent steps of magnet assembly. It is also desirable that
the insulation be somewhat porous to helium for conductor
cooling. Note that the dielectric strength of helium gas at 4.2
Iron
Im
I
R
Ry
(a)
(b)
748
The first term (k 0) of the series corresponds to a pure normal dipole field parallel to the y axis. The B2k1 coefficients
are called the allowed multipole field coefficients of this current distribution.
y
y
+I
+I
I
x
I
+I
+I
I
+I
(a)
Octuplet of Current-Lines with Quadrupole Symmetry. Similarly, the magnetic flux density produced by the octuplet of
current-lines represented in Fig. 4(b) is given by
+I
By + iBx =
Figure 4. Examples of current-line distributions with selected symmetries (a) quadruplet of current-lines with an even symmetry about
the x axis and an odd symmetry about the y axis and (b) octuplet of
current-lines with even symmetries with respect to the x and y axes
and odd symmetries with respect to the first and second bisectors.
(12)
where
B4k+2 =
40 I
cos[(4k + 2)]
R4k+2
(13)
The first term (k 0) of the series corresponds to a pure normal quadrupole field whose axes are parallel to the first and
second bisectors. For this current distribution, the allowed
multipole field coefficients are the normal (4k 2)-pole field
coefficients.
(7)
n=1
Two-Dimensional Geometry
0 I
[cos(n i sin(n )]
2Rn
(8)
1
I
+1
and sm =
R2y
s
(9)
B4k+2z4k+1
k=0
(b)
By + iBx =
+
+
+J
J
J
60
30
B2k+1z
2k
+J
(10)
J
k=0
+J
where
(a)
20 I
B2k+1 =
cos[(2k + 1)]
R2k+1
(11)
(b)
;y;;
;
y
yy
;
yy
;
y
y;y;y;y;
20 J
(Ro Ri ) sin 0
and
B2k+1 =
20 J
(2k + 1)(2k 1)
R2k1
i
1
R2k1
o
for k, k 1
(14a)
sin[(2k + 1)0 ]
(14b)
Here, Ri and Ro are the inner and outer radii of the shells, 0
is the pole angle, and J is the overall current density, which
is assumed to be uniform. Note that B3 (first allowed
multipole field coefficient after B1 in a current distribution
with a dipole symmetry) is nil for 0 /3.
Similarly, the coil geometry most commonly used for a
quadrupole magnet is made up of the cylindrical current
shells shown in Fig. 5(b). The magnetic flux density is here
given by Eq. (12), where
B2 =
and
B4k+2
0 J
=
k(4k + 2)
20 J
ln
R
1
1
4k
4k
Ri
Ro
Ri
sin 20
(15a)
sin[(4k + 2)0 ] for k, k 1
749
(15b)
By2k+1
1
=
+1
Ri Ro
R2y
2k+1
Bs2k+1
(16)
750
ally. These asymmetries must be taken into account when calculating the field quality.
Operating Margin. Equations 14(a) and 15(a) show that, to
achieve high fields and high field gradients, it is desirable to
maximize the overall current density in the magnet coil. This
can be done by three means: (1) maximizing the superconductor performance, (2) minimizing the copper-to-superconductor
ratio in the cable strands, and (3) minimizing the turn-to-turn
insulation thickness. As explained in other sections, there are
lower bounds on the values of copper-to-superconductor ratio
and insulation thickness in order to limit conductor heating
in case of quenching and to ensure proper electrical insulation. As for the superconductor, the upper limit is the critical
current density at the given temperature and magnetic flux
density.
The magnetic flux density to which the conductor is exposed is nonuniform over the magnet coil, but the maximum
current-carrying capability of the conductor is determined by
the section where the magnetic flux density is the highest. In
most cases, this corresponds to the pole turn of the innermost
coil layer. Let Bp f(I) designate the peak magnetic flux density on the coil as a function of supplied current I, and let
IC f(B) designate the supposedly known cable critical current as a function of applied magnetic flux density B. The
intersection between these two curves determines the maximum quench current of the magnet Iqm.
In practice, magnets must be operated below Iqm so as to
ensure that the superconductor is in the superconding state
and to limit the risks of quenching. Let Iop designate the operating current. Then, the current margin of the magnet mI
is defined as
mI = 1
Iop
Iqm
(17)
Helium
containment
shell
Iron yoke
380 mm OD
Collars
45 mm soil
aperture
Bus
work
Helium
passage
Coils
Figure 7. Conceptual block design developed at BNL for a high field,
twin-aperture dipole magnet (41).
Limits of cos n Design. The cos n coil design has been very
successful until now, with a record dipole field of 13.5 T
reached by the LBNL short dipole magnet model (using
Nb3Sn cables at 1.8 K). However, it has two main drawbacks:
(1) the coil ends are difficult to make (see the section on coil
ends), and (2) due to the Lorentz force distribution, a stress
accumulation in the azimuthal direction results in high transverse pressures on the midplane conductors (see Fig. 6). For
very high field magnets, requiring the use of A15 (or even
possibly HTS) superconductors, which are strain-sensitive,
these high transverse pressures can result in significant critical current degradation (40).
Alternative coil designs, which may allow better management of the Lorentz stresses within the magnet coil, are being
investigated. As an illustration, Fig. 7 presents a conceptual
block or window-frame design developed at BNL for a twinaperture dipole magnet relying only on simple, racetrack coils
(41). Note, however, that such designs make less effective use
of superconductor.
Coil End Design
One of the main difficulties of the cos n design is the realization of coil ends. In the coil straight section, the conductors
run parallel to the magnet axis, but, in the coil ends, the conductors must be bent sharply with small radii of curvature to
make U-turns over the beam tube that is inserted within the
magnet aperture. This confers to the coil a saddle shape as
illustrated in Fig. 8.
Beam
B
x
Vacuum pipe
Sophisticated algorithms have been developed to determine the conductor trajectories that minimize strain energy
(42). These algorithms, which often require winding tests to
determine correction factors, are coupled with electromagnetic computations to minimize field distortions. SSC and
LHC magnets use precisely machined end spacers, designed
by the optimization programs, which are positioned between
conductor blocks (43). In addition, the iron yoke does not extend over the coil ends, to reduce the field on the conductors
and ensure that the peak field is located in the coil straight
section where the conductors can be better supported.
Sagitta
To limit the number of coil ends and of magnet interconnects
around the accelerator ring, the arc dipole and quadrupole
magnets are made as long as possible. The circulation of a
charged beam in a dipole magnet, of magnetic length ld, results in an angular deflection of the particle trajectory,
which can be estimated as
l
0.3qB1ld
= d
E
x
(18)
Here, is in radians and ld is in meters, B1 is the dipole magnetic flux density in teslas, q is the particle charge in units of
electron charge, and E is the particle energy in GeV.
As a result, long dipole magnets must be slightly bent to
accompany the particle trajectory. This bending, which is implemented in the (x, z) plane, is referred to as sagitta.
FIELD QUALITY
Multipole Expansion
Except near the short coil ends, the magnetic flux density produced in the bore of a particle accelerator magnet can be considered as two-dimensional. The power series expansion of
Eq. (7) is usually rewritten in the more convenient form
By + iBx = Br 104
+
(bn + ian )
n=1
z
Rr
n1
(19)
751
zero normal quadrupole coefficient (b2). These unwanted coefficients can be eliminated only by improving material selection, tooling, and assembly procedures.
Field Quality Requirements
From the accelerator point of view, the beam optics is primarily governed by integrated field effects over the magnet ring.
The main field quality requirements are (1) suitable dipole
field integral and small dipole field angle variations [the former to ensure that the integrated bending angle over the
magnet ring is (2) and the latter to ensure that the particle
trajectory is planar], (2) accurate quadrupole alignment and
suitable quadrupole field integral (the former to avoid coupling of particle motions along the x and y axes and the latter
to ensure proper focusing), and (3) small high-order multipole
coefficients (to ensure large beam dynamic aperture). In the
case of high-order multipole coefficients, it is customary to
specify tables of mean values and standard deviations over
the entire magnet population (44). The tables of mean values
are referred to as systematic multipole specifications, whereas
those of standard deviations are referred to as random
multipole specifications. The specified values are all expressed at the reference radius Rr.
In large machines such as SSC or LHC, the dipole and
quadrupole field integrals must be controlled with a relative
precision of the order of 103. The variations in dipole field
angles must be kept within a few milliradians, and the tolerance on quadrupole alignement is of the order of 0.1 mm. Systematic and random multipole specifications are given up to
the 18th or 20th pole and get tighter with increasing pole
order. For SSC magnets at 10 mm, the specifications went
from a few tenths of a unit for low-order coefficients to a few
thousandths of a unit for higher-order coefficients.
Geometric Errors
Types of Geometric Errors. The specifications on multipole
coefficients require that the individual conductors and the
yoke surrounding the coil assembly be positioned with a very
good accuracy (typically a few hundredths of a millimeter in
the two-dimensional cross section). Improper positioning results in geometric errors that distort the central field and produce unwanted multipole coefficients.
The geometric errors can be classified in at least five categories: (1) errors in coil inner and outer radii and in yoke
inner radius; (2) errors in coil pole angle, wedge angle, and
conductor angular distribution; (3) symmetry violations in coil
assembly; (4) centering errors with respect to the iron yoke;
and (5) residual twist of magnet assembly.
Effects of Azimuthal Coil Size Mismatch. A common cause of
geometric error is a mismatch between the azimuthal sizes of
the various coils constituting a coil assembly. Such mismatch
results in displacements of the coil assembly symmetry
planes that produce nonzero, low-order unallowed multipole
coefficients (45). For instance, a mismatch between the azimuthal sizes of the top and bottom coils used in a dipole magnet coil assembly causes an upward or downward displacement of the coil parting planes, which produces a nonzero
skew quadrupole coefficient a2. Similarly, a systematic mismatch between the left and right sides of the coils used in a
dipole magnet coil assembly causes a rotation of the coil part-
752
ing planes, which produces a nonzero skew sextupole coefficient a3. A systematic a2 can be limited by randomly mixing
coil production, whereas the occurrence of a systematic a3 can
be avoided only by correcting tooling.
Iron Saturation
When the field in the iron yoke is less than 2 T, the relative
magnetic permeability of the yoke can be considered as very
large and uniform, and the iron contribution to the central
field increases linearly as a function of tranport current in the
magnet coil. For fields above 2 T, parts of the iron start to
saturate, and their relative magnetic permeability drops. As
a result, the iron contribution becomes a less-than-linear
function of transport current. This relative decrease in iron
contribution appears as a sag in the magnet transfer function
(38). (The transfer function is defined as the ratio of Br to the
transport current.) The transfer function sag can exceed a few
percent in dipole magnets but is usually negligible in quadrupole magnets.
In the case of a single-aperture magnet with a symmetrical
iron yoke, the saturation first occurs in the pole areas producing a positive shift in normal sextupole coefficient b3. At
higher currents, the saturation reaches the midplane areas,
producing a negative shift in b3, which partially compensates
for the effects of pole saturation. The midplane saturation can
be forced to occur sooner by punching notches (i.e., removing
matter) at appropriate locations in the yoke. As an illustration, Fig. 9 presents measurements of b3 as a function of current in the central part of a SSC dipole magnet prototype.
The measurements above 3 kA clearly show the effect of pole
saturation at high currents (the origin of the hysteresis is explained in the next section).
In the case of a twin-aperture dipole, the central part of
the yoke saturates before the outer parts, resulting in left/
right asymmetries in the yoke contributions to each aperture,
2000
4000
Current (A)
6000
Figure 9. Measurements of normal sextupole coefficient b3 as a function of current in the central part of a SSC dipole magnet showing
the hysteresis resulting from superconductor magnetization and the
distortions at high currents resulting from iron saturation.
which affect the normal quadrupole coefficient b2. The saturation effects in b2 are of opposite sign in the two apertures.
In any case, the iron contribution depends on the packing
factor of the yoke laminations, which must be tightly controlled over the magnet length. Also, the iron yoke must be
carefully aligned to limit magnet assembly twist.
Superconductor Magnetization
Critical State Model. According to the so-called critical state
model, bipolar magnetization currents are induced at the periphery of the superconducting filaments in the cable strands
each time the field to which the filaments are exposed is varied (46). The magnetization currents distribute themselves
with a density equal to the superconductor critical current
density at the given temperature and field JC, in order to
screen the filament cores from the applied field change. Unlike regular eddy currents, the magnetization currents do not
depend on the rate of field variations. Also, because they can
flow with zero resistance, they do not decay as soon as the
field ramp is stopped. They are called persistent magnetization currents.
Effects of Superconductor Magnetization. When an accelerator magnet is cycled in current, the bipolar shells of magnetization currents induced in the filaments behave as small
magnetic moments which contribute toand distortthe
central field. The magnetic moments depend on JC and are
proportional to filament diameter. Their distribution follows
the symmetries of the transport-current field (i.e., the field
produced by the transport current in the magnet coil), and, if
the superconductor properties are uniform, only the allowed
multipole coefficients are affected. Computer models that can
accurately predict the field distortions resulting from superconductor magnetization have been developed (47).
The field distortions are the most significant at low transport current, where the transport-current field is low and JC
is large. They are progressively overcome as the transportcurrent field increases and JC diminishes and become negligible at high transport current. They change sign and regain
influence as the transport current is ramped down. As a result, the allowed multipole coefficients exhibit sizable hystereses as a function of transport current, which depend on magnet excitation history. This is illustrated in Fig. 9, which
shows measurements of b3 as a function of current in the central part of a SSC dipole magnet. In Fig. 9, the magnetization
effects can be seen at currents below 3 kA (as explained in
the previous section, the distortions at high field result from
iron yoke saturation).
The field distortions resulting from superconductor magnetization are one of the major drawbacks of using supeconducting magnets in a particle accelerator. They can be reduced by
reducing filament size (typically, to 5 m for SSC and LHC
strands), but they cannot be eliminated. The powering cycle
of the magnets must be adapted to avoid brutal jumps between the two branches of the multipole coefficient hystereses
while the beam circulates. Also, elaborate beam optics correction schemes must be developed. This can include superconducting, high-order multipole magnets (chapter 9 of Ref. 2).
Time Decay. In addition, the effects of superconductor
magnetization are not indefinitely persistent, but exhibit a
Coupling Currents
DCA312 4 A/s
DCA312 8 A/s
DCA312 16 A/s
DCA312 32 A/s
2
1
0
1
2
3
2000
4000
Current (A)
(a)
6000
753
DCA312 4 A/s
DCA312 8 A/s
DCA312 16 A/s
DCA312 32 A/s
4
2
0
2
0
2000
4000
Current (A)
6000
(b)
Figure 10. Effects of interstrand coupling currents on multipole field coefficients as measured
as a function of ramp rate in the central part of a SSC dipole magnet (39): (a) skew sextupole
field coefficient A3 and (b) normal sextupole field coefficient B3. The transport-current contribution is subtracted from the data.
754
strands. The current imbalances may have at least three origins: (1) nonuniformities in the properties of cable strands,
(2) nonuniformities in the solder joints connecting the coils
in series to the current leads, and (3) large and long-lasting
interstrand coupling current loops superimposed on the
transport current (55). Such current loops could be induced
by spatial variations in the time-derivative of the field to
which the cable is exposed as it turns around the coil ends or
exits toward the current leads (5658).
The oscillation wavelength is too short to affect beam optics but may be an issue for magnetic measurements. It is
recommended that the measurements be averaged over an integer number of cable pitch lengths. Also, the slow decay of
the large interstrand coupling current loops associated with
these periodic oscillations may contribute to the drifts of the
allowed multipole coefficients observed at low and constant
transport current (see the section on superconductor magnetization) (59).
MECHANICAL DESIGN
Support Against the Lorentz Force
Components of the Lorentz Force. The high currents and
fields in an accelerator magnet coil produce a large Lorentz
force on the conductors. In a dipole coil, the Lorentz force has
three main components, which are represented in Fig. 6
(38,60): (1) an azimuthal component, which tends to squeeze
the coil toward the coil assembly midplane [which, in the coordinate system defined previously, corresponds, for a dipole
magnet, to the horizontal (x, z) plane], (2) a radial component,
which tends to bend the coil outwardly, with a maximum displacement at the coil assembly midplane (along the horizontal
x axis for a dipole magnet), and (3) an axial component, arising from the solenoidal field produced by the conductor turnaround at the coil ends and which tends to stretch the coil
outwardly (along the z axis).
axial direction, the coils either are free to expand or are restrained by means of stiff end plates.
The use of laminated collars, pioneered at the Tevatron,
was a real breakthrough in achieving a rigid mechanical support while keeping tight tolerances over magnet assemblies,
which are a few meters in length and which must be massproduced. The laminations are usually stamped by a fine
blanking process allowing a dimensional accuracy on the order of one hundredth of a millimeter to be achieved.
Azimuthal Precompression
Preventing Collar Pole Unloading. As described previously,
the azimuthal component of the Lorentz force tends to
squeeze the coil toward the midplane. At high fields, it may
happen that the coil pole turns move away from the collar
poles, resulting in variations of the coil pole angle that distort
the central field and creating a risk of mechanical disturbances. To prevent conductor displacements, the collars are
assembled and locked around the coils so as to apply an azimuthal precompression. The precompression is applied at
room temperature and must be sufficient to ensure that, after
cool-down and energization, there is still contact between coil
pole turns and collar poles.
Precompression Requirement. To determine the proper level
of room temperature azimuthal precompression, at least three
effects must be taken into account: (1) stress relaxation and
insulation creep following the collaring operation, (2) thermal
shrinkage differentials between coil and collars during cooldown (if any), and (3) stress redistribution resulting from the
azimuthal component of the Lorentz force. In addition, the
collaring procedure must be optimized to ensure that the peak
pressure seen by the coils during the operation (which may
be significantly higher than the residual precompression)
does not overstress the insulation (Ref. 60, p. 1326).
The precompression loss during cool-down, , can be estimated from
Ecl (cl cr )
Stability against Mechanical Disturbances. Because accelerator magnets are operated close to the critical current limit of
their cables, their minimum quench energy (MQE), defined as
the minimum energy deposition needed to trigger a quench,
is very small. As a matter of fact, the MQE of accelerator
magnets is of the same order of magnitude as the electromagnetic work produced by minute wire motions in the coil (61).
If the motions are purely elastic, no heat is dissipated, and
the coil remains superconducting, but if the motions are frictional, the associated heat dissipation may be sufficient to initiate a quench. This leaves two possibilities: either to prevent
wire or coil motion by providing a rigid support against the
various components of the Lorentz force or to reduce to a minimum the friction coefficients between potentially moving
parts of magnet assembly.
Conceptual Design. The mechanical design concepts used
in present accelerator magnets are more or less the same and
were developed at the time of the Tevatron (4,62). In the radial direction, the coils are confined within a rigid cavity defined by laminated collars, which are locked around the coils
by means of keys or tie rods. In the azimuthal direction, the
collars are assembled so as to precompress the coils. In the
(20)
where Ecl is the coil Youngs modulus in the azimuthal direction, and cl and cr are the thermal expansion coefficients of
the coil (in the azimuthal direction) and of the collars, integrated between room and operating temperatures. Note that
Eq. (20) is derived with the assumptions that Ecl does not depend on temperature and that the collars are infinitely rigid.
Choice of Collar Material. To limit cool-down loss, it is preferable to use for the collars a material whose integrated thermal expansion coefficient matches more or less that of the
coil. For NbTi coils with polyimideglass or all-polyimide insulation, this suggests aluminum alloy (see Table 2). HowTable 2. Integrated Thermal Expansion Coefficients between
4.2 K and Room Temperature (103 m/m)
Low carbon steel
Stainless steel (304/316)
Copper (OFHC)
Aluminum
Insulated cable (polyimide/glass)
Insulated cable (all polyimide)
a
2.0
2.9
3.1
4.2
5.1 a
5.6 a
(21)
755
756
Electric
bus
Stainless steel
outer shell
Cooling
passages
Laminated
Stainless iron yoke
steel
laminated
collars
Yoke
keyway
Tapered
key
Superconducting
coil
Beam tube
Electric
bus
Stainless steel
outer shell
Cooling
passages
Laminated
Stainless iron yoke
steel
laminated
collars
Yoke
keyway
Tapered
key
Superconducting
coil
(a)
Beam tube
(b)
Figure 11. SSC dipole magnet cross-sections (64): (a) BNL-style with horizontally split yoke and
(b) FNAL-style with vertically split yoke.
TC (B) = TC0 1
B
BC20
1.7
(22)
10,000
Solid
Pressure (kPa)
1000
Liquid I
Supercritical
Liquid II
Critical point
100
Saturated He I
Pressurized He II
Vapor
10
Saturated He II
1
4
5
Temperature (K)
757
758
mum operating current of the machine. In addition, systematic tests must be carried out before installing the magnets
in the tunnel to ensure that their quench performance is adequate and does not degrade upon extended current and thermal cycling (77).
QUENCH PROTECTION
Conductor Heating
Although most R&D programs have been successful in developing magnet designs that can be mass-produced and meet
accelerator requirements, quenches do occur in accelerator
operations. These quenches must be handled in order to avoid
any damage of the quenching magnet, to ensure the safety of
the installation, and to minimize down time.
The most damaging effect of a quench is that, once a volume of conductor has switched to the normal resistive state,
it dissipates power by the Joule effect (Chapter 9 of Ref. 76).
Most of this power is consumed locally in heating up the conductor. In a very short time (typically a few tenths of a second), the conductor temperature can reach room temperature,
and, if the magnet is not discharged, keep on increasing.
Maximum-Temperature Requirement. The temperature rise
subsequent to a quench must be limited for at least three reasons: (1) to restrict the thermal stresses induced in the
quenching coil, (2) to prevent degradation of superconductor
properties, and (3) to avoid insulation damage.
For most materials, thermal expansion starts to be significant for temperatures above 100 K. The critical current density of NbTi is affected by exposure to temperatures above
250C. The extent of degradation depends on the temperature
level and on the duration of the exposure: at 250C, it takes
of the order of 1 h for significant degradation to occur, while
it may take less than a minute at 400C to 450C (78). Finally,
the polyimide materials used to insulate NbTi cables lose
most of their mechanical properties for temperatures above
500C.
It follows that an upper limit for conductor heating subsequent to a quench is 400C. Most magnets are designed not
to exceed 300 K to 400 K, and whenever possible, the limit
should be set at 100 K.
Protecting a Quenching Magnet
The source of conductor heating in a quenching magnet is
power dissipation by the Joule effect. Power keeps being dissipated as long as there is current in the magnet coil. To eliminate the heat source and limit the temperature rise, it is thus
necessary to ramp the current down.
To discharge a quenching magnet, all its stored magnetic
energy must be converted into resistive power. If the zone
where the conductor has switched to the normal state remains confined to a small volume, there is a risk that a large
fraction of the stored energy will be dissipated in this small
volume. In the case of a string of magnets connected electrically in series, it may even happen that the energy of the
whole string will be dissipated in the quenching magnet.
Hence, to prevent burnout, it is necessary to ensure that the
normal resistive zone spreads rapidly throughout the quenching coil. This can be done by means of heaters, implemented
S
Tmax
T0
C(T )
=
dT
(T )
dt I(t)2
(23)
t0
where C is the overall specific heat per unit volume of conductor, is the overall conductor resistivity in the normal state,
S is the conductor cross-sectional area, I is the current, t0 is
the time of quench start, and T0 is the coil temperature at t0.
The left member of Eq. (23) depends only on conductor
properties whereas the right member depends only on the
characteristics of current decay. The right-hand side integral,
divided by 106, is called the MIIT integral (Mega I times I
versus Time integral) and its value is refered to as the number of MIITs. The maximum temperatures computed from the
numbers of MIITs have been shown to be in fairly good
agreement with actual measurements of hot spot temperatures on quenching magnets (79).
Limiting Hot Spot Temperature. The hot spot temperature
can be limited by acting on either member of Eq. (23). Regarding the left member, the only conceivable action is to reduce
the overall conductor resistivity by increasing the copper-tosuperconductor ratio. However, and as explained in the conductor section, the copper-to-superconductor ratio must also
be optimized to ensure a high overall critical current. Regarding the right member, the MIIT integral can be minimized by
(1) detecting the quench as soon as possible, (2) turning off
the power supply (case of a single magnet) or forcing the current to bypass the quenching magnet (case of a magnet
string), (3) firing the quench protection heaters, and (4) discharging the quenching magnet or the magnet string.
Quench Detection
The magnets are connected to quench detection systems that
monitor the occurrence of a resistive voltage in the coil windings or the coils leads. The resistive voltage must be discriminated from inductive voltages arising from magnet ramping.
The inductive components are cancelled out by considering
voltage differences across two identical coil assemblies or two
identical parts of a given coil assembly (e.g., the upper and
lower half coils in a dipole magnet). When the resistive volt-
dI
+ [Rq (t) + Rext ] I = 0
dt
(24)
(25)
759
Voltage
Inductive
voltage
Resistive
voltage
Rq
I
Current source, Vm 0
760
I Iq
Rb
Iq
Lm
Rq(t)
Lm
Lm
In an accelerator, the magnet ring is divided into several sectors made up of series-connected magnets. The sectors are
powered independently and are electrically independent.
Once a quench is detected in a magnet, the power supply of
the sector to which the magnet belongs is turned off and the
sector is discharged over a dump resistor.
Unlike in the case of a single magnet, the current decay
rate in the sector must be limited for at least two reasons: (1)
to prevent the induction of large coupling currents in the
magnet coils (which may quench the remaining magnets in
the sector, resulting in general warming and significant helium venting) and (2) to avoid the occurrence of unacceptable
voltages to ground (because of the large overall inductance of
the sector). A too slow decay rate, however, creates the risk
that a significant fraction of the total energy stored in the
sector be dissipated in the quenching magnet, resulting in destructive overheating.
These contradictory considerations can be reconciled by
forcing the current to bypass the quenching magnet and by
ramping the current down at the desired rate in the remaining unquenched magnets. The bypass elements consist
of diodes (or thyristors) connected in parallel to individual or
small groups of magnets, as shown in Fig. 14. As long as the
magnets are superconducting, the current flows through the
magnets. Once a magnet has quenched and starts to develop
a resistive voltage, the main current is bypassed through the
diode connected in parallel, and the quenching magnet is discharged over the diode circuit. The current decay is determined by an equation similar to Eq. (24), except that Re must
be replaced by the resistance associated with the bypass element Rb.
HERA, RHIC, and LHC rely on silicon diodes that are
mounted inside the helium cryostats and operate at cryogenic
temperatures. The main requirements for these cold diodes
are (81): (1) small forward voltage and low dynamic resistance
(to limit power dissipation in the diodes), (2) good radiation
hardness, and (3) large backward voltage. In the case of the
Tevatron, which has a short current ramp time resulting in
large inductive voltages across the bypass elements, the diodes are replaced by thyristors operating as fast switches
(82). The thyristors are located outside the magnet cryostats
and require additional power leads and cryogenic feedthroughs.
Lm
Lm
I
Lm
Current source
761
12. A. Greene et al., The magnet system of the relativistic heavy ion
collider (RHIC), IEEE Trans. Magn., 32: 20412046, 1996.
37. G. H. Morgan, Shaping of magnetic fields in beam transport magnets, AIP Conf. Proc., 249: part 2, 1992, pp. 12421261.
38. R. C. Gupta, S. A. Kahn, and G. H. Morgan, SSC 50 mm dipole
cross section, in J. Nonte (ed.), Supercollider 3, New York: Plenum, 1991, pp. 587599.
39. A. Devred and T. Ogitsu, Ramp-rate sensitivity of SSC dipole
magnet prototypes, in S. I. Kurokawa, M. Month, and S. Turner
(eds.), Frontiers of Accelerator Technology, Singapore: World Scientific, 1996, pp. 184308.
40. H. H. J. ten Kate et al., The reduction of the critical current in
Nb3Sn cables under transverse forces, IEEE Trans. Magn., 28:
715718, 1992.
41. R. C. Gupta, A common coil design for high field 2-in-1 accelerator magnets, Conf. Rec. 1997 IEEE Part. Accel. Conf., in press.
42. J. M. Cook, Strain energy minimization in SSC magnet winding,
IEEE Trans. Magn., 27: 19761980, 1991.
43. J. S. Brandt et al., Coil end design for the SSC collider dipole
magnet, Conf. Rec. 1991 IEEE Part. Accel. Conf., 1991, pp. 2182
2184.
44. T. Garavaglia et al., Application of the SSCRTK numerical simulation program to the evaluation of the SSC magnet aperture, in
M. MacAshan (ed.), Supercollider 2, New York: Plenum, 1990,
pp. 5976.
45. T. Ogitsu and A. Devred, Influence of azimuthal coil size variations on magnetic field harmonics of superconducting particle accelerator magnets, Rev. Sci. Instrum., 65 (6): 19982005, 1994.
46. C. P. Bean, Magnetization of high-field superconductors, Rev.
Mod. Phys., 36 (1): 3139, 1964.
47. H. Bruck et al., Field distortions from persistent magnetization
currents in the superconducting HERA magnets, Z. Phys. C
Part. Fields, 44: 385392, 1989.
48. R. W. Hanft et al., Studies of time dependent field distortions
from magnetization currents in Tevatron superconducting dipole
magnets, IEEE Trans. Magn., 25: 16471651, 1989.
49. A. Devred et al., Time decay measurements of the sextupole component of the magnetic field in a 4-cm aperture, 17-m-long SSC
dipole magnet prototype, Conf. Rec. 1991 IEEE Part. Accel. Conf.,
1991, pp. 24802482.
50. F. Willeke and F. Zimmermann, The impact of persistent current
field errors on the stability of the proton beam in the HERA proton ring, Conf. Rec. 1991 IEEE Part. Accel. Conf., 1991, pp. 2483
2487.
51. L. Bottura, L. Walckiers, and R. Wolf, Field errors decay and
snap-back in LHC model dipoles, IEEE Trans. Appl. Supercond.,
7: 602605, 1997.
52. P. W. Anderson, Flux creep in hard superconductors, Phys. Rev.
Lett., 9 (7): 309311, 1962.
53. H. Bruck et al., Observation of a periodic pattern in the persistent-current fields of the superconducting HERA magnets, Conf.
Rec. 1991 IEEE Part. Accel. Conf., 1991, pp. 21492151.
54. A. K. Ghosh et al., Axial variations in the magnetic field of superconducting dipoles and quadrupoles, Proc. 1993 Part. Accel. Conf.,
1993, pp. 27422743.
762
ARNAUD DEVRED
Atomic Energy Commission at
Saclay
Sample Voltage
V
Multiturn
superconducting
sample
DC current
supply
Solenoid
Voltage, V
659
Current, I
IC
Figure 1. Schematic of the resistive measurement of the critical current density. The superconducting sample in the center of a high
magnetic field solenoid produces a voltage when the current exceeds
the critical current. The plot shows the V(I) characteristic of the superconducting wire. The critical current IC is defined at the appearance of a measurable voltage.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
H
HC2
r
No
al
a
st
te
re
s
si
ta
nc
(1)
Increasing H
Increasing = ff
Slope = ff
Lin
r
ea
flu
xf
l ow
reg
im
Current, I
Figure 2. Schematic of the voltage versus current measurement of
the critical current of a superconducting wire as a function of the
applied magnetic field. The zero voltage points have been offset for
clarity. As the field increases, the critical current decreases, and the
high current slope ff increases.
Thermal instability
to normal conductivity
Resistive transition
to flux flow state
Current, I
Figure 3. Schematic of the full V(I) characteristic of a superconducting wire. At low currents the superconductor exhibits zero resistance
and zero voltage. At the critical current there is a transition into the
flux-flow regime, and the voltage increases. At larger currents, ohmic
heating causes the temperature to rise above the critical temperature, and the sample thermally runs away to the normal state resistance behavior.
where ff and n are the high current resistivity (flux flow resistivity) and normal state resistivity of the superconductor,
respectively. This linear region at high currents is called the
flux-flow regime for reasons that are described following.
Eventually, the increasing power dissipation due to the
flux flow resistivity causes the temperature of the superconductor to rise above TC and there is a phase transition to the
normal state (Fig. 3). An important point to note is that
within the flux flow regime the sample is still superconducting, though it is no longer in the zero dissipation (or zero resistance) condition.
Empirically it has been found that the shape of the V(I)
curve at low voltages (well below the linear flux-flow regime)
Voltage, V
Voltage, V
660
I n
IC
(2)
661
It is important here to draw a distinction between the critical current IC, which has units of amperes, and the critical
current density JC, which has units of amperes per unit crosssectional area. The fundamental property of the superconducting state is the JC, which is the maximum current per
unit cross-sectional area of superconductor that is carried
without resistive losses. The JC is determined by measuring
the critical current IC of the specimen and dividing by the
cross-sectional area of the superconductor: JC IC /A.
An additional definition of importance is the engineering
JC which is defined as the maximum transport current per
unit cross section of superconducting wire. Most technological
superconductors are fabricated as a composite of superconducting and normal metal for thermal and mechanical stability (1,13). For the magnet designer, the engineering JC (sometimes abbreviated as JE) determines the available current in
the magnet windings. The distinction between JC and JE is
especially important when magnet designers are working
with superconducting composites in which the superconducting area is a small fraction of the total wire cross section, as
in tape composites and many early HTS wires.
It is also worthwhile at this point to describe the difference
between transport currents and shielding currents. Superconductors placed in a magnetic field exclude some or all of the
magnetic flux from the bulk of the superconductor (known as
the MeissnerOchsenfeld effect). For this exclusion to occur,
shielding currents flow on the surface of the superconductor
such that the magnetic field produced by the shielding currents opposes the applied field and cancels it out. In general,
the shielding currents flow in loops that are closed entirely
within the superconductor. In contrast, transport currents are
those currents applied from outside the superconductor using
external current sources. The transport currents are the currents used to produce magnetic fields in the superconducting
magnets and to make the resistive measurements of the critical parameters of superconductivity.
With this basic understanding of how the JC is typically
measured we can begin to discuss the physical mechanisms
limiting JC in practical materials.
superconducting states:
In the BardeenCooperSchrieffer theory of superconductivity, the charge carriers are pairs of electrons bound together
by a positive electronphonon interactive force. The bonding
energy of the superelectron pair at zero kelvin is denoted as
the energy gap (0). The critical temperature can be determined from the energy gap as the temperature at which the
thermal excitation energy kT is equal to the energy gap bonding the superelectron pair together. More rigorously this relationship is
2(0) = 3.5 kTC
(3)
1
1
0 Hc2 = N(F )[(0)]2
2
2
(4)
p2
(m v2F )
= F 2(0)
2
2m
(5)
(6)
10 ens (0)
pF
(7)
Orlando (6) derives an equivalent form of Eq. (7) from GinzburgLandau theory as
JD = 0
3 30 2
(8)
, nm
, nm
300
65
30
200
4
3
3
0.4
JD , A/m2
2
8
4
6
1011
1012
1013
1012
larger than copper. It is because of these large values of critical current density with no resistive losses (and therefore no
power dissipation) that superconductors are so important in
large electromagnet applications (1).
It should be remembered that the values of JD listed in
Table 1 are calculated for zero kelvin and zero magnetic field,
and in practice these conditions do not hold. In fact, these
values of current density have never been reached because of
other practical limitations. One of these limitations is the
self-field produced by a wire carrying a transport current. As
the transport current through the wire is increased, the selffield at the surface of the superconductor increases. At some
point, the magnetic field due to the transport current becomes
equal to the critical magnetic field of the wire, and the superconductivity breaks down. This model of the practical limit to
JC is know as Silsbees hypothesis (14), and is usually applied
to find the critical current limit of type I superconductors.
Of greater technological importance than the Silsbee limit
in type I materials is the limitation of the JC in type II superconductors because type II superconductors display superconductivity up to larger magnetic field values than type I superconductors. To understand the factors limiting the JC in type
II materials, it is necessary to review the magnetic properties
of these superconductors and introduce the concept of the
flux-line lattice.
where both H and J are vector quantities. For the one-dimensional case of a semi-infinite slab of type I superconductor in
the yz plane, and an applied field H, parallel to the slab in
the z-direction, Amperes law becomes
dHz /dx = Jy
The principal difference between type I and type II superconducting materials lies in their response to an applied magnetic field. Type I superconductors exclude an applied magnetic field from the body of the superconductor up to the
thermodynamic critical field HC. To exclude this magnetic
flux, a shielding current is established on the surface of the
superconductor that flows in a direction so as to produce a
flux density equal and opposite to the applied field. This surface current flows in a surface layer whose thickness is equal
to the magnetic penetration depth . The magnitude of the
surface current can be found by using Amperes law which
states that the spatial variation in the magnetic field is proportional to the current density flowing:
(9)
Magnetization, M
Magnetization, M
H
Applied field, HA C
(a)
(11)
As the magnitude of the applied field increases, the magnitude of the current density increases to shield the superconductor from the field. The maximum current density is obtained when the applied field at the surface of the type I
superconductor is equal to HC, in which case JMAX HC / .
This shielding current density is the same as the depairing
current density described by Eqs. (7) and (8).
The shielding currents in the type I superconductor effectively provide a diamagnetic magnetization, M HA, as
shown in Fig. 4(a), called the MeissnerOchsenfeld effect.
For type II superconductors, the magnetic response is
somewhat different. Up to a lower critical field HC1, the magnetic response of type II superconductors is the same as that
of type I and shows a full flux expulsion, with M HA (see
Fig. 4b). In this region, the superconductor is said to be in the
Meissner state. For magnetic fields higher than HC1, the magnetic free energy balance of the superconductor makes it energetically favorable for the magnetic field to enter the bulk of
the superconductor. As the magnetic flux enters the bulk superconductor, it breaks into quantized units of flux 0, variously called the flux quantum, fluxon, fluxoid, flux vortex, or
flux line. The flux quantum has a magnitude of 0, 2.0679
1015 T-m2.
The individual flux quanta, or flux lines, orient themselves
parallel to the applied field and effectively reduce the magnetization of the type II superconductor below that of the perfect
diamagnetism of the Meissner state. This state of lower magnetization is called the mixed state. As the applied field increases, the number of flux lines per unit area increases in
the superconductor and M approaches zero. Eventually the
H = J
(10)
Meissner
state
HC1
Mixed state
Applied field, HA
(b)
Normal state
662
HC2
Figure 4. The magnetic behavior of type I and type II superconductors. Type I superconductors
exclude the applied field from the bulk of the superconductor by producing a supercurrent on the
surface to cancel the applied field, yielding the magnetization versus field plot shown in (a). Type
II superconductors exclude the applied field up to a lower critical field HC1 and then allow the
field to enter the bulk as flux quanta o, until the upper critical field HC2 is reached (b). At this
point the superconductivity is destroyed by the applied field.
flux lines touch one another, and the field inside the superconductor becomes equal to the applied magnetic field, driving the magnetization to zero at the upper critical magnetic
field HC2. The superconducting material remains superconducting up to large values of the applied magnetic field
(HC2), and this is one of the main reasons that the type II
materials are used for electromagnet applications.
The interaction of the individual flux lines with one another is similar to that of two parallel bar magnets. Because
the orientation of the field in the flux lines is the same, they
repel one another strongly. This causes the flux lines to distribute themselves in a periodic lattice to minimize the interflux line interactions (Fig. 5). This periodic structure,
called the flux line lattice (FLL), was theoretically predicted
by Abrikosov (15) using extensions of the GinzburgLandau
theory of superconductivity. Abrikosov found that the lowest
free energy configuration for the FLL is a triangular or hexagonal crystal. The FLL has been experimentally verified in
several ways, including magnetic particle decoration techniques (16) and diffraction from the flux line crystal by using
the magnetic moment of neutrons (17). The magnetic decoration technique, in particular, provides a striking visualization
of the periodicity of the flux line lattice, as shown in Fig. 6.
We can model an isolated flux line as a cylindrical core of
normal-phase material in which the superconductivity has
been destroyed by the magnetic field and which is surrounded
by a circulating supercurrent. The magnetic flux resides
within the core and decays into the bulk of the superconductor over a distance of the magnetic penetration depth (Fig.
7). Within this range, the local magnetic field strength H is
changing, and therefore, by using Amperes law [Eq. (9)],
there is a current flowing in the superconductor. This current
is analogous to the shielding current that flows on the superconductor surface to exclude the magnetic field. In this case
it is a circulating current that flows around the flux-line core
and has an orientation and magnitude needed to produce the
0 of magnetic flux in the core (Fig. 7). This circulating current is the origin of the name flux vortex.
The cylindrical core has a diameter twice the coherence
length (2). The coherence length is the distance over which
the superconducting order parameter 2 (or the density of
superconducting electron pairs nS) changes from its maximum
value at the core radius to zero in the center of the core (Fig.
663
7). The field strength in the core can be estimated as the magnetic flux divided by the cross sectional area of the flux line:
HCORE =
0
= HC2
0 2
(12)
As we introduce more flux lines into the interior of the superconductor, the circulating supercurrents of the neighboring
flux lines begin to interact and repel one another, leading to
the periodic structure of the flux-line lattice. The flux density
0
Flux line
J, circulating
current density
ns, density of
superelectrons
Fluxon
core
B, local field
Superconductor
Applied field, HA
664
(13)
where FL is the Lorentz force density acting between the current of J and the flux density B. The FL has units of Newtons
per cubic meter and acts in a direction perpendicular to both
the flux density B and the transport current density J (Fig.
8).
The result of the Lorentz force acting on the FLL is to push
the flux lines across the superconductor. The movement of the
flux lines corresponds to a change in the flux density within
the superconducting circuit with time (Fig. 1), and from Maxwells equations for such a case (6),
B
dB
E
=
dt
(14)
Applied field, HA
(15)
FLUX PINNING
To increase the current that a superconductor may carry
without power dissipation, it is necessary to restrain the FLL
against the Lorentz force by pinning it in place. There are
several mechanisms by which the FLL may be pinned, and
generally these rely on developing microstructural features
that interact with the individual flux lines. Examples of microstructural features that provide pinning resistance to the
Lorentz force include normal conducting precipitates, inclusions, voids, and grain boundaries.
The basic theory of flux pinning in type II superconductors
is conveniently broken into three sections. These are basic interactive forces, summation theory, and scaling laws (7,8).
Basic Interactive Forces
Transport
current, J
The basic interactive forces are the forces between single, isolated flux lines and individual pinning centers. The usual
model for the basic interactive force is that the pinning center
must provide a spatial variation of the thermodynamic free
energy of the flux line. This can be visualized as either an
energy well (Fig. 10) or an energy hill. In the case shown in
the upper part of Fig. 10 the flux line has a lower free energy
when it sits in the energy well of the pinning center than it
does in the bulk superconductor, and thus there is a pinning
force holding the flux line in the well. The pinning force is
related to the free energy by the first derivative with respect
to position, so that the pinning force curve looks like that
shown in the lower part of Fig. 10. The deeper the potential
665
JD (0)
Current density
Normal state
HC2(0)
Mixed state
Mixed state
JC(0)
HC1(0)
Meissner state
Temperature
Normal state
TC (0)
Meissner
state
Flux
f l ow
Flux
pinn
ing
HC1(0)
HC2(0)
Applied magnetic field
Figure 9. The HT and JH phase diagrams for type II superconductors. At low applied fields
the superconductor is in the Meissner state. At higher fields the superconductor enters the mixed
state with the creation of the flux line lattice (FLL). As the transport current is increased from
zero, the Lorentz force on the FLL eventually causes it to move, causing flux-flow dissipation
and a resistive voltage, shown as the dotted line. The superconducting state does not end until
the current density exceeds the depinning current density or the temperature rises above TC. As
flux pinning increases, the transition to flux flow occurs closer to the depairing critical current
density limit.
well, the steeper the energy profile, and the larger the pinning force.
If a Lorentz force is applied to a flux line trapped in this
potential well, the flux line moves in the direction of the Lorentz force until it is balanced by the oppositely directed pinning force. Thus the flux line is held in place, there is no flux
movement, and Eq. (14) shows that there is no dissipation.
The transport current is carried without power dissipation,
and the zero resistance condition is in effect. Superconducting
materials that pin magnetic flux are sometimes called hard
superconductors analogous to engineering alloys that have
been mechanically hardened by treatments to pin the movement of dislocations.
One type of basic interactive force between a single flux
line and a single pinning center is called the core interaction.
To nucleate a flux line within the superconductor, the system
Flux line
Flux line
energy
Bulk
superconductor
Pinning
center
Pinning
force
Figure 10. The variation in the free energy of the flux line in the
vicinity of a pinning center. The energy well produces a net force on
the flux line centering it in the pinning center and constraining it
against the Lorentz force of the transport current.
H2
0
(16)
where HC is the thermodynamic critical field and is the superconducting coherence length.
Imagine that the superconductor contains a cylindrical
void of diameter 2 and its axis is oriented parallel to the
flux-line axis. If the flux line were centered on this void, the
condensation energy needed to produce the normal core of the
flux line would be saved, and the flux line would see a lower
free energy at the location of the void than it would in the
bulk, similar to Fig. 10. The result of this free energy change
is that the flux line requires an increase in its energy per unit
length equal to the condensation energy, Eq. (16), to move
away from the void. Thus the void acts as a pinning center
holding the flux line in place.
As the current density is increased, the Lorentz force on
the pinned flux line increases until it exceeds the maximum
gradient of the free energy versus position curve (Fig. 10). At
this point the flux line is free of the pinning center and moves
under the Lorentz force, creating a dissipative loss due to
Eq. (14).
There are many different interactions between the flux line
and microstructural defects that lead to basic interactive
forces and pinning. The core interaction may be applied to
voids and also to normal conducting precipitates (as in the
NbTi system) or weakly superconducting inclusions, for
which there is a spatial dependence of the superconducting
condensation energy. A different interaction that is thought
to be important in flux pinning in single-phase superconduc-
666
Grain boundary
l, electronic
mean free
path
reduced
bulk
bulk
(17)
0 HC2
H
C2
HC2
||2 +
1 2
2
||4 dV
(18)
Normal conducting
sheet pinning center
Flux core
Supercurrent
vortex
Pinned Josephson
vortex
Figure 12. In the Josephson vortex model the supercurrents surrounding a flux line are distorted as they approach a normal conducting sheet pinning center. The current spreads to the left and right of
the flux line to tunnel through the sheet and complete the circuit on
the opposite side. When the flux line rests on the sheet, it spreads
out along the length of the pinning center, losing the normal core and
distributing the flux quantum over a large area.
105
T= 4.2K
C44
Cij(Nm2)
interacting with large numbers of pinning centers. The principle complication of summation is that the flux lines interact
repulsively with one another and, in the absence of a pinning
force, order themselves in the flux line lattice. Thus, the flux
line lattice acts as a two-dimensional, elastic, crystalline
solid.
If the inter-flux-line forces are weak compared to the basic
interactive forces with the pinning centers, then the individual flux lines move out of the periodic FLL and arrange themselves so that as many flux lines as possible are located on
the pinning centers. If the number density of flux lines is less
than or equal to the number of pinning centers (for instance,
at small applied fields), then each flux line is individually
pinned, and the bulk pinning force is large. This is called direct summation, and the bulk pinning force density is just the
number density of pinning centers times the basic interactive force.
At the other extreme in which the interaction between the
flux lines in the flux line lattice is infinitely strong, the FLL
is completely rigid, and there can be no bulk pinning force
due to a collection of randomly distributed pinning centers
because, for any position of the FLL relative to the random
array of pinning centers, there will be as many basic interactive forces pulling the FLL to the left as to the right, and
the bulk pinning force density averages to zero. Even though
the basic interactive forces are very large, if the FLL acts as
a rigid solid because of interfluxon forces, there will be no
bulk pinning force, and the FLL will move under the Lorentz
force due to the transport current, yielding a low JC.
The correct description of pinning certainly lies somewhere
between these two extremes of direct summation and the
rigid FLL lattice. There are several models proposed to account for the summation of the basic interactive forces, and
all of them depend strongly on the elastic properties of the
FLL as determined by the inter-flux-line forces. In essence,
the FLL is a crystalline solid that is placed under an external
load by the competition of the transport-current-induced Lorentz forces and the restraint of the pinning forces. As the
Lorentz force loading increases, the FLL elastically distorts
until either the pinning forces are exceeded, at which point
the entire FLL breaks free and moves in unison through the
superconductor, or the load overcomes the inter-flux-line
forces, and the periodic FLL breaks apart.
This is analogous to mechanically loading a tensile specimen above its elastic limit and into the plastic deformation
region. As the mechanical test specimen is plastically distorted, crystalline defects in the specimen are created (dislocations), and the mechanical properties depend strongly on
the presence of these crystal defects. In the superconductor
with pinning centers, the increasing Lorentz force begins to
introduce crystal defects which fragment the FLL into a polycrystalline FLL. The crystalline nature of the FLL has been
experimentally observed, as has the polycrystalline and defective FLL, by using both magnetic particle decoration techniques and neutron scattering (21,22). It is also the case that
the presence of FLL crystal defects strongly affect its mechanical properties and response to Lorentz force loading (7).
There have been several calculations of the elastic behavior of the FLL. An example is shown in Fig. 13 (8,23) for a
NbTa alloy superconductor. C11 is the elastic modulus in the
plane normal to the flux line axes. This is a measure of the
stiffness of the FLL while pushing the flux lines closer together. C44 is the elastic tilt modulus which describes the
667
104
C11
103
C66
C66
102
0.0720.10.2 0.4
0.6 0.8
1
1
b
h
bending of flux lines along their axis. C66 is the shear modulus, which describes the resistance of the FLL to the shear of
flux lines past one another. An excellent discussion of the effect of the FLL elastic constants on the deformation of the
flux line lattice may be found in Ref. 8.
When the number density of flux lines is larger than the
number density of pinning centers (as is often the case), there
are two primary models of the summation behavior of the
FLL. These are the flux line lattice shear model and the collective-pinning model.
In the FLL shear model, individual flux lines are strongly
pinned on individual pinning centers, and the excess flux
lines not directly pinned are held in place against the Lorentz
force due to the interfluxon forces. At large enough transport
currents, the Lorentz force becomes larger than the elastic
shear modulus (C66) can support, and the FLL shears,
allowing unpinned flux lines to flow between those strongly
pinned by the pinning centers. Therefore the critical current
is determined, not by the strength of the pinning forces, but
by the shear stiffness of the FLL, given by C66.
In the Brandt model of the flux line elastic constants, the
compressive modulus C11 and the tilt modulus C44 both depend on the magnetic field roughly as
C11 C44
H2
4
(19)
C66 K 1
H
HC2
2
(20)
668
the rigid FLL are well understood, the behavior of real materials is less clear. Several models for summation have been
proposed, primarily the FLL shear and the collective-pinning
models, and validation of them with experimental measurements shows that they all have some merit, but none are capable of a complete description of the origins of the bulk pinning force. A final tool for understanding the physical
mechanisms behind the pinning force and JC is scaling laws.
Scaling Laws for Flux Pinning
Experimentally it has been found that as the testing temperature is varied, many superconductors exhibit scaling of the
bulk pinning force density versus applied magnetic field (28).
This is observed by first measuring the JC as a function of
magnetic field and developing a curve of the bulk pinning
force density versus magnetic field using Eq. (15): FP JCB.
This curve has a characteristic shape. It is zero at zero applied field, increases through a maximum with increasing
field, and drops to zero again as the Jc drops to zero at H
HC2 (Fig. 14).
As the test temperature changes, the HC2 of the sample
changes, and as a result, the bulk pinning force changes at a
given applied field. By scaling the ordinate using the reduced
field, h H/HC2, and scaling the abscissa as the reduced pinning force density, f P FP /FP MAX, where FP MAX is the maximum measured bulk pinning force density, the experimental
data frequently collapse onto a single line for all test temperatures (Fig. 14).
Although the majority of the experiments on scaling JC
have been performed in low temperature superconductors, a
large literature has also developed for scaling behavior in
high temperature superconductors. The terminology used in
HTS materials has evolved along different lines than those
used here, but the basic result is that temperature scaling of
the pinning force is also a common feature of these materials (29,30).
It is important that the pinning force follows a scaling law
with changes in magnetic field and temperature because scaling implies a single mechanism for flux pinning in the material as a function of temperature, which should be amenable
to theoretical prediction. Additionally, if scaling holds for a
given material, one only needs to measure the critical current
at one temperature and field to estimate the performance at
FP MAX
Decreasing
test temperature
FP/ FP MAX
Fp
Figure 14. To determine whether a superconducting material displays scaling of the flux pinning curve, the pinning force density versus applied field for several different test temperatures
is measured (a). The data are scaled using h
H/HC2 (b) and f P FP /FPMAX (c). If the sample
displays scaling, the different temperatures collapse onto a single plot (c).
1.0
Fp
H
(a)
h = H/HC2
(b)
1.0
0
0
h = H/HC2
(c)
1.0
2
h)
s (1
ic a
h
(a)
Fp
d ra t
Fp
h)
s (1
ar a
(23)
Line
(22)
Increasing
basic interaction
force
High field
saturation
(21)
Increasing
number of
pinning centers
Qua
FP = K(HC2 )m f (h)
Partial
synchronization
669
h
(b)
670
Superconducting
slab
z
HA
x
w
HA
Hz
0
The previous discussion has centered on the electrical behavior of the superconductor, but magnetic behavior is also an
important aspect of many applications. The magnetic response of the superconductor can be a valuable tool for measuring the critical current density.
An important consequence of pinning the magnetic FLL is
that the magnetic behavior of hard superconductors is
strongly hysteretic (Fig. 16). To understand the development
of the hysteretic magnetization curve, a simple but powerful
model was proposed by Bean (35) and has since been modified
and further refined (36).
To simplify discussion of the model, we use a sample geometry of an infinite superconducting plate of thickness W. The
applied magnetic field will be parallel to the surfaces of the
plate, as in Fig. 17. As the magnetic field is increased from
zero, superconducting magnetization currents develop on the
surfaces, so that they shield the interior of the superconductor from the applied field (the MeissnerOchsenfeld effect).
These shielding currents flow only within a distance (the
penetration depth) of the surface and fall off exponentially
into the superconductor. This condition persists until the external applied field exceeds the lower critical magnetic field
HC1. For fields larger than HC1 the superconductor is thermodynamically more stable if the applied field enters the super-
HA
Jc
Jy
HA
Figure 17. In the Bean critical state model, the semi-infinite slab
develops the flux and current density profiles shown on the application of a magnetic field larger than HC1.
conductor as the flux line lattice. For simplification, the Meissner state below HC1 is ignored in the critical state model.
This is not a bad approximation, especially for the technological superconductors which have large values of the Ginzburg
Landau parameter and therefore small values of HC1.
If the sample has pinning centers to hold the entering FLL
in place, a magnetic field gradient is established at the surface of the superconductor which falls off into the body of the
sample. From earlier discussions of Amperes law, we know
that the current density flowing in a superconductor is directly related to the magnetic field gradient, Eqs. (9) and (10).
The situation looks schematically like that shown in Fig. 17,
where the z-directed applied field falls off into the sample
with a gradient in the x-direction that produces a current density flowing in the y-direction (into the page).
The current density flowing is equal to the critical current
density. If the flux gradient were steeper, the current density
would be larger than the JC, and the FLL would not be fully
pinned, leading to flux flow. The flux motion lowers the field
gradient until the FLL is pinned by the pinning centers, leaving a critical flux gradient and a current density equal to JC.
For this reason the model is known as the critical state
model.
In Beans original version of the critical state model, the
JC is assumed to be a constant, independent of applied field
from HC1 HA HC2. This assumption makes the flux profile
in the sample linear such that
dHz
Hz
=
= Jy = constant = JC
dx
x
(24)
As the applied field is increased from HA 0, the field penetrates the sample from both sides, and generates a circulating
shielding current equal to JC (Fig. 17). The magnetization of
the slab can be found from examination of the field versus
position plot. From the definition of magnetization, we know
M(x) = HI (x) HA
(25)
(h)
(g)
M, Magnetization
671
HA
HA
(d)
H
(e)
H
HA
HA
(a)
(b)
(c)
(d)
HA
H
HA
HA
H
HA
(e)
(f)
(g)
(h)
positive and constant (g). Finally, at HA 0, the magnetization is positive because of the magnetic fields trapped in the
body of the superconductor by the pinning forces acting on
the FLL.
Because the flux gradient is a constant, the full penetration field HP, varies with the width of the sample. Examina-
HA
HI(x)
Hz
0
HA
JC
Hz
Jy 0
0
JC
Figure 20. The flux profile and the accompanying current density
profile for point (f) of Fig. 19. The current density profile matches the
profile of the magnetic flux at all points in the superconductor.
672
(27)
W
2
(29)
(30)
Hz
the case, however, that these models predict a direct relationship between the height of the magnetization loop at a given
field and the critical current density multiplied by the sample dimension.
Other modifications to the Bean Critical State Model have
incorporated the change in magnetization due to finite sample
sizes (37) and demagnetization factors for non-spherical samples (38).
The most important result of the Bean model is that the
magnetization behavior can be used as a probe of the critical
current density within the superconductor by using a technique different from the four-point resistive measurement.
For many emerging superconducting materials, magnetization measurements have allowed measuring the critical current density before long lengths of wire were available for resistive testing. It is also possible to measure JCs that would
be difficult to measure with a conventional resistive technique. An example is a cabled conductor with an IC of thousands of amperes. Multikiloamp power supplies are expensive
to purchase and operate, and the high current significantly
complicates the experimental design. Magnetization measurements of JC are not limited by the need for high current power
supplies. The magnetization measurement of JC continues to
be an important tool for the materials engineer in optimizing
the flux pinning process.
DISSIPATION EFFECTS IN HIGH CURRENT
DENSITY SUPERCONDUCTORS
The movement of the FLL within the superconductor has
many consequences for the applications of superconductors.
Examples include flux jumping (the rapid movement of magnetic flux within the superconductor which leads to localized
heating effects and the loss of the superconducting state), flux
flow near the JC, flux creep (the slow movement of the FLL
caused by random thermal jumping of flux lines out of the
pinning potentials), and magnetic hysteresis (which causes an
additional heating effect and resistive loss in ac applications
of superconductors). Because of their importance to applications, the dissipative effects have been carefully studied and
have led to some useful insights into the flux pinning process.
Flux Flow and Resistive Transition Analysis
Jy
0
Figure 21. The Bean critical state model assumes that the critical
current density is constant with the magnetic field. In modified versions of the critical state model, the critical current density is allowed
to vary with the magnetic field. The effects on the magnetic flux profiles and accompanying current density profiles are shown here for
four different applied fields. As the applied field becomes larger, the
critical current decreases. The slope of the flux profile changes with
the magnetic field, and it is also no longer linear with position in
the superconductor.
As we have seen previously, the transition from the flux pinning to the flux flow state is generally not sharp but occurs
over a range of currents during a resistive critical current
measurement. Several models for the shape of the resistive
transition have been developed to account for this behavior
(3941), but they all assume a distribution of pinning center
strengths within the wire. The idea was first proposed by
Baixeras and Fournet (42) but was not fully developed and
applied to technological superconductors until the 1980s. If
one assumes that the superconducting wire is made of an assortment of independent, current-carrying segments in series,
each with its own value of critical current (as determined by
the flux pinning defects within each segment), then the equation for the V(I) curve can be written as
V (I) = A (I I
) f (I
) dI
(31)
where I is the local critical current of an individual wire segment, A is a constant, f(I) is the critical current distribution
of the segments in the wire, and the integral is performed
from zero current to I.
(32)
p = exp
U
kT
(33)
673
dB
U
= Aw0 exp
dt
kT
(34)
674
WILLIAM H. WARNES
Oregon State University
710
711
Transformer A
Transformer B
A
Secondary breaker
B
Secondary breaker
Bus tie
Bus A
;
;
Bus B
Fault FB
Fault FF
Breaker
SFL
712
QUENCHING TYPES
The size of the SC element, which changes from a very low
impedance state to a finite resistance (a quench), is based on
the material properties of critical current Jc and normal resistivity n, and the system requirements of crest trigger current
Ic0 and normal state SFCL resistance R, to give a conductor
cross-sectional area of Ic0 /Jc, length RIc0 /Jcn, and volume
2
RIc0
/Jc2n. In general, high Jc2n is desirable to minimize superconductor requirement.
The fault transient is divided into three time regimes: a
prelimit regime (period I) where I Ic0 and the limiter is not
yet active, a resistance growth regime (period II) where the
SFCL is in a partially resistive state below the critical temperature Tc and the limiter effective resistance Re VL /I (VL
is limiter voltage) is rising from 0 to R, and a full-resistance
regime (period III) where the SFCL temperature is above Tc
and all superconductivity has quenched. Period I behavior depends on Vs, L, and Ic0 alone.
The electrical performance in period III is analyzed in terms
of an RL circuit transient, and the average temperature transient follows from the resulting energy absorption RI2 dt divided by the SFCL mean heat capacity C (including all material
coupled by thermal diffusion to the SC element over the limiting time). The behavior in period II is more complex and is
best solved numerically (7). It is useful to describe the SFCL as
weak or strong, based on whether R is less or more than Vs /Ic0.
With a strong limiter, the limiter voltage will overcome the
source voltage during period II at which time the fault current
will peak. It is still possible that there will be a subsequent
higher current peak due to the power-frequency variation of
Vs. It is also useful to describe the SFCL resistance growth as
fast or slow, depending on whether the limiting current at the
end of period II is more or less than the quasiequilibrium fault
current Ic Vs /R. The fast weak SFCL will not force a current
peak in period II, because always VL Vs. The slow weak SFCL
will force a current peak in period II because period II extends
so long that the limiter current grows until the voltage across L
reverses and limiter dI/dt changes sign. It should be noted that
the relative strength and speed of the SFCL depend on the
power system parameters as well as the SFCL parameters R,
C, Ic0 and the difference between critical and quiescent temperatures.
Four simplified cases are considered in Fig. 2 with low
(weak) and high (strong) R and slow and fast dR/dt. The fast
strong limiter gives the lowest peak let-through current and
I2dt to interruption, but it also will produce SFCL terminal overvoltage. Weakening a fast limiter will reduce the overvoltage
at the cost of higher let-through current and I2dt. Slowing down
a fast strong limiter will increase the let-through current and
I2dt and may increase the overvoltage. Clearly SFCL strength
and speed are both desirable for the primary function of current
limiting, but they will produce overvoltage. If only weak limiting is desired, the limiter overvoltage can be controlled by
speed adjustment, and in fact all overvoltages can be suppressed by keeping speed below a particular threshold.
Period III continues until either the primary or backup circuit breaker interrupts the fault. Here the normal state SC
element carries a large current and dissipates high power,
which dominates total SFCL heating. For example, a resistive
quenching SFCL that trims the fault level of a 345 kV transmission system (with X/R ratio 15) by 10% from 70 kA to 63
30000
25000
20000
Current
Phase I
Phase II
Phase III
15000
Slow, strong SFCL
10000
5000
0
0.000
0.002
0.004
0.006
0.008
0.010
0.012
Time
30000
25000
Phase II
Phase III
Voltage
20000
15000
10000
5000
0
0.000
0.002
0.004
0.006
0.008
0.010
0.012
Time
Figure 2. Four types of quenching SFCL performance depending on
limiter strength and speed. Direct source voltage is applied; hence,
power frequency effects are absent.
713
714
Ferromagnetic core
SC
limiting
coil
ac line in
SC
winding
Line
winding
ac line out
Bias
power
supply
(b)
Figure 3. Principles of shielding and
semiconductor SFCLs: (a) shielding
SFCL, (b) semiconductor bridge SFCL.
(a)
FERROMAGNETIC TYPES
An SFCL using the principle of ferromagnetic desaturation
was built in the early 1980s (19). A coil wound on a closed
iron core will have a very high ac impedance when unsaturated. If, however, the iron core is saturated, the same coil
will exhibit a very low impedance. Two cores are used per
phase, each with an ac winding carrying the line current and
also an SC dc bias winding. The dc bias windings are connected to saturate the two cores in opposite directions. The ac
windings are series connected so that instantaneous line current adds to one cores bias MMF and substracts from the
MMF of the other core. Under normal operation, both cores
are so heavily saturated by the dc bias current that the MMF
contribution of the ac does not bring either core out of saturation, and the impedance of the core is small. Under shortcircuit conditions, however, each half wave of the higher ac
fault current brings each core out of saturation in turn, thus
inserting a high impedance into the circuit and limiting the
current. At the fault current peak, the cores resaturation (in
the opposite polarity to the quiescent state) must be limited.
In a three-phase system, six cores are necessary, two for each
phase, but a single SC dc bias winding (and cryostat enclosure) passing through all six core windows can be used. A
single phase, 3 kV, 556 A prototype using an LTS coil was
built and tested with peak short-circuit currents of close to 15
kA. Although the device performed as predicted, no commercial units were ever built because of the large size of a transmission system sized unit. The total core volume required
corresponds to a transformer rated at twice the fault volt-amperes. The desaturating SFCL does not necessarily quench
during limiting, so that it has no reset time delay, and the
limiting level can be varied by adjusting the dc bias current.
The impedance of a series line reactor can also be changed
by moving armature methods that vary the effective gap of
the reactor magnetic circuit. One approach under construc-
SEMICONDUCTOR TYPES
The nonlinear resistance of semiconductor junctions is used
in the bridge-type fault current limiter, which combines
power electronics and an SC coil (21). Figure 3(b) shows the
circuit of a single phase. A bias power supply provides a current in all four semiconductors. As long as the load current is
less than the bias current, all four semiconductors are forward biased, and the ac load current flows unimpeded by the
bridge circuit, assuming negligible losses in the semiconductors. In the quiescent condition, each thyristor conducts half
the bias current superimposed with half of the line current.
When a short circuit occurs, one pair of semiconductors is
turned on, and the other pair is turned off in each half cycle,
automatically inserting the bridge inductor into the circuit.
The inductor limits the fault current. Because the inductor
carries a bias current under normal condition, use of an SC
coil reduces the overall system losses. The bridge-type fault
current limiter has several attractive features, such as automatic insertion of the current-limiting reactor, reduction of
the first half-cycle short-circuit current, precise control of the
amplitude of the short-circuit current, complete current interruption in less than a cycle if desired, operation with multiple
fast reclosures, and high efficiency. In addition, it is conceivable to use the controlled thyristor bridge for other transmission or distribution network functions such as var control. For
distribution and transmission system semiconductor SFCLs,
the equivalent thyristors indicated in Fig. 3(b) are series
strings of thyristors, similar to those used in static var compensators or electronic transfer switches. A 2.4 kV, 2.2 kA
single-phase fault current limiter was successfully tested in
1995 and a 15 kV, 800 A, three-phase unit is being designed
to be tested in 1998 (2).
OTHER TYPES
SFCL designs where the limitation arises from magnetic circuit unbalance under fault conditions have been proposed
for example, with a three-phase SC winding on a common
core (22). The positive and negative sequence inductances
equal the device leakage inductance, whereas the zero-sequence inductance equals three times the self-inductance.
Single line-to-ground faults, which are the most common, are
then limited by the magnetics alone, without quench or thermal recovery delay, whereas the rarer two- and three-phase
faults will be limited by in-line SC winding quench. Thus, the
SC winding thermal performance must be designed as for all
in-line quenching SFCLs. An HVDC transmission SFCL (23)
has balanced positive and negative line currents canceling in
the magnetic circuit, whereas ground faults will unbalance
the SFCL. Under these conditions, the SFCL inductance limits the fault current dI/dt until the core saturates.
715
SUPERCONDUCTOR REQUIREMENTS
Either niobium-based LTS or ceramic HTS materials may be
considered. LTS conductor technology is relatively mature,
with kiloampere-rated commercial conductor optimized for either ac or dc operation available in long lengths from several
vendors. Operating Jcs are in the gigampere per square meter
range and operating temperatures are up to 6 K (NbTi) or 14
K (Nb3Sn). To produce the required normal-state resistance
in quenching SFCLs, the LTS conductor must have high values of effective n, which is governed by the stabilizer resistivity. The highest values (in available conductors) of about 30
nm (300 K) are achieved with CuNi alloy. Elementary power
frequency conductor strands have 10100A Ic and pass
through two levels of cabling and twisting to achieve the required kiloampere-class Ic. At each level of cabling, the
strands are grouped around a copper core, which enhances
quench propagation. Current sharing is a problem that is further accentuated when kiloampere-class conductors must be
paralleled to operate at 10100 kA-class transmission network-rated currents. Such an ac conductor is more expensive
than a dc conductor. Desaturating and semiconductor SFCL
types do not need the same high ac performance and use more
economical dc LTS conductors. The major drawback of LTS
conductors is the refrigeration and cooling required to maintain the required operating temperature.
Bulk, film, or wire/tape HTS conductors can be used in
SFCLs. Bulk rings or tubular cylinders, particularly useful
for shielding SFCLs, have been manufactured from Bi-2212
(12), Bi-2223 (24), and YBCO (9). All the materials have Tc
above 85 K, effective Jc in the 0.10.5 GA/m2 range at 77 K,
and n around 10 m. Even though bulk Bi-2212 parameters
are overall no better than the competing materials, Bi-2212
has the most promise because there are practical manufacturing techniques to produce technically useful cylinders with up
to 8 mm wall thickness and 40 cm diameter. Bulk forms are
also used as current leads operating between Tc and T0. HTS
materials show significantly higher Jcs in thin films. For example, 200 nm-thick YBCO on a CeO2-buffered sapphire substrate exhibited Jc 30 GA/m2 and was investigated for
quenching SFCL designs (11). The substrate heat capacity
and heat transfer to LN2 cryogen limits the temperature rise.
Such high Jc requires using a stabilizer parallel layer to protect against catastrophic local overheating, so that the high
intrinsic n is not used. YBCO thin film panels up to 20 20
cm have been tested, which could be used in arrays to produce
quenching SFCLs. Thick Bi-2212 films are also useful: an
array of MgO substrate cylinders (45 cm diameter, 12 cm
long), each supporting an 0.5 mm Bi-2212 layer, has been
used in a 6.6 kV, 400 A shielding SFCL (25). Thick film Jcs
are similar to bulk HTS material.
The thrust of HTS wire and tape development is to develop
stabilized conductor for magnet applications. Bi-2223 conductor, produced by the powder-in-tube (PIT) process, is currently closest to commercialization but limited to low operating magnetic field levels. The silver stabilizer of PIT
conductor (with around 4 nm at 100 K) bypasses the much
higher intrinsic Bi-2223 n. Multifilamentary twisted conductors are under development to produce lower ac losses. The
manufacture of wire from other HTS materials such as Bi2212 and YBCO is also under active development, and it is
716
CONCLUSION
14. J. R. Cave et al., Testing and modelling of inductive superconducting fault current limiters. IEEE Trans. Appl. Supercond., 7:
832835, 1997.
LEMBIT SALASOO
General Electric Company
HEINRICH J. BOENIG
Los Alamos National Laboratory
717
674
SUPERCONDUCTING CYCLOTRONS
The cyclotron was the earliest of the circular particle accelerators, earning a Nobel prize for E. O. Lawrence in 1936. First
the cyclotron and then the synchro-cyclotron provided the
beams for energy-frontier physics studies in the 1930s and
1940s. In the late 1950s, the intrinsic cost advantage of the
ring geometry of the synchrotron (versus the pancake geometry of the cyclotron) shifted energy-frontier studies to the
newly evolving synchrotron. However, because of a series of
significant technical innovations (sector and spiral-ridge focusing and superconducting magnets), the cyclotron has retained several important regions of superiority and has not
faded from the scene in the fashion of a number of other pioneering accelerator systems (e.g., the synchro-cyclotron and
the betatron).
Figure 1 shows the key features of the classic cyclotron,
the essence of which is quite eloquently summed up by a
childs description: they (the ions) start at the center and go
round and round and come out at the edge. The round and
round is the result of the transverse force exerted on the ions
by the magnetic field, and the spiral path is the result of acceleration provided by a radiofrequency voltage applied to the
dees. The cyclotron equation qB/m 2f gives the
orbital frequency f of a particle in a magnetic field B, where
q and m are the charge and mass of the accelerated ion. The
cyclotron equation reveals a gift of nature: taking q, B, and m
Dee
External beam
Vrf
Dee
675
qB
m
Ion
source
Figure 1. Conceptual drawing of the original cyclotron. The (constant) magnetic field is into the page. (Whereas the beam spirals outward in a cyclotron, in the synchrotron discussed later the beam stays
at a constant radius and the energy increases as the magnetic field
increases.)
676
First
Beam
Sector #
Nuclear research
3.2
8/82
Compact
Compact
Compact
Compact
Name
Location
K500
Nuclear research
Nuclear research
Nuclear research
3.3
3.2
4.9
9/85
6/88
6/88
4
3
3
K100
OSCAR
AGOR
K800
Triton
Cancer therapy
Isotope production
Nuclear research
Nuclear research
Prototype SOC
1.4
0.6
3.5
4.2
1.3
4/89
6/90
4/94
5/95
3
3
3
3
12
SRC
Riken, Japan
Nuclear research
6.4
TASSC
K500
K1200
Purpose
Type
Compact
Compact
Compact
Compact
Separated
sector
Separated
sector
677
an RF accelerating structure,
the magnet system,
an injector and pulsed injection magnets on the ring,
a vacuum system,
beam diagnostics and controls, and
ancillary power supplies and utilities.
678
SUPERCONDUCTING ELECTROMAGNETS
Figure 2. The first Helios compact synchrotron, built by Oxford Instruments. The two superconducting magnets can be seen at either
end of the structure. X-ray beams emerge from the ports on the sides
of the magnets.
phase stability. Particles with small deviations from the central beam energy follow slightly different orbits and arrive at
the cavity at slightly different times. They automatically receive more or less energy according to their phase. The result
is effectively a restoring force causing the beam to coalesce
into bunches, with individual particles executing longitudinal
synchrotron oscillations about the center of the bunch. Phase
stability puts a constraint on the circumference of the orbit,
which must be an integer multiple of the radiofrequency
wavelength. The choice of radiofrequency is largely dictated
by convenient RF sources. Klystron-based sources at 500 MHz
have been used, as have solid-state sources at 50 MHz.
The most common compact light source layout has been a
racetrack using a pair of superconducting magnets each producing a vertical magnetic field to deflect the beam by 180.
This configuration allows other machine functions (e.g., the
RF structure, optical elements, pulsed magnets for injection,
and vacuum components) to be distributed in the two straight
sections. It still takes advantage of the small bend radius that
can be achieved with a superconducting magnet to produce a
device that can be factory assembled and delivered to a user
as a complete unit. The magnetic field is increased during the
acceleration cycle because the beam maintains a constant orbit in a synchrotron, unlike the outward spiraling orbit of the
beam in a cyclotron. In the case of the Helios system, the
superconducting magnets produce a field of 4.5 T at full energy, which allows a 700 MeV beam to be bent into a semicircle of radius 51 cm. The entire device, exclusive of the injector
and ancillary systems, weighs about 20 tons and comfortably
fits on the back of a truck.
In order to allow a beam particle with small deviations
from the ideal orbit to survive for perhaps 1012 revolutions
without being lost, some form of focusing is required. All compact synchrotrons built save one have been strong focusing,
alternating gradient machines. (The Aurora 1 compact light
source built by Sumitomo Heavy Industries was a weak focusing machine.) Focusing in one of the transverse planes can
be accomplished by a magnetic field gradient. However, if a
magnetic field gradient focuses in the horizontal plane, it inevitably defocuses in the vertical plane, and vice versa. The
alternating gradient concept uses the principle that pairs of
DAVID E. ANDREWS
Oxford Instruments, Inc.
HENRY BLOSSER
Michigan State University
717
Ground plane
Patterned HTS
Substrate
Microstrip
Stripline
Coplanar
waveguide
superior to conventional planar technology and with the attractive feature that many well-established design techniques
can be used for HTS circuits as well.
The discussion in this article will focus on HTS microwave
technology with the understanding that conventional lowtemperature superconductors (LTS), for example, Nb or NbN,
can also be used in the same fashion. Practical LTS materials
operate typically at 4.2 K, the boiling temperature of liquid
He. Furthermore, it should be kept in mind that a larger variety of substrates can be used in LTS technology because it
does not require single-crystal epitaxial films. For example,
Nb microwave and digital circuits have been demonstrated on
Si and single-crystal sapphire (1).
HIGH-TEMPERATURE SUPERCONDUCTING
FILM PROCESSING TECHNOLOGY
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
718
YBCO
90 K
0.20.5 m
105 K
0.20.5 m
400600 nm
106 A/cm 2
8001000 nm
10 6 A/cm 2
200 nm
200 nm
Substrates
Relative dielectric constant ( r ) at
77 K
Dissipation factor (tan ) at 77 K
Typical dimensions
TBCCO
LaAlO 3 (LAO)
MgO
23.4
9.7
10 5
5, 7.5, and 10 cm diameter;
250 and 500 m thick
10 5
5 and 7.5 cm diameter;
250 and 500 m
thick
substrates are available commercially from a variety of suppliers around the world and can be readily obtained in circular wafers up to 7.6 cm in diameter and 250 m to 500 m in
thickness. At 77 K and for frequencies between 1 GHz and 10
GHz the loss factor (tan ) of LAO and MgO is less than
105. This is 10 to 100 times smaller than most practical microwave substrates and is compatible with the low conductor
loss of HTS.
YBCO is typically grown in situ as an epitaxial single-crystal film with thickness ranging from 400 nm to 600 nm. This
means that the desired crystalline phase of the material is
formed as the film grows because the growth conditions can
be adjusted to obtain such results. In contrast, an amorphous
film of TBCCO is deposited first and then the film is subjected
to a postdeposition annealing treatment to form the right
crystalline phase. One advantage of the in situ deposition of
YBCO films is the ability to deposit other crystalline layers
such as insulators or normal conductors, needed for the fabrication of Josephson junctions. HTS microwave technology can
leverage the research on Josephson junctions by making use
of insulating layers in other ways such as lumped element
capacitors, for example. TBCCO films have the advantage of
a higher critical temperature (Table 1) and are typically
grown with thickness close to 1 m. These are advantages
because practical devices must be made with thicknesses two
or three times greater than the London penetration depth
(200 nm to 300 nm) at 77 K. TBCCO films thus offer a greater
operating margin than YBCO films.
The most important characteristics or these two HTS materials and their substrates are given in Table 1. The properties listed are those a microwave designer would want to
know if engaged in an HTS device design project.
Device Processing
Fabrication of microwave devices using either YBCO and
TBCCO follows relatively straightforward photolithographic
techniques. Patterning of the superconducting layer is typically accomplished by Ar-ion milling. The processing must include the deposition and patterning of low-resistivity contacts
for interfacing with other devices or instrumentation. These
10
HTS
pattern
Coaxial
connector
Carrier
Substrate-carrier
conducting interface
(e.g. conducting
epoxy or solder)
Conducting interface
(e.g. metallic springs or
single-piece housing)
Figure 2. Microwave packaging of HTS devices is challenging because mechanical and electrical integrity must be maintained when
the device is cycled from ambient to cryogenic temperatures. Special
attention must be paid to the ground-current return path so as not
to introduce parasitic reactances that could severely affect the performance.
2
4
6
8
GaAs
10
12
LaAIO3
14
16
50
MgO
100 150
200
Temperature (K)
250
300
Figure 3. Measured relative thermal contraction of several materials of interest in HTS technology, including Si and GaAs. These or
similar data should be used in the selection of carrier materials and
the packaging of hybrid HTS-semiconductor components. If a good
thermal match between parts that must remain in intimate mechanical and electrical contact cannot be obtained, sufficiently pliable conductive adhesives may be adequate for some applications, particularly if the mismatched parts are small.
Cu/laminate
microstrip
Au/LAO
microstrip
at 77 K
Ground
plane
Attenuation (dB/m)
HTS
substrate
719
0.141 Semirigid
coaxial
HTS/LAO
microstrip
0.1
X-band
waveguide
0.01
0
10
15
20
Frequency (GHz)
Figure 4. Calculated attenuation comparison for various transmission line types including HTS and gold microstrip on 500 m-thick
LAO substrates. The line impedance for each line was 50 . All microstrip substrates were assumed to be 500 m thick. Notice that Xband waveguide has lower loss than HTS microstrip (for the parameters chosen). However, HTS microstrip has broader bandwidth and
the potential for smaller volume because it facilitates the integration
of several microwave components.
720
Stored energy
Dissipated energy
h
d
106
105
Quality factor
(1)
104
HTS/LAO microstrip
Metallic cube
103
102
0.1
Au/LAO microstrip
10
100
104
Volume (cm3)
103
Metallic cube
102
10
1
101
0.1
Microstrip on LAO
1
10
Frequency (GHz)
100
r j
(2)
parameter is independent of frequency and is orders of magnitude smaller than the normal conductor penetration depth
(usually referred to as the skin depth). The reasons are derived from the perfect diamagnetism of superconductors, the
so-called Meissner effect, and are explained by the GorterCasimir and London two-fluid model of superconductivity
(11,13).
Table 2 summarizes the differences between normal and
superconductors from the point of view of their microwave
surface impedance. Notice that the surface resistance of superconductors, Rs, has a frequency-squared ( f2) dependence.
In contrast, normal conductors depend on the square root of
frequency (f). Figure 6 shows the difference between copper
at 300 K and 77 K, and HTS at 77 K. This must be taken into
consideration, especially when designing wide-band compo-
Normal Conductors
Superconductors
Real
Complex
Penetration depth:
L (0)
L (T)
T 2
1
TC
L (0) 150 nm for YBCO
RS XS
1
f
RS f 2
X S 2f L
Copper at 300 K:
2.1
m
f
R S 8.24 f m
critical temperature. For YBCO, L(0) 150 nm, which results in L(77 K) 214 nm. The HTS film must be at least
500 nm to 600 nm thick for operation at 77 K, in order for the
kinetic inductance effects to be negligible with respect to the
total inductance of the circuit.
For practical microwave design purposes, this allows treating the superconductor as a normal conductor with a surface
resistance that can be obtained from measured values and a
frequency-squared scale factor. It has become customary for
workers in the field to normalize the surface resistance to 10
GHz and 77 K, even though measured data may have been
taken at a different frequency. Devices where the kinetic inductance is allowed to dominate have been demonstrated (14).
However, they are lossy, difficult to fabricate, and quite dependent on temperature because of the strong temperature
dependence of the penetration depth.
YBCO at 77 K:
L (77) 0.2 m
R S 5f 2
Copper at 77 K:
0.9
m
f
R S 3.4 f m
L (T ) =
L (0)
T 4
(3)
TC
Surface resistance ()
721
102
1
102
104
Cu (77 K)
HTS (77 K)
106
108
0.1
10
100
Frequency (GHz)
Figure 6. Surface resistance of HTS at 77 K and copper at 77 K and
300 K as a function of frequency. The surface resistance of copper
scales with frequency as f 1/2; for HTS it scales as f 2.
An important consideration for any electronic device is its dynamic range, or range of signal power levels over which the
device will operate properly. In the case of passive HTS devices, they are expected to be linear over a certain dynamic
range, limited below by noise and above by the onset of nonlinear behavior.
Starting at the lower end, the noise generated in a passive
device will generally be of a thermal nature. A measure of
how much noise any device generates is given by the noise
figure (16), which is, by definition, related to the excess noise
generated in the device when a matched resistor at 290 K
(ambient temperature) is placed at the input. Thus the noise
722
2
1.5
T = 290 K
1
T = 77 K
0.5
0
0.5
1.5
(5)
The essence of the nonlinear dependence of the surface impedance on signal power level or, equivalently, HRF is readily
understood by observing the response of a microstrip resonator, shown in Figure 8. As the input power is increased the
resonator Q degrades (Rs dependence on HRF) and the resonance shifts to lower frequencies (Xs dependence on HRF). Several regimes have been identified in the study of nonlinear
phenomena in HTS (22). A linear region at sufficiently low
power levels, a weakly nonlinear region where nonlinear behavior is dominated by grain-boundary weak links (Josephson-junction-like defects in the crystalline make-up of the
HTS film) and a strongly nonlinear region dominated by hysteretic vortex penetration. Above this regime breakdown of
the superconducting state occurs, with the surface resistance
increasing abruptly due to heating and the formation of normal-state domains (22).
If the magnetic field exceeds its critical value the material
becomes a normal conductor and dissipates heat which must
be removed by the cryocooling system and can even damage
30
T12Ca2Ba2Cu3Ox resonator
T = 7.4 K
15 dBm
35
40
45
50
55
10 dBm
60
1,835
723
1,840
1,845
1,850
1,855
1,860
1,865
1,870
Frequency (GHz)
Figure 8. Effect of increasing the input power level on a superconducting microstrip resonator. This measurement (courtesy of Dr. M.
Golosovsky, Hebrew University of Jerusalem) captures the essence of
the nonlinear RF power dependence of the surface impedance Zs
Rs jXs. As power level increases so does Rs and the resonance Q
decreases. On the other hand, the effect of increased power level on
Xs manifests itself on a shift of the resonance toward lower frequencies (22).
LdB,n
=
B k=1 Quk
(6)
Here, n is the filter order, gk are the normalized series inductance and shunt capacitance values of the low-pass prototype
filter (10), B is the filter bandwidth as a fraction of the center
frequency, and Quk is the unloaded Q of the kth resonator. For
the purposes of estimation, it is reasonable to assume that all
the resonators in the filter will have the same Qu. Figure 9
illustrates the trade-off between insertion loss, bandwidth,
and filter order as a function of resonator Qu. It shows how
expression (6) can be used to estimate the potential of a certain filter technology, in this case HTS, and understand its
724
5th order
4th order
L0
5
4
0.1%
bandwidth
L1
L2
L3
S3
S1
S2
2
1
1%
bandwidth
0
102
103
104
105
Unloaded Q
Figure 9. Estimated insertion loss of fourth- and fifth-order Chebychev passband filters of 1% and 0.1% fractional bandwidths as a function of the unloaded Q of the resonators that make up the filter. It
was assumed that all the resonators have the same Q. The chart
shows the increase in insertion loss caused by increasing the filter
order by one and by reducing the fractional bandwidth by a factor
of ten.
limitations. The insertion loss was estimated for Chebychevtype filters (10) of the fourth and fifth orders, respectively,
and for 1% and 0.1% fractional bandwidths. The purpose of
this chart is to point out the difference in loss caused by increasing the filter order by one and by increasing the fractional bandwidth by a factor of ten. Figure 9 complements
Fig. 5 by helping to make a connection between the insertion
loss of a filter of a given order and bandwidth and a specific
structure and its volume. The information provided by these
two figures can readily be extended to cover other structures
and technologies.
Design Considerations
As discussed above, some of the most important applications
of superconductors are in narrow passband filters because
they can be realized in planar technology, which lends itself
to small structures that can be readily integrated with other
filters and circuitry. It was also discussed that the coupling
between the resonators that make up a narrow-band filter
needs to be weak. The mechanism for implementing weak
coupling between resonators must allow for its control and
predictability so that robust filter designs which are relatively
intolerant of external spurious coupling mechanisms can be
implemented. An example illustrating this point can be found
in the parallel-coupled line filter topology. Figure 10 shows
this topology, which is well known as being suited for microstrip filters with relative bandwidths below 15% (10). An analysis based on Figs. 5 and 9, however, shows that if HTS is
used then bandwidths below 1% are possible from the loss
standpoint. Indeed, this structure was used by several research groups to make initial HTS filter demonstrations with
1% to 2% fractional bandwidths (33,34). Table 3 shows the
couplings required to achieve a 1.25% bandwidth, fourth-order Chebychev filter with 0.1 dB ripple at 4 GHz (8), as well
as the distance between resonators (see Fig. 10). This distance was calculated using commercial software based on coupled microstrip line circuit models and a simple look-up table
technique (8) generated using a two-dimensional electromag-
netic field solver as a tool to refine the results of the circuitmodel-based software. The effectiveness of this technique was
demonstrated experimentally (8). Notice in Table 3 that two
of the three required couplings are less than 30 dB and that
the error in estimating the coupled-line distances given by the
circuit-model software increases as the coupling gets weaker.
For narrower bandwidths, weaker couplings are needed,
which would result in larger separation between resonators
and hence increased difficulty in accurately predicting and
controlling the required coupling. Bandwidths of less than 1%
with this filter topology could probably be achieved with great
difficulty and very low yield because of the practical issues
associated with controlling the weak couplings required (35).
Workers in this field have realized this fundamental problem and have identified structures which allow significantly
better control of weak interresonator coupling. Recognizing
that in microstrip backward-coupled resonators, such as those
in Fig. 10, the problem is compounded by the presence of spurious forward coupling, researchers have demonstrated good
coupling control using forward-coupling alone in microstrip
(36) and backward coupling alone in stripline (37). Also, the
use of planar lumped elements (38), and inductive coupling
in coplanar line (39,40) have been demonstrated successfully.
Today, HTS filters are being made with bandwidths of 1% or
less by dedicated commercial companies for the base-station
wireless market as preproduction prototypes (19,41).
Complex Structures
The potential for filters with performance similar to bulkier
waveguide components but at significantly smaller sizes can
be most readily fulfilled for the case of banks of filters,
Parameter
Required
Coupling
(dB)
Conventional
(mm)
Look-Up Table
(mm)
S1
S2
S3
17.6
35.8
37.8
0.572
2.367
2.772
0.530
1.931
2.161
725
90 Hybrid couplers
Input
Identical f1
filters
f1
f2 f2
fn fn
Termination
T-Au pad
Output
channel n
Figure 11. Multiplexer architecture used to demonstrate a fourchannel HTS microstrip device. This configuration has the advantage
of allowing as many channels as the bandwidth covered by the 90
hybrid. Each filter is terminated in 50 and is essentially isolated
from the others. Other schemes require that the impedance termination in each filter be adjusted to account for the presence of all the
filters in the multiplexer, practically limiting the maximum number
of channels to ten or twelve.
Mo-ti
resistor
VBCO
Figure 12. Photo montage of a four-channel YBCO-on-LAO microstrip multiplexer demonstrated under the US Navys High-Temperature Superconductivity Space Experiment II (HTSSE-II). Details of
the design, fabrication, and assembly of this device can be found in
Refs. 8 and 46.
0
Insertion loss (dB)
Output
channel 1
50
100
3.5
4.0
Frequency (GHz)
4.5
726
Vacuum
housing
H
J
RF line
Radiation
shield
RF line
HTS device
Thermal
connector
Cold
head
(a)
(b)
Figure 14. Diagram (courtesy of Dr. Z-Y Shen, E. I. du Pont de Nemours and Co.) (29), showing the magnetic field and current distribution in a /2 microstrip resonator (a) and a TM010 printed disk resonator (b). In the disk the magnetic field lines are circular and remain
on the plane of the disk, so the current is not highly nonuniform as
is the case of the regular microstrip resonator. The advantage of the
disk is that it can handle much higher power levels (29,49,50).
lines (e.g., microstrip, stripline, and coplanar waveguide) ultimately limits the maximum power level that can be handled.
Increasing the quality of the material and improving the design of filter structures has been a major endeavor at several
institutions. Improved filter designs are based on planar
structures which avoid the effects of significant current
crowding at the edges, as is the case of low-impedance microstrip lines (48). Most significant is the work employing planar
resonator structures based on the circular TM010 mode
(29,49,50). The most salient features of this approach are
shown in Figs. 14(a) and (b), which show the electromagnetic
fields and current profile in a microstrip and a disk resonator,
respectively. In the latter the RF magnetic fields do not close
above the substrate but within it, under the disk. Thus the
current density does not peak at the edges of the resonator
and its distribution is more uniform. The only possible drawback of this approach is that the fields are more confined to
the disk resonator, and intercoupling between resonators to
form a filter may be more difficult, perhaps requiring threedimensional structures for proper control of the coupling. This
Cryocooler
engine
Radiated
heat load
Figure 15. Schematic diagram of the cryogenic package for a hypothetical HTS device showing conducted heat inputs through input/
output RF and dc control lines and the mechanical support of the cold
head, as well as the radiated heat input from the (warm) wall of the
vacuum housing. The purpose of this diagram is to show the main
elements that affect the design of the cryogenic package.
Table 4. Sample System Requirements That Will Affect the Choice of Cooler and Cryogenic Packaging Approach
Requirement
Size and weight
Cool-down time
Vibration
Power consumption and
power supply type
Mode of operation
Temperature stability
and control
Unattended lifetime
Vacuum lifetime
Comments
Stringent in almost all applications
Some applications may require very fast turn-on time (e.g., a few minutes). They would be a driver toward higher cooler power and lower HTS device thermal mass
For example, a minute amount of mechanical distortion on a circuit caused by vibration from the cooler
may generate a phase modulation that degrades the circuit performance
E.g., 120 V ac
E.g., continuous, intermittent, short missions and then mostly idle, etc.
While any fine temperature feedback control loop (0.01 K) tends to be done using heaters and a temperature sensor, some applications may require a certain degree of cooling engine control (0.5 K)
Some applications (e.g., space) may require a lifetime on the order of 10 years or more
All-welded construction; use of getters in a clean, well-conditioned (baked) system
727
Table 5. Cooling Requirements That Will Influence the Cooling Power (Heat Lift) Required for a Given Application
Requirement
Comments
A filter with a 0.5 dB insertion loss that must pass a 20 W signal will dissipate 2 W of heat that must be removed by the cryocooler. Also, semiconductor devices such as low-noise amplifiers, which improve in noise
and gain performance when cooled, always dissipate a certain amount of heat which must be taken into
consideration
These are the electrical interface between the cryocooled device and the outside world. For example, a filter
might require two microwave leads (input and output) and two pairs of dc control lines for the heat sensor
and a small heater to keep the temperature constant. These conductors represent a heat loss that the
cooler must overcome because they connect the outside ambient temperature with the cold device. While
the dc control lines are typically made of thin low-thermal-conductivity, high-resistivity wire (e.g., gauge
32 manganin), the microwave leads must achieve a compromise between insertion and thermal loss
Radiation loss is another form of heat loss that the cooler must overcome and therefore must be minimized.
The total surface area and their infrared radiation emmisivity are important design parameters. Low-emmisivity radiation shields are typically used between the warm vacuum vessel wall and the cold device
For those applications that have a cool-down time requirement, the thermal mass of the device to be cooled
is important and will be affected by the microwave packaging material and its shape
Number of microwave
and dc control leads
Surface area
Thermal mass
Nondispersive delay lines have a constant delay-versusfrequency characteristic and are typically used as analog
memory elements that can store a signal for, say, up to a few
hundred nanoseconds while the system is engaged in other
processing steps. Work on HTS nondispersive delay lines has
also been significant (5255). Including two recent instantaneous frequency measurement subsystems based on banks of
delay lines (52,55). Clearly, the advantages of superconductivity are that a long length of line can be fabricated in a small
volume by defining a long, planar transmission line on a wafer. Ref. 54 compares conventional nondispersive delay lines,
which require amplifiers between sections of transmission
line (e.g., coaxial), with HTS delay lines using projections
based on measurements made on relatively short (22 ns) delay lines. Key delay-line parameters are delay, bandwidth, insertion loss, and third-order intercept point. Conventional delay lines that must resort to amplification to boost the signal
are limited in dynamic range by the amplifiers.
CRYOGENIC PACKAGING
Key to the insertion of superconducting microwave circuits
into electronic systems is the integration of the HTS components with a cryogenic refrigerator and its associated control
electronics. Clearly, for HTS technology to be ultimately successful, the user must be rendered able to ignore the fact that
cryogenics are used at all, by providing long-lifetime cryocoolers and optimally small cryogenic packages with standard envelop characteristics and interfaces (e.g., 19 in rack mounts
and back-plane blind-mate connectors).
Many important considerations enter into the design of a
cryogenic package suitable for a microwave HTS subsystem.
Figure 15 is a schematic representation of this package, showing its main elements and the various heat inputs that must
be considered for an appropriate thermal design. Ref. 41 provides specific details on the cryogenic package for a communications filter subsystem.
The choice of a cryocooler will depend on the system and
the cooling requirements. An airborne military application
may require the use of a small Stirling-cycle cooler because
of volume restrictions. On the other hand, a communications
ground station in a remote location that needs to operate unattended for a long time may require a larger, more reliable
refrigerator of the GiffordMcMahon type. Cooling requirements are imposed by the component or subsystem to be
cooled and will determine the amount of cooling power required at the operating temperature. Typical sample system
and cooling requirements and some comments as to their significance are given in Tables 4 and 5, respectively.
Heat-Lift Range
Available at 80 K
Split Stirling
0.53 W
Integral Stirling
0.55 W
GiffordMcMahon
2200 W
Throttle-cycle
4 W
JouleThomson
0.52 W
Pulse tube
0.52 W
Comments
Available from many manufacturers; used primarily in the tactical military infrared detector industry. Has a cold head separated from a compressor by a metallic transfer line up to 15 cm
long
Also used in infrared detectors; at least one version is being used in an HTS development prototype. The compressor and cold finger are integrated into one unit
Widely used in the support of vacuum systems for semiconductor industry; highly reliable and
versatile. The compressor and cold head are separate units connected by fluid lines that can
be several meters long
Reliable and low cost. The compressor and cold head are separate units connected by fluid lines
that can be several meters long
Generally used as an open-cycle cooling system for short tactical missile IR detector applications
Emerging technology, low cold-head vibration and long lifetime potential
728
infrared imaging detector technology and perhaps new developments of cooled semiconductor components for fast computer workstations, cryocooler technology is progressing to
the point where long lifetimes and small-size, low-weight coolers are now widely available.
BIBLIOGRAPHY
1. R. S. Withers and R. W. Ralston, Superconductive analog signal
processing devices, Proc. IEEE, 77: 12471263, 1989. This paper
contains many references to earlier work by the authors.
Figure 16. Photograph of a HTS filter assembly for commercial wireless applications (courtesy of Superconductor Technology, Inc.).
SUPERCONDUCTING LEVITATION
729
21. C. Wilker et al., Nonlinear effects in high-temperature superconductors: 3rd order intercept from harmonic generation, IEEE
Trans. Appl. Supercond., 5: 16651670, 1995.
22. M. Golosovsky, Physical mechanisms causing nonlinear microwave losses in high-Tc superconductors, 8th Workshop RF Supercond., Abano Terme, Italy, 1997, Invited Paper.
23. S. Sridhar, Non-linear microwave response of superconductors
and ac response of the critical state, Appl. Phys. Lett., 65: 1054
1056, 1994.
24. J. H. Oates et al., A nonlinear transmission line model for superconducting stripline resonators, IEEE Trans. Appl. Supercond., 7:
1722, 1993.
25. D. E. Oates et al., Microwave power dependence of YBa2Cu3O7
thin-film Josephson edge junctions, Appl. Phys. Lett., 68: 705
707, 1996.
26. I. Vendik and O. Vendik, High Temperature Superconducting Devices for Microwave Signal Processing, St. Petersburg, Russia:
Skladen, 1997, Part 2, Chap. 7.
27. B. S. Karasik et al., Subnanosecond switching of YBaCuO films
between superconducting and normal states induced by current
pulse, J. Appl. Phys., 77: 40644070, 1995.
28. I. Vendik et al., The superconducting microwave devices based
on S-N transition in HTS films, 27th Eur. Microw. Conf. Proc.,
1997, pp. 909914.
29. Z.-Y. Shen et al., Power handling capability improvement of hightemperature superconducting microwave circuits, IEEE Trans.
Appl. Supercond., 7: 24462453, 1997.
30. Z. Ma et al., RF power dependence study of large area YBCO thin
films, IEEE Trans. Appl. Supercond., 7: 19111916, 1997.
31. T. Dahm and D. J. Scalapino, Theory of intermodulation in a superconducting microstrip resonator, J. Appl. Phys., 81: 2002
2009, 1997.
32. O. G. Vendik, I. B. Vendik, and T. B. Samoilova, Nonlinearity of
superconducting transmission line and microstrip resonator,
IEEE Trans. Microw. Theory Tech., 45: 173178, 1997.
33. S. H. Talisa et al., Low- and high-temprature superconducting
microwave filters, IEEE Trans. Microw. Theory Tech., 39: 1448
1454, 1991.
34. W. G. Lyons et al., High-Tc superconductive microwave filters,
IEEE Trans. Magn., 27: 25372539, 1991.
35. W. G. Lyons and L. H. Lee, Accuracy issues and design techniques for superconducting microwave filters, Comput.-Aided Des.
Supercond. Microw. Components Workshop, IEEE Int. Microw.
Symp., 1994. The authors are with Lincoln Laboratory, Massachusetts Institute of Technology.
36. D. Zhang et al., Compact forward-coupled superconducting microstrip filters for cellular communications, IEEE Trans. Appl.
Supercond., 5: 26562659, 1995.
37. G. L. Matthaei and G. L. Hey-Shipton, Novel staggered resonator
array superconducting 2.3-GHz bandpass filter, IEEE Trans. Microw. Theory Tech., 41: 23452352, 1993.
38. D. G. Swanson, R. Forse, and B. J. L. Nilsson, A 10 GHz thin
film lumped element high temperature superconductor filter,
IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 11911193.
39. D. G. Swanson and R. Forse, An HTS end-coupled CPW filter at
35 GHz, IEEE MTT-S Int. Microw. Symp. Dig., 1994, pp. 199202.
40. A. Vogt and W. Jutzi, An HTS narrow bandwidth coplanar shunt
inductively coupled microwave bandpass filter on LaAlO3, IEEE
Trans. Microw. Theory Tech., 45: 493497, 1997.
41. M. J. Scharen et al., Filter subsystems for wireless communications, IEEE Trans. Appl. Supercond., 7: 37443749, 1997.
42. R. R. Mansour et al., Design considerations of superconductive
input multiplexers for satellite applications, IEEE Trans. Microw.
Theory Tech., 44: 12131228, 1996.
SALVADOR H. TALISA
Northrop Grumman Corporation
SUPERCONDUCTING HIGH-ENERGY PARTICLE DETECTOR MAGNETS. See HIGH-ENERGY PHYSICS PARTICLE DETECTOR MAGNETS.
SUPERCONDUCTING LEVITATION
729
SUPERCONDUCTING LEVITATION
The levitation of a permanent magnet over a superconductor
is one of the basic tests of superconductivity, and it is a sight
that has inspired the investigation of applications not possible with any other technology (15). In this article, I briefly
review the fundamental physics of superconductor levitation,
discuss basic levitational phenomena and the features of superconductor levitation pertinent to bearings, and mention
some possible applications of superconductor levitation, with
emphasis on high-efficiency flywheel energy storage.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
730
SUPERCONDUCTING LEVITATION
STABLE LEVITATION
In its simplest form, a superconducting levitational system
comprises a permanent magnet levitated in a stable position
over a superconductor. This stability is in stark contrast to
most magnetic systems, which are statically unstable. Earnshaw showed that there is no stable, static 3-D arrangement
of a collection of poles (electric, magnetic, or gravitational)
whose magnitudes do not change and which interact via a
1/r2 force law (6). Braunbek extended the result to show that
no stable static configuration exists when paramagnetic or
ferromagnetic material is included in the arrangement (7).
These results collectively are often referred to as Earnshaws
theorem. Earnshaws theorem is grasped intuitively by most
people when they release a permanent magnet next to the
ferromagnetic door of their refrigerator. The magnet moves to
stick to the door, or it falls on the floor. It does not hover in
space near the point where it was released.
Diamagnetic materials, such as superconductors, are not
governed by Earnshaws theorem, and they enable the possibility of creating stable levitation systems. One of the fundamental properties of superconductors is their tendency to exclude magnetic flux from their interiors. This exclusion of
magnetic flux (the Meissner effect), makes them behave like
strong diamagnets. Accordingly, a superconductor with a permanent magnet positioned close above it, as shown in Fig.
1(a), develops a shielding current, which excludes flux so that
the actual magnet sees its mirror image.
More specifically, if the magnetization of a permanent
magnet is in the vertical direction, with its north pole down,
the image is also vertically magnetized, but with its north
pole up, exerting a repulsive force on the real magnet. The
closer the magnet moves to the superconductor, the stronger
the repulsive force. The farther away the magnet moves, the
weaker the force. In fact, if the magnet flips over so that the
opposite (south) pole faces the superconductor, the screening
currents in the superconductor will reverse so as to reverse
the magnetization of the image in the superconductor, maintaining the repulsive interaction. This setup yields levitation
stable in the vertical direction. Horizontal stability is obtained if the superconductor is given a concave shape, so that
vertical superconducting walls are formed around the magnet, as first demonstrated by Arkadiev using lead, a Type-I
superconductor, in which magnetic flux is totally excluded
from the interior (8).
From a technological viewpoint, the most useful superconductors are usually Type-II superconductors, in which, above
a first critical field, Hc1, it is energetically favorable for magnetic flux to enter the interior of the superconductor in discrete localized regions that become normal (i.e., not superconducting) with each region of flux surrounded by a small vortex
of superconducting shielding current. In Type-II superconductors, the stability of the levitational phenomena resulting
from the diamagnetic response is greatly enhanced by the additional phenomena resulting from flux pinning, shown in
Fig. 1(b). A flux pinning center is a nonsuperconducting region, such as an inclusion, crack, or other crystalline defect.
Because the superconducting region surrounding the nonsuperconducting center is strongly inclined to exclude magnetic
flux, a magnetic flux line through the center often becomes
trapped there. When a sufficient number of flux lines is
trapped in the superconductor, the permanent magnet re-
Permanent
magnet
Shielding
current
Superconductor
Image of
permanent
magnet
(a)
Permanent
magnet
Superconductor
Pinning centers
(b)
Attractive
lateral force
Permanent
magnet
s
n
Repulsive
levitation force
Diamagnetic
shielding currents
Superconductor
Trapped flux
(c)
Figure 1. Schematic diagrams of levitation basics: (a) diamagnetic
response; (b) flux pinning; (c) flux trapping.
SUPERCONDUCTING LEVITATION
Interest in the potential of superconductor levitation in various applications greatly increased with the discovery of superconductors whose critical temperatures (i.e., temperatures
at which they transit from the normal state to the superconducting state) exceeded the boiling point of nitrogen. Although one could create a superconducting wire magnet for
levitation, most of the present efforts involve the use of bulk
superconductors or thin-film superconductors. Unlike superconducting wire applications, in which the supercurrent must
pass from grain to grain along quite a distance, the supercurrent for levitation applications needs to circulate only within
individual grains.
The present material of choice for superconducting levitation is Y-Ba-Cu-O (YBCO) because it exhibits a high magnetic
irreversibility field at liquid nitrogen temperatures and has
the ability to grow large grains. In addition to the two temperature-dependent phase-transition fields, Hc1 and Hc2, all superconductors have a magnetic irreversibility field, Hirr, that
lies between Hc1 and Hc2. Hirr is the field at which the magnetization M as a function of applied field H is no longer doublevalued (11). For the low-temperature superconductors NbTi
and Nb3Sn, Hirr is extremely close to Hc2, and there is no important distinction between them. At higher temperatures,
thermal activation is much greater, which leads to easier flux
motion near Hc2 for HTSs. Hirr marks a phase transition between the region where magnetic flux is solidly pinned in the
superconductor and the region where flux may move. Sometimes the curve is said to denote the boundary between the
region where flux is frozen and the region where flux is
melted. Of all the known HTSs, YBCO has a relatively low
critical temperature of 92 K, but it has the highest irreversibility curve at 77 K and lower temperatures. For stable levitation, it is important that the flux be frozen in the superconductor. Otherwise, the permanent magnet would slowly lose
levitation height.
The magnetization of the superconductor is proportional to
the product of the critical current density and the grain diameter. Large grain diameters are important to achieve sufficiently large magnetizations for useful levitation forces. In
bulk materials, the grains grow to diameters of several centimeters when made by a melt-texturing process (12). In the
present state of the art, the upper limit of the grain diameter
produced by this process is about 10 cm. The ability to produce good-quality YBCO thin films is also limited to about
this size.
LEVITATIONAL PHENOMENOLOGY
If the permanent magnet is pulled hard enough to the side or
vertically, it is possible to move one or more of the trapped
flux lines into new pinning centers and so change the equilibrium position. Such a change results in a hysteretic effect in
the levitational force and an associated energy loss if it occurs
in a cyclic pattern. To explore the hysteretic effect, it is convenient to divide the behavior into two processes. The first is
called field-cooled. It occurs when the superconductor is
cooled below its critical temperature while there is a substantial magnetic field present, that is, the permanent magnet is
close to the superconductor. Field cooling produces less repul-
sive levitation force but can be used to make an attractiveforce bearing. The second is called zero-field-cooled. It occurs
when the superconductor is cooled below its critical temperature in the absence of a magnetic field, that is, when the permanent magnet is far from the superconductor. Zero-field
cooling results in the largest repulsive force but may be practically inconvenient, because it requires the cooling of the superconductor prior to the assembly of the bearing.
The hysteretic nature of the levitational phenomenon for
movements in the vertical direction is illustrated in Fig. 2. In
this example, a cylindrical, vertically magnetized permanent
magnet was kept with its bottom surface at a height of 10.0
mm above the top surface of a cylindrical YBCO superconductor while the YBCO was cooled, essentially a zero-field-cooled
condition. Then the magnet was slowly brought down to a
position 1 mm above the superconductor, and the force was
measured at various points along this first descent. Then the
magnet was moved away from the superconductor, back to its
original zero-field-cooled position. Then it followed a second
descent during which a minor reversal of 0.4 mm was made
at a distance of 2.0 mm. A second ascent was identical with
the first, and a third descent was identical with the second,
etc. As seen in Fig. 2, the force during the first descent is
always larger than the force during the second. Upon reversal
from 1 mm during the first ascent, the force drops very
quickly and even becomes negative, indicating an attractive
force. A combination of the first ascent and second descent
forms a major hysteretic loop, and the area under the curve
is equivalent to the hysteretic energy loss. The width of the
minor loop is much smaller than that of the major loop. Thus,
the superconductor acts as a nonlinear damper, and the
damping coefficient increases with amplitude. From Fig. 2, it
is also clear that the slope of the minor loop, which represents
the magnetomechanical stiffness of the system, is considerably higher than that determined from the major loop.
A feature of the first descent is that over several millimeters above the superconductor surface, the force is exponential with distance, as shown in Fig. 3. In practice, it is difficult
to measure the force immediately above the superconductor,
partly because surfaces are not flat, but mainly because the
surface is usually covered with liquid nitrogen. The exponential behavior shown in Fig. 3 allows extrapolating the force to
35
1st descent
1st ascent
2nd descent
minor loop
30
Levitation force (N)
SUPERCONDUCTOR LEVITATORS
731
25
20
15
10
5.0
0.0
5.0
8
6
Distance (mm)
10
12
732
SUPERCONDUCTING LEVITATION
10
Levitation force (N)
Fo(N)
13.2
15.5
9.3
10.7
10.5
15.3
1.0
SUPERCONDUCTING BEARINGS
0.10
0.0
5.0
10.0
15.0
Height (mm)
Figure 3. Levitation force versus distance on first descent between
reference magnet and several superconductors, showing the levitation
force extrapolated to zero height.
If the azimuthal homogeneity of the magnetic field of the permanent magnet is high, for example, if the magnet is a cylinder with uniform magnetization throughout, the levitated
magnet rotates freely above the superconductor. As long as
the distribution of magnetic flux in the superconductor does
not change, rotation encounters no resistance. If the magnet
is spinning, the hysteretic loss in the superconductor decreases the rotational rate.
In an electromechanical system, such as a magnetic bearing, the parameters of interest are the levitational force, stiffness, damping, and rotational loss. The 280 kPa levitational
pressure is lower than that achievable in a conventional electromagnetic bearing (1 MPa) and significantly lower than
that typically achieved in mechanical roller bearings (10
MPa). The amount of mass levitated directly depends on the
number and size of permanent magnets and superconductors
available. In the present early period of technological development for superconducting bearings, several laboratories have
stably levitated masses greater than 100 kg.
In practical superconductor bearings, the low levitational
pressure available in the interaction between the permanent
magnet and the superconductor is often augmented by various hybrid schemes in which interactions between pairs of
permanent magnets provide the bulk of the levitational force.
These interactions are unstable, as Earnshaws theorem predicts, but the inclusion of a properly designed superconducting component in the bearing is sufficient to stabilize the
complete bearing. Augmentation takes the form of an Evershed-type design, in which a pair of permanent magnets is in
attractive levitation, employs permanent magnets in repulsive levitation, or uses active magnetic bearings (5).
The hysteretic nature of a superconducting bearing also
makes damping of translational motion amplitude-dependent.
For low-amplitude vibrations, damping is small, but quickly
increases as the vibrational amplitude increases. This hysteretic nature of the HTS bearing thus contributes to the robustness of the system. The hysteretic nature also results in
a larger uncertainty of the equilibrium position of the rotor
than is typical in most rotating machinery. This uncertainty
requires larger running gaps between moving and stationary
parts.
The ease with which a permanent magnet spins, when levitated over a superconductor, and the absence of contact between the surfaces, produce the illusion that the rotation is
frictionless. In reality, small magnetic losses gradually slow
the rotation. The losses are primarily the result of azimuthal
inhomogeneities in the magnetization of the permanent magnet, which produce hysteretic loss in the superconductor. Typically, in permanent magnets with the best homogeneities, at
a fixed radius above the rotating surface, the amplitude of the
SUPERCONDUCTING LEVITATION
Coefficient of friction
0.0010
0.00010
105
106
107
20
40
60
80
100
120
733
APPLICATIONS
The availability of superconducting bearings that are so
nearly friction-free naturally leads to their consideration for
flywheel energy storage. Flywheels with conventional bearings typically experience high-speed idling (i.e., no power input or output) losses of the order of about 1% per hour. With
superconducting bearings, it is believed that losses as little
as 0.1% per hour are achievable. When coupled with efficient
motors/generators and power electronics (capable of losses as
low as 4% on input and output), the potential exists for constructing flywheels with diurnal storage efficiencies of 90%.
Probably only one other technology is capable of achieving
such high diurnal storage efficiencies: large superconducting
magnetic energy storage, which employs superconducting
coils hundreds of meters in diameter.
Electric utilities have a great need for inexpensive energy
storage, such as flywheels, because their inexpensive baseload
capacity is typically underutilized at night and they must use
expensive generating sources to meet their peak loads during
the day. A distributed network of diurnal-storage devices
could also make use of underutilized capacity in transmission
lines at night and add robustness to the electric grid. These
factors are expected to become more important in the coming
deregulation of the electric utility industry. Efficient energy
storage would also be beneficial to renewable energy technologies, such as photovoltaics and wind turbines.
With modern graphite fiber/epoxy materials, the inertial
section of a flywheel rotates with rim speeds well in excess of
734
JOHN R. HULL
Argonne National Laboratory
734
SUPERCONDUCTING MAGNETS
FOR FUSION REACTORS
The magnetic confinement of plasma is the most promising
option to use controlled nuclear fusion as a power source for
future generations. A number of different magnetic field configurations have been proposed to achieve plasma ignition, all
requiring high field strength over a large volume. Most of the
experimental machines use conventional, copper windings operated in pulsed mode, to investigate the plasma physics. The
advanced plasma experiments, as well as the future fusion
reactors, call for long confinement time and high magnetic
field, which can be reasonably maintained only by superconducting coils.
Unlike other applications of superconductivity, for fusion
magnets there is no normal conducting alternative: whenever a magnetic confinement fusion power plant will operate,
it will have superconducting windings. For this reason, fusion
magnets are an important, long-term factor in the market of
superconducting technology. Today, for NbTi-based conductors, fusion is a nonnegligible share of the market, with over
50 t of strand recently used for the LHD and about 40 t committed for W7-X. For Nb3Sn technology, two large devices, the
T-15 tokamak and the ITER model coils, have used most of
the conductor ever produced (each about 25 t of strand), being
the driving input for the development of high performance
Nb3Sn strands.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
735
Tokamak T-7
Tokamak T-15
MFTF (all coils)
TRIAM
Tore Supra
LHD-Helical (2 coils b )
LHD-Poloidal (6 coils)
Wendelstein 7-X
Strand
Weight (t)
Conductor/
Cooling a
Stored
Energy (MJ)
Peak
Field (T)
Operating
Current (kA)
1
25
74
2
43
10
43
37
NbTi/FF
Nb 3 Sn/FF
Nb 3 SnNbTi/pool
Nb 3 Sn/pool
NbTi/pool 1.8 K
NbTi/pool 4.5(1.8)K
NbTi/FF
NbTi/FF
20
795
1 000
76
600
930 (1 650)
1 980
600
5
9.3c
212.75
11
9
6.9 (9.2)
56.5
6
6
5.6c
1.55.9
6.2
1.4
13 (17.3)
20.831.25
16
FF forced flow.
Operation at superfluid helium is planned at a later stage.
c
Design values, achieved on single coil test.
a
b
The first use of superconducting coils in experimental fusion devices dates back to the mid-1970s. In the last twentyfive years, six sizable devices for magnetic plasma confinement have been built with superconducting coils (see Table
1): T-7 and T-15 in the former Soviet Union, MFTF in the
United States, TRIAM and LHD in Japan, and Tore Supra in
France. In Germany, Wendelstein 7-X is under construction.
Moreover, a number of developmental and prototype coils
have been tested in the scope of large international collaborations (large coil task, demonstration poloidal coils, ITER
model coils).
The operating requirement for fusion magnets may vary
over a broad range, depending on the kind of confinement and
the size of the device (1), for example, from medium-field,
pure dc mode in the helical coils of the stellarators, to the
high-field, fast rate in the central solenoid of the tokamaks.
There is no general recipe for the magnet design, but a few
common issues can be identified. Long-term reliability calls
for a conservative component design and generous operating
margins. The maintenance by remote handling in a nuclear
environment imposes strong restrictions to either repair or
replacement of individual parts. Safety regulations are also a
major issue for superconducting magnets in a fusion reactor:
the design must account for any likely or less likely failure
mode of the coil system and provide that it will not turn into
a nuclear-grade accident. Last but not least, the cost of the
magnets, which is a large fraction of the reactor cost, must be
contained to be commercially competitive with other power
sources.
Only low-temperature superconductors have been considered to date for use in fusion magnets at field amplitudes up
to 13 T. A substantially higher field, which would make attractive the use of high-temperature superconductors, is not
likely to be proposed as the electromagnetic loads, roughly
proportional to the product of field, current and radius, already set a practical limit for structural materials. It may
sound surprising that the actual superconducting material
cross-section is mostly smaller than 5% of the overall coil
cross-section. The choice between NbTi and Nb3Sn conductors
is dictated by the operating field. The upper critical field of
NbTi conductors is 10 T at 4.5 K and 13 T at 1.8 K.
According to the design current density and the temperature
margin, the operating field is set at least 3 T to 4 T below
the upper critical field. In the conservatively designed fusion
magnets, the peak field for NbTi conductors is up to 9 T for
736
Mirror Devices
Tokamaks
Figure 1. The Yin-Yang coils being assembled at one end the mirror
fusion test facility (courtesy of C. H. Henning, Lawrence Livermore
National Laboratory).
A/mm2 to 0.6 A/mm2. The current density over the coil crosssection is over one order of magnitude smaller.
In the nonsteady-state tokamak machines, the normal operating cycles and the occasional plasma disruption set additional, challenging requirements, in terms of mechanical fatigue of the structural materials and pulsed field loads on the
superconductors.
A1
West
East
A20
T1
S1 S3 S5
S2 S4 S6
A21
T2
M1
M2
737
12.4
3
11
1.8
5
2.1
12.5
6.5
Figure 4. The 24 double pancakes of T-7 in the final assembly (courtesy of V. Keilin, Kurchatov Institute).
5.2
6.9
Figure 3. Conductors for the MFTF magnets, from left to right: NbTi square conductor with enhanced wet surface for the M, T,
A1, and A20 coils, NbTi conductor for the S
solenoids, react and wind Nb3Sn conductor
for the A21 insert. (See Fig. 2 for coil identification.)
738
Cu pipe, = 2 mm
Cu pipe, = 4 mm
28 mm
6.5
mm
4.5 mm
epoxy bottoms. The ground insulation is obtained by overlapped prepreg plates. A 2-mm-thick steel case is shrink-fitted to the winding and contains the atmospheric, 1.8 K He
bath. A thick steel case, with thermal insulation, is shrinkfitted and cooled at 4.2 K. The conductor is a rectangular
NbTi/Cu/CuNi multifilament composite, 2.8 5.6 mm,
wound on the short edge to minimize the ac loss from the
poloidal field variation. The temperature margin is 2.5 K,
with Tcs 4.25 K at 1400 A, 9 T peak field. Little copper cross
section is used in the conductor: for stability, the He bath
enthalpy up to the point is available, due to the very high
thermal conductivity of He II. The heat exchanger is placed
underneath the coil case, open only at the bottom. In case of
quench, a He gas pressure builds on the top of the case/cryostat, and siphons the whole He volume within 3 s through the
bottom opening, providing a very fast quench propagation and
limiting the hot spot temperature below 80 K. In 1988, about
six months after first operation, an interpancake short occurred at one coil during a fast discharge, with 1.5 kV across
the coil and 60 V across pancakes, well below the expected
Paschen minimum for helium. The damaged coil was later
replaced with a spare coil and the dump voltage was decreased to 500 V in order to limit the pancake voltage to 20
V, which was experimentally assessed as the safe threshold
to avoid interpancake discharge. The poloidal field variations
and the plasma disruption result in a temperature increase
in the He II bath as small as 0.01 K.
Besides the four above described tokamaks, a number of
prototype superconducting coils have been built under na-
Figure 6. The 24 Nb3Sn coils of T-15 assembled with the 12 horizontal ports (courtesy of V. Keilin, Kurchatov Institute).
tional or international auspices. The demonstration coils provide valuable opportunities to learn about magnet and conductor technology. In such projects, the pressure for a
conservative design is less strong and the performance margins can be better explored than in a plasma experimental
device. In the IEA Large Coil Task at the Oak Ridge National
Laboratory (8), six large D-shaped magnets, 3 3.5 m bore,
have been built to the same common specification using substantially different design approaches (see Table 2). The coils,
assembled as a tokamak (see Fig. 9), operated (19841985) at
the same design point (8 T peak field) with margins ranging
from 120% to 140%. For the first time, a cable-in-conduit
Nb3Sn conductor (react and wind coil manufacture) was used
in a large-scale application and, despite the broad resistive
transition observed in selected coil sections (similar to T-15
behavior), the coil reached 8 T with Tcs 8 K. The cryostable
conductors for the bath-cooled coils (GD, GE, JA) could be easily graded (both layer and pancake windings) and, using soldered copper profiles as stabilizers, achieved impressive results in terms of effective use of strand: from 1.4 t of strand
in the GE conductor to 8.2 t in the forced-flow conductors of
EU (NbTi) and WH (Nb3Sn), cooled by supercritical helium at
3.8 K, 10 bar to 15 bar. However, two out of three pool cooled
coils could not be dumped to the design voltage of 1 kV. The
nuclear heat load was simulated by heaters on the inner radius. The poloidal field coil variations were reproduced by a
pulsed coil traveling inside the torus: the pulsed field test,
with B 0.1 T, B 0.14 T, to 1 s, could be completed
only for three coils (JA, CH, EU). Over 90% of the stored energy could be dumped in the external resistors, except for the
WH coil, with short circuited radial plates.
At the same time of the LCT project, two small-size experiments with D-shaped coils were carried out at the Forschungszentrum Karlsruhe (Germany) and at Toshiba (Japan).
The six toroidal coils of TESPE at Karlsruhe (9) have a 0.5
0.6 m bore and are pool-cooled at 4.2 K (8 t total cold mass).
The coils are wound as double pancakes, shrink-fitted in steel
housings insulated by glass epoxy laminate. The steel case is
electron-beam welded and serves both as liquid helium container and mechanical reinforcement. The conductor is a soldered flat cable of 24 multifilament NbTi strands 1.45
mm, operating at 7 kA, with a peak field of 7 T. The TESPE
torus was first operated in 1984 with a test program focused
on mechanical load and high-voltage safety issues. The double
pancake built by Toshiba (10) in 1983 had a Nb3Sn cable-inconduit conductor, 18.3 15.7 mm, with 486 strands, encased
into a 1-mm-thick 316L steel jacket. The D-shaped coil, 1.1
0.9 m, was wound after heat teatment and tested at 10 kA,
EB welded,
Cu housing
Al stabilizer
Nb3Sn composite
Cu housing
739
CuNi cladded
Al stabilizer
18
14
7.1
3.3
12.5
10.5
NbTi strands
Channels 4.2 K
Thick
case
Polyimide-alumina
chocks
Thin case, 1.8 K
Ground insulation
Glass-epoxy chocks
Figure 8. Winding pack layout for the pool-cooled, NbTi toroidal field
coils of Tore Supra (courtesy of B. Turck, Tore Supra).
PbSn solder
Figure 7. Soldered monolithic conductors, stabilized with high-purity aluminum profiles, for
TRIAM (left) and the helical coils of LHD (right).
740
GE
Winding type
14 layers
3 grades
Cooling method
Conductor
Pool boiling
Soldered flat
cable, on edge
Pool boiling
Divided flat cable
Non-Cu J op
Winding J op
He inventory
Total weight
SC strand
Test voltage
586 A/mm 2
27.4 A/mm2
1320 1
43.9 t
2t
2 kV
525 A/mm2
24.7 A/mm2
1735 1
38.6 t
1.4 t
2.5 kV
WH
CH
EU
JA
4-in-hand, 12
double pancakes
Forced flow
Nb 3 Sn cable-inconduit
22 pancakes
Forced flow
Square, soldered
cable
Pool boiling
Soldered flat
cable, on edge
265 A/mm2
20.1 A/mm2
440 1
33.7 t
8.2 t
9.2 kV
302 A/mm2
30.1 A/mm2
110 1
41.7 t
3.5 t
10 kV
Forced flow
Divided, flat
cable-inconduit
393 A/mm2
25.7 A/mm2
663 1
39 t
8.2 t
12 kV
327 A/mm2
26.6 A/mm2
1425 1
39 t
2.6 t
3 kV
741
DPCEX (1988)
LCTWH (1981)
USDPC (1988)
DPCTJ (1988)
Toshiba (1983)
Polo (1987)
W7X (1996)
20 mm
LHDOV (1994)
ITER CS (1995)
Figure 10. Selection of cable-in-conduit superconductors, drawn to the same scale. The strands
of Polo, LHD-OV and W7-X are NbTi, all the
other are Nb3Sn strands. The jacket material is
steel except for ITER (Incoloy) and W7-X (aluminum alloy).
duce the resin volume fraction and select the resin composition to minimize the gas evolution rate. On the other hand,
there is a broad reluctance to start an expensive and timeconsuming task for the industrial development of innovative
insulation systems, which will be actually needed only when
a fusion reactor will work at full power on a time scale of
several years. The full replacement of organic insulation systems by ceramic materials with adequate mechanical properties may be the ultimate, long-term goal to solve the issue of
the electrical insulation in the heavily irradiated fusion
magnets.
Quench Protection
In case of quench, the huge amount of energy stored in a fusion magnet must be actively dumped in an outer resistor. If
a quench fails to be detected, the ohmic power locally dissipated in the slowly expanding normal zone is sufficient within
one minute or less to melt the conductor and start a chain of
serious failures (vacuum break, electric arc, mechanical collapse). A number of quench detectors have been developed
and are currently applied in superconducting magnets, from
the easy ones (voltage balance of different winding sections,
monitoring of outlet mass flow rate) to the most sophisticated,
including the laser interference on optical fibers used as distributed thermometer, transmission, and reflection of super
high-frequency waves in the coolant channel, acoustic emission, magnetization change at the normal zone (21). However,
a redundant and intrusive instrumentation is not welcome in
a fusion reactor, as it may increase the risk of leaks and insulation failure, due to the large number of feedthrough required. Whatever the quench detector is, the ultimate question always arises: What happens if the active quench
protection fails? The design approach for an actual fusion
magnet (i.e., not for an experimental device) will need to offer
both a reliable and robust quench-protection system and a
conductor/magnet layout that intrinsically limits the damage
in case of failure of the protection system, for example, enhancing the quench propagation and the enthalpy at intermediate temperature.
Cost Optimization
Figure 11. Winding tool with 13 numerically controlled axes for the
helical coils of the LHD (courtesy of K, Takahata, NIFS).
742
signer, while the cost of the device does not play a major role.
However, after completion of the demonstration phase for the
fusion magnets, the cost optimization will be a key issue for
the commercial success of fusion. On one side, the behavior of
the superconductor needs to be mastered by the designer (e.g.,
ac loss, stability, mechanical properties), in order to set the
design margins at a safe but realistic level and make effective
use of the expensive superconductors. On the other hand, the
choice of the manufacturing methods and tooling may have a
very strong impact on the cost of the coil and should be included as a driving factor in the design. Two examples are
given to show how a design choice may affect the cost.
A high electrical conductivity material (stabilizer) needs to
be added to the superconductor cross-section, to allow effective current-sharing and fast recovery for small thermal disturbances. The required stabilizer cross-section may be much
larger than the superconductor. In cable-in-conduit conductors, the straight choice is to equally distribute the stabilizer
cross-section in each superconducting strand, specifying a
high Cu : non-Cu ratio. However, the cost of the Nb3Sn strand
is independent of the copper ratio. If the designer masters the
mechanism of the current-sharing among strands and knows
the operating values of the interstrand resistance, he or she
may select a much smaller Cu : non-Cu ratio in the Nb3Sn
strand and add extra copper wires in the strand bundle.
Keeping the same superconductor cross-section, that is, without affecting the operating margins, the amount of Nb3Sn
strand can be significantly reduced with a large cost saving.
A Nb3Sn conductor needs a heat treatment at 650C to
700C to form the brittle intermetallic composite by solidstate diffusion. If the designer does not master heat resistant
electrical insulation systems, he or she will conservatively
choose to first heat-treat the conductor and then insulate it
and wind in the final shape (e.g., react and wind or wind and
react and transfer methods). As the Nb3Sn after heat treatment is degraded for permanent deformation as large as 0.2%
to 0.3%, the handling for post-heat treatment insulation and
final assembly requires sophisticated tooling and continuous
adjustment (e.g., shimming of each turn) to achieve the required tolerance with minimum strain on the conductor. If a
reliable insulation system is selected, compatible with the
heat treatment procedure, the coil can be wound in the final
form and to the final tolerance before the heat treatment
PIERLUIGI BRUZZONE
Centre de Recherches en Physique
du Plasma
743
where
Bo = excitation field component
K = armature ampere turn loading
ro = mean armature radius
L = active length
N s = total series turns per phase
kd = winding factor
1
The excitation field is created either by permanent magnets or by current flow in a winding. Permanent
magnets are limited in magnetic induction and are made of very expensive materials, but they are not dissipative. They are well suited particularly for small machines (kilowatt range). The currents in a conventional
conductor produce heat through the Joule effect (R i2 where R is the resistance and i the current), dissipating
energy. The current capacity is hence limited by the ability to remove heat. Better cooling conditions increase
the current capacity but reduce the efficiency. The current density (current per unit cross-sectional area) is then
limited by thermal and economic factors. The allowable current density in copper is on the order of amperes
per square millimeter (5 MA/m2 to 10 MA/m2 ). With such values the amounts of conductor required to produce
magnetic fields without magnetic materials are large, leading to huge Joule losses. Thanks to the peculiar
properties of soft magnetic materials (high relative permeability), the total current (ampere turns) required to
produce a given magnetic induction is greatly reduced. For this reason practically all electric machines have a
magnetic circuit with slots where the windings are embedded.
The armature ampere-turn loading is limited by Joule losses and by the current density allowable in the
conductors, because the space they can occupy is limited.
The magnetic circuit has other advantages than the reduction of the excitation current. It confines the flux
within the machine and reduces the stray field to negligible levels. It also prevents magnetic disturbances to
other equipment. The magnetic circuit is also very useful from a mechanical point of view. When the conductors
are inserted into slots they are subjected only to a reduced electromagnetic force, since the field concentrates
itself in the teeth. The electromagnetic force is mainly applied at the interface between the slots and the
magnetic teeth. The torque is then essentially supported by the magnetic circuit and not by the conductors. The
reduced mechanical stresses on the conductors are an important advantage, because the mechanical strength
of copper is low. In a slotted structure, no special care need be taken in order to reduce the eddy-current losses
in the conductors, since they only see low fields. Without magnetic teeth, a strong mechanical support structure
must be provided in order to sustain all the electromagnetic torque, and the conductors should follow the finely
divided Litz wire configuration to avoid large eddy-current losses. However, the magnetic circuit is heavy, the
increased magnetic induction it provides is limited by saturation, and it creates pulsating torques, because the
alternation of magnetic teeth and slots produces local magnetic variations. The magnetic teeth also reduce the
space available for conductors and thus the armature ampere-turn loading. The slotted structure is also not
convenient for insulation, so that the maximum voltage is limited (to about 30 kV).
Superconducting materials show promise for electric machines because they offer the possibility to increase both the excitation field and the armature ampere-turn loading (2,3). Superconductors are particularly
convenient for producing magnetic fields that are constant in time. Since the current densities in superconductors can be very high (up to a hundred times the allowable value in copper, i.e., hundreds of megamperes per
square meter), the required quantity of conductor to produce a given field is greatly reduced from that with
conventional conductors, even without the help of magnetic materials. The magnetic circuit is usually nearly
removed when using superconductors. Magnetic materials are in general used only to form a magnetic shield
in order to avoid large stray fields outside the machine. Current maintenance in a superconducting winding
does not cost any energy, due to the absence of losses for constant current and constant external field. The
disappearance or large reduction of the magnetic circuit leads to a light and saturation-free structure with
Fig. 1. Schematic cross sections of ac generators. (a) classical; (b) superconducting field winding; (c) fully superconducting.
more active space for conductors and insulation materials. The ampere-turn loading and the voltage can then
be increased. The absence of iron teeth will decrease vibration by suppressing torque ripples. Acoustically very
quiet electric machines can be designed.
However, the torque is applied directly to the conductors. They must therefore be supported by a suitable
structure. An armature without magnetic teeth subjects the conductors to large forces at twice the frequency
of rotation, which must be restrained by novel means of support for which high reliability must be maintained. Figure 1 shows the main differences between a conventional machine and superconducting ones (for
synchronous machines).
The weak point of a conventional machine is in general its insulation, which degrades badly with time. It
is very sensitive to thermal cycling, and overheating strongly affects its lifetime. A cryogenic system is hence
very favorable from this point of view: it almost completely avoids thermal cycling in operation. Moreover, at
low temperatures all aging process are slowed down. The cryogenic components of superconducting machines
should thus last longer, particularly if the machine remains at low temperature. Numerous thermal cycles
from room temperature to cryogenic temperature must be avoided. Furthermore, they are costly in time and
energy.
The very high current densities in superconductors make them very attractive for the armature by
increasing the ampere-turn loading. However, the armature currents are in general alternating, so that losses
appear in the superconductors. This is an important disadvantage in a cryogenic environment. In order to
Fig. 2. Engineering critical characteristics of superconducting materials and wire cross sections.
discuss this point and for the sake of completeness, some information about superconducting wires (materials
and ac losses) will be given in the following sections.
economic development. Their cost must be lowered to 10 $/kAm to be competitive (4). At present it is nearly
50 times higher.
There are two main routes to fabricate HTS wires. The more advanced one is the (powder-in-tube) (PIT)
technique (5,6) based on bismuth-compound filaments embedded in a silver or silver alloy matrix (Fig. 2).
Lengths of BiPIT tapes as long as 1 km are produced routinely by several companies throughout the world,
and their typical critical current densities are shown in Fig. 2. Still higher critical current densities are obtained
on small samples (J c = 760 MA/m2 at 77 K, 0 T; J e 250 MA/m2 ). Some specialists think nevertheless that the
limits have almost been reached. The pure silver matrix unfortunately is not suitable for ac applications, due
to the high ac coupling losses, and new PIT wires are under development for ac applications (5) (silver alloys,
resistive barriers, etc.).
The second route consists of so-called coated conductors (7) and has much potential. Yttrium compounds
are deposited in thick films (a few micrometers) on industrial flexible textured metallic substrates through a
buffer layer. Very good performance has been obtained with these coated conductors, but only for short lengths.
The engineering current density (overall current density including substrate) is large in liquid nitrogen (on
the order of 200 megamperes per square meter at 77 K at present), and its decrease under field is small. A
lot of difficulties must be overcome to fabricate long, high-performance coated conductors, and there is now no
low-cost industrial deposition technique. High quality Y superconductor bulk pellets, up to 100 mm in diameter
(8), have been processed, and they can be used in some special machines (hysteresis, reluctance, trapped-field,
etc.).
Ac losses. One of the most spectacular properties of a superconductor is its absence of resistive losses.
This is true, however, only for non-time-varying electromagnetic quantities (dc conditions). As soon as the
magnetic induction varies with respect to time, ac losses appear in superconducting wires. The magnetic
induction can be external or due to the current in the wire (self-field). The ac losses have two main consequences.
On the one hand, they induce a temperature rise in the superconductor. Since the temperature margin is very
small ( 1 K) for low-T c materials (NbTi for example) such a rise can easily quench the superconducting coil,
that is, destroy its superconductivity. On the other hand, ac losses are very expensive energetically, since they
are dissipated at low temperatures. They therefore greatly reduce the advantage of using superconductors.
From the second law of thermodynamics, the removal of energy at a cold temperature (T c ), requires work at a
high temperature (T 0 ), usually room temperature. For an ideal closed cycle the ratio of the minimum required
work (W min ) at T 0 to the energy (Q) to be removed at T c is given by Carnots expression
(Fig. 3). As shown in Fig. 3, this minimum work increases rapidly at low temperatures. In order to take
into account the real cycle and the imperfections of the thermodynamic transformations, this ratio should be
divided by the efficiency factor of the refrigeration system:
This depends mainly on the cold power and little on the cold temperature (Fig. 3, Ref. 9).
The ratio W min /Q in real conditions [Eq. (3)] is called the specific work, and its reciprocal the coefficient
of performance. To calculate the cost of refrigeration, the losses at low temperature must be multiplied by the
specific work. For an efficiency factor of 10%, it amounts to 740 W/W and 29 W/W for cold temperatures of 4
Fig. 3. (a) Carnots specific work and (b) efficiency factor as functions of the cold power (9).
K and 77 K, respectively. These two figures illustrate the advantage of operating at high temperatures from a
cryogenic point of view and again underlines the interest in using HTSs. The ac loss cost is especially high for
LTSs, and it must be reduced to an ultralow level for the system efficiency to be acceptable.
A simple way to understand the ac losses is to consider the MaxwellFaraday law (curl E = B/t). This
shows that an electric field appears as soon as the magnetic induction varies with time. The induced electric
field associated with a current density (transport current or persistent currents) results in losses. The losses
per unit volume are the scalar product of these two vectors.
If it is not possible to suppress the ac losses, it is possible to reduce them by a suitable multifilament
structure. This will depend on the field configuration (self-field, transverse or axial field), but ultralow-ac-loss
superconducting strands are generally achieved with very fine twisted filaments embedded in a high-resistance
matrix or with resistive barriers between filaments. The strand diameter should be low as well. Ac NbTi wires
have small (< 0.2 mm) elementary strands with hundreds of thousands of filaments ( 0.2 m) in a CuNi
resistive (0.4 m) matrix (Fig. 2). The first NbTi low-ac-loss composites were developed only in the eighties
when the technology for fine filament fabrication was sufficiently developed (10). Those strands have greatly
extended the potential range for superconductivity (11). For high-T c materials the requirements are less
severe, since the cost of removing the ac-loss heat is reduced (29 W/W at 77 K compared to 740 W/W at 4 K).
Nevertheless, no oxide superconducting tape actually fulfils them with present HTS wire technology.
The ac losses explain why superconducting devices are confined to applications with dc current and
without or with time-varying fields, but in the latter case, protected from them.
now run at variable speed with good dynamic performance and with high efficiency and reliability. Ac machines
are simpler to build and require much less maintenance than dc machines. Dc motors are nevertheless still
common in the industrial world for adjustable-speed operations.
Dc machines are homopolar or heteropolar. In a homopolar machine neither the current nor the magnetic
field changes in direction (polarity), contrary to the heteropolar machines, where the magnetic field has an odd
number of poles. Dc machines are complicated by difficulties of current collection from the rotating part. The
problem is especially difficult for heteropolar machines, where complex commutation problems occur. A superconducting field winding suits homopolar dc machines well (12). Efforts have been devoted to superconducting
homopolar machines for marine propulsion in the United Kingdom (12), the United States (13), and China. A
program is still being carried on in the United States with HTS coils (13).
Ac machines are synchronous or asynchronous. Except for very special cases (hysteresis motors, for
example), the asynchronous type is not well suited to superconductive technology, since the torque in an asynchronous motor is directly proportional to the armature losses, whereas the main interest of superconductivity
is precisely the absence of losses. The use of external room-temperature resistances may be imagined, but the
structure then becomes complex and of dubious utility. Moreover, the air-cored structure of such superconducting machines results in a very low power factor, another disadvantage.
In contrast, superconductivity offers advantages of reduced weight and improved efficiency in building
synchronous ac machines. A lot of work has been devoted, throughout the world, to these machines, especially in
the form of large generators with a superconducting field winding and a room-temperature resistive armature
(14). The excitation is powered by a dc current and is subjected to a time-constant field under balanced
synchronous operation. The operation is called balanced when the currents in the phases are the same in
amplitude. Synchronous machines work better in balanced conditions and therefore are usually operated in
such conditions, which are very favorable for superconductors. The superconducting winding is protected by
an electromagnetic shield from time-varying fields during transient or unbalanced operations, to avoid quench
initiation and to reduce the cold-power requirements. The resistive armatures are unconventional in that their
structure is air-cored, with only an external iron shield instead of a slotted magnetic circuit.
New concepts of armature windings have been proposed (15). A superconducting armature is unfortunately problematic due to ac losses (ac currents and rotating fields). It was only in the eighties that superconducting ac armatures were successfully designed and built, thanks to the emergence of ultralow-loss ac NbTi
strands (11). It is still difficult to design an armature using present HTS wires.
All electric machines are based on the formula for the electromagnetic force: F = I l B (I = current,
B = magnetic field, l = elementary wire length). There are then two main configurations:
Homopolar Superconducting Machines. The homopolar machine is one of the oldest types of electric
machines. Faraday built one in 1831. Figure 4 shows disk- and drum-type homopolar machines. If the current
and magnetic field are constant in time, the torque is also constant. The absence of torque ripple makes the
machine acoustically very quiet. Torque or speed control is also easily achievable. Nevertheless, in a homopolar
structure it is not possible to put turns in series as in heteropolar machines, and the current must therefore be
very high to produce a large torque. By the same token, the voltage can be inconveniently small, especially for
low-speed machines. As an example with a rating of 10 MW at 100 rpm, the current reaches about 1,000,000 A
in a disk 2 m in diameter submitted to a field of 2 T (upper limit of conventional machines) and the voltage only
reaches 10 V, neglecting any losses (disk and brushes). The high currents must be transferred to the rotating
part, and that is the main problem occurring in homopolar machines, leading to large losses and short lifetimes.
Their development has consequently been limited, although some advances have been made (12), with the use
of solid brushes as well as liquid-metal contacts.
Fig. 4. Essential schemes of (a) disk-type and (b) drum-type homopolar machines.
Superconductors are convenient for the excitation of homopolar machines, since high fields (5 T to 6 T) are
achievable. The operational conditions are moreover very favorable for superconductors. The electromagnetic
torque is not supported by the excitation winding, and the field imposed by the armature current on the
excitation wire is reduced. Nevertheless, due to current-collection problems, little development has been carried
out on such machines, except for marine propulsion.
Several such machines were built at the International Research and Development (IRD) Company in the
United Kingdom from the end of the sixties until the beginning of the seventies (12). They were disk machines,
and the rating of the Fawley motor reached 2.4 MW. More recently, the Naval Surface Warfare Center in the
United States developed a 300 kW superconducting (NbTi) homopolar machine of drum type. It was installed
in a boat, the Jupiter II, (13), which in 1980 successfully demonstrated through sea operations the feasibility
of marine propulsion by superconducting machines. The NbTi coils were recently replaced by HTS coils thanks
to advances in those materials.
Ac Synchronous Superconducting Machines. An ac synchronous machine (Fig. 1, Table 1) consists
of a polyphase ac armature interacting with an excitation field. As in other machines, the field can be radial
(drum classical structure) or axial (disk structure). The latter configuration is very little used. Due to serious
problems with current transfer at high ratings, the armature is in general stationary (the stator) whereas
the excitation is the rotating part (rotor). The rotor is nearly always inside the stator. The static polyphase
armature with polyphase balanced currents produces a rotating field, which rotates at the same speed (the
synchronous speed) as the rotating part. The rotor then only sees a dc field under balanced steady-state
conditions. The excitation field is produced either by a winding fed by dc currents or by permanent magnets. In
the latter case no power supply is needed, but the excitation field cannot vary appreciably, and that is a limiting
problem for generators supplying a network with a constant-amplitude voltage. However, permanent magnets
are particularly convenient for motors, since controllable variable frequency power converters are available.
Most electric energy is produced by ac synchronous generators directly connected to the network through
a step-up transformer. The stability of the generator on the power system plays a very important part and
requires a lot of attention. In a network the generators are subject to various disturbances such as changes
of load, and they should rapidly recover stable operation. The stability is partly determined by intrinsic
parameters of the machine, but can be improved by external actions like excitation current control.
For motors supplied by a converter, the stability is determined essentially by the converter and only to a
small extent by the machine itself.
Since synchronous machines play a key role in the production of electricity, they are a subject of constant
innovations and improvements in manufacturing and operation. They have attained very high performance as
electromechanical converters. As an example, the efficiency has been raised to the remarkable level of 99% for
a 1500 MVA rating. The technological limits in terms of power density and efficiency using conventional means
are nearly reached, and only superconductivity can bring a major technological leap.
A superconducting winding can increase not only the excitation field but also the armature ampere-turn
loading, even if this remains resistive. Since the iron structure is no longer necessary with a superconducting
excitation, the iron teeth disappear and more space is available for the armature conductors. This increases
the armature loading by a factor of approximately 2 even with resistive wires. On using superconductors for
the armature instead of resistive wires, the enhancement is still much higher. The following figures give some
orders of magnitude:
10
It must be remembered, though, that unfortunately air-gap armatures subject the conductors to the entire
torque.
A superconducting armature is therefore very attractive, but unfortunately it develops ac losses due to
the alternating currents and the rotating field. With present HTS wire technology these losses are too high.
Only ultrafine NbTi filament ac wires are now available, and they impose a large refrigeration penalty (740
W/W) for operating at 4 K. The relatively recent availability of ac NbTi strands (at the end of the eighties) and
the wish to avoid liquid-helium cryogenics have resulted in only a few successful developments (1619). The
main work has thus been carried out on a superconducting field winding associated with a resistive armature.
Superconducting-Field-Winding AC Machines. The gain in these machines [Fig. 1(b)] is essentially
brought about by the increase of the armature loading and, surprisingly, only a little by the enhancement of
the excitation field. In an air-core configuration the field decreases rapidly with increasing radius. In a 2p-pole
cylindrical structure with an internal excitation concentrated at a radius rf , the field decreases as (rf /r) p+1 (r >
rf ). The field winding and the armature (radius r0 ) cannot be very close, due to the cryogenics vessels and the
thermal and electromagnetic shields. The ratio r0 /rf thus can easily reach 2, leading to a decrease of the field by
a factor of 8 for a four-pole machine. The field on the excitation winding is limited because the electromagnetic
stresses are proportional to the field squared. For this reason the excitation field at the armature is kept at
approximately the same value (around 1 T) in a superconducting synchronous machine as in conventional
machines. The power per unit volume is nevertheless increased by at least a factor of two, thanks to the higher
armature loading. The improvement in weight is larger, since the air-core structure avoids the heavy magnetic
circuit. There is only a small ring of laminated steel around the armature winding in order to reclose the flux
within the machine. People have imagined replacing this magnetic shield by a conducting shield in order to
decrease the armature weight even more (20). Such a shield is based on the eddy currents induced in it. This
solution, favorable from the weight point of view, would decrease the efficiency because the Joule losses of the
induced currents are higher than the iron losses in a magnetic shield.
Savings in volume and weight are not always relevant for stationary applications, and they do not justify
a complete technology change. Indeed, in a power plant the turbine driving the generator is much heavier and
bigger than it. However, a higher power density makes it possible to build machines with higher rating than
conventional technology. This was the main reason for the early investigations into superconducting generators
(see the section Historical Background and Current Trends below).
The 99% efficiency of a 1500 MVA generator is enhanced by about 0.1% to 0.2% due to the absence of
excitation Joule losses. The cryogenic losses even at 4 K are very small, and negligible for high ratings. An
upper limit for the cryogenic losses in a 1500 MVA machine is 200 W (although a reasonable value would be
lower than 100 W). Even with a specific work of 1000 W/W, they represent only 0.013% of the rating. This
improvement may appear very small; nevertheless the savings capitalized over the generator lifetime can
match the initial cost of the machine. However, these savings must not be overwhelmed by higher maintenance
and operating costs. Economic benefits are achievable only if reliability and maintenance are at least as good
as with conventional generators. Indeed, nonoperation during one single day per year will completely cancel
the gain due to lower losses. The reliability question and the uncertainty of a completely new technology are
the two main reasons that have largely deterred the use of superconductivity in electric machines. Reliability is
a key problem for superconducting machines, though numerous superconducting systems have demonstrated
great reliability. The BEBC bubble chamber at CERN has worked 24,000 h with rated current of 5700 A and
has stayed cold during nine years without any intervention. Stationary cryogenics is now a proven technology.
However, present cooling systems for machines, using water and hydrogen, are not simple. Hydrogen requires
in particular a rather complicated seal system and is dangerous.
The loss savings may be much higher for some special drives with low weight requirements, as in mobile
applications. In order to decrease the weight of the conventional electric machines, the current densities are
increased using sophisticated cooling technologies. A consequence is a reduction in efficiency. Electric machines
for mobile systems (space, planes, trains, etc.) are lighter but less efficient than their homologs for stationary
11
applications. As an example let us consider transformers for high-speed trains. Their efficiency reaches in some
conditions only 90%, compared to 98% for conventional transformers, but their weight is reduced by a factor of
two. Superconducting machines are therefore very attractive solutions for mobile applications.
The magnetic circuit close to the conventional armature conductors limits the voltage in general to around
30 kV, much below the level of a transmission network (200750 kV). The generators are hence connected to the
power grid through a step-up transformer. Due to the elimination of the magnetic teeth, it is possible to extend
the voltage upward. A direct connection of the generator to the transmission system can be considered (21).
The elimination of the step-up transformer would result in a simplification of the power generation system,
and in a still higher efficiency. Researches have been carried out along the same lines for the conventional
generators, and concepts for conventional machines operating at transmission-level voltages have been known
for decades, but technical problems are numerous. Recently ABB (Asea Brown Boveri) has presented a new
high-voltage conventional generator offering a direct connection to the power network (22).
Electromagnetic Shields. The superconducting winding is in general surrounded by an electromagnetic
shield that protects the superconducting wire from time-varying fields under transient or unbalanced operations. As soon as it is subjected to external time-varying fields, eddy currents are induced and cancel those fields
(23). The superconducting winding only experiences very attenuated time-varying fields, leading to ultralow
ac losses.
The electromagnetic shield is a conducting cylinder or a squirrel cage. The lower the resistivity of the
shield is, the better its shielding performance is. For this reason shields are often cold, to benefit from the
reduced resistivity of metals at low temperatures.
A very effective shield limits the rate at which the excitation field can be changed, whereas quick changes
are required for transient stability. Compromises are necessary. Also, electromagnetic shields are subject to
very large electromagnetic stresses under severe transients, such as sudden short circuits, and they should be
mechanically designed with great care to withstand those stresses.
For a generator, the electromagnetic shield plays an important part in stability. To damp mechanical oscillations after a perturbation, losses are required and superconductors are not convenient. So the electromagnetic
shield acts also as a damper. Unfortunately, a good shield for the superconducting winding is a bad damper,
because the resistivity must be very small to shield very small field variations. Good damping is achieved by
a shield with a large resistivity, operating in general at room temperature. Consequently the electromagnetic
shield system often consists of several shields at different temperatures. The multishield system protects the
superconductor and damps the oscillations.
Fully Superconducting Machines. A fully superconducting ac generator [Fig. 1(c) potentially surpasses
the advantages of the superconducting-field-winding generator in mass, size, and efficiency by increasing the
armature ampere-turn loading. By introducing the superconducting stator and ac wires for the excitation (24),
the cryogenic rotor can be simplified with the elimination of the electromagnetic and thermal shield system
[Fig. 1(c)]. The magnetic shield remains outside around the armature winding so as to avoid iron losses. The
magnetic shield can operate at an intermediate temperature between 4 K and 300 K (80 K, for example), since
the specific work decreases rapidly as the temperature increases (Fig. 3). It may act as a thermal shield as well.
The superconducting armature increases the freedom to select electrical characteristics and thus to benefit
from optimized system performance. For example, the synchronous reactance can be selected over a very wide
range of values. It will nevertheless be higher than in the superconducting-field-winding generator, since it is
proportional to the armature ampere-turn loading.
Steady-State and Transient Stabilities. Better power density and efficiency are not the only advantages
of superconducting machines. Superconducting generators improve the steady-state and transient behavior of
the network (25,26,27). The reactive load capacity is enhanced as well. These advantages are due to the lower
value of the synchronous reactance.
In steady-state synchronous balanced operation, the electrical diagram of one phase of a linear and
isotropic synchronous machine is very simple. It consists of an electromotive force E in series with a reactance
12
Fig. 5. Balanced steady-state equivalent circuit for one phase (star representation) of an ac generator.
X d called the synchronous reactance (Fig. 5). The latter is the inductance of one phase, taking into account
the two other phases (for a three-phase machine), multiplied by the electric pulsation rate. A resistance may
be added to take losses into account but it is generally negligible compared to the synchronous reactance. E is
proportional to the excitation current. The expressions for the power in a three-phase synchronous machine,
neglecting the losses, are
Here is called the internal angle and plays an important part in steady-state stability. In steady-state
operation where the generator is connected to the network, the voltage V is constant. Neglecting the regulation
of the excitation current, the electromotive force E is constant as well. The steady state stability limit for the
internal angle [Eq. (4)] is /2. This equation also shows that the lower the synchronous reactance is, the higher
the static stability is, since the internal angle is lower for a given power.
A magnetic circuit increases the inductances. For this reason, a superconducting generator with an aircore armature has a lower synchronous reactance than a conventional one with a iron-core structure (Table 1).
Roughly speaking, the reactance reaches 1/5 to 1/3 that of the conventional machines. Moreover, the voltage
regulation of the generator is simpler with a low synchronous reactance. Figure 5 shows in fact that the
electromotive force varies less with respect to the armature current when the synchronous reactance is low.
Figure 5 is no longer valid in the presence of transients. The machine is then represented by two axes,
with the d axis (excitation-field axis) perpendicular to the q axis. Depending on the rate of the disturbance, the
transient (X d ) and/or subtransient (X d ) reactance will have to be considered. These reactances are linked to
the synchronous reactance by dispersion coefficients, which depend only on the geometry:
A parameter commonly used to characterize the transient capability is the critical fault-clearing time
(CFCT). It is the maximum delay during which the generator can be subject to a fault (short circuit) and still
remain in synchronism after the fault has been cleared. Figure 6 shows that the subtransient reactance is the
key parameter in determining the CFTC. The synchronous reactance plays a limited part, though a low value
is slightly favorable. However, low values of the subtransient, transient, and synchronous reactances results
in very high short-circuit currents and huge electromagnetic stresses on the machine. The machines must be
mechanically designed not only for rated operation but also to withstand torques during fault conditions.
New systems have been considered to improve the stability, especially for fully superconducting generators, which are inherently unstable due to the absence of loss for damping. The control of energy transfers
13
between the machine and a superconducting magnetic energy storage (SMES) provides good stability (28,29).
The SMES can also absorb a part of the energy during a fault and thus increases the CFCT. Stability problems
still require a lot of work, and new systems afford challenging opportunities.
Cryogenics
Cryogenics comprises all the techniques related to low temperatures. These are complicated but well mastered
now. Nevertheless, superconducting machines pose an additional difficulty due to the need to rotate one cryostat
(30), sometimes at high speed (3600 rpm). The mechanical stresses are important, and the effect of centrifugal
forces should be considered with great care. In particular, the liquid flows radially outward and is compressed,
resulting in a temperature rise. This effect is not negligible for liquid helium. However, better heat exchange
between the fluid and the superconducting coil is obtained due to the rotation.
The mechanical structure must not only withstand the electromagnetic forces of the winding itself as
in other superconducting devices, but also the entire torque of the machine during normal operation and,
above all, severe transients. The machine must not be destroyed by a sudden short circuit, for example. Huge
overtorques (up to 10 times the rated value) can then be experienced. The resulting stresses are very severe and
can be extreme on the electromagnetic shields. But the thermal losses through the mechanical structure should
be kept to a very low level because of their very high cost at 4 K (700 W/W). Special machine structures make
it possible nevertheless to suppress the torque on the field winding (31). The vacuum vessel should be very
tight, since dynamic pumping is problematic due to the rotation. The isolating vacuum should be maintained
at a cryogenic level over a long period.
Two components require special attention for a rotating cryostat: the helium transfer coupling and the
differential contraction system, which has to cope with the rotation. The transfer of liquid into a rotating
cryostat with low losses is not a simple problem, but it has been solved. The classical solution is a bayonettype connection (Fig. 7) with a rotating seal system operating in general at room temperature. Ferrofluidic,
mechanical, or labyrinth seals can be used.
The cryostat for a superconducting armature is special as well. It is stationary, but it is subjected to
rotating fields. All the central parts of the cryostat thus require electrically insulating materials to avoid eddy
currents. Fiber-glassepoxy composites have suitable electrical, mechanical, and thermal properties, but their
14
Fig. 7. Very simple example of a helium transfer system for a rotating cryostat.
use poses some difficulties in a helium cryogenic environment. Attention should be focused on helium tightness
(particularly at room temperature), reliability of the adhesive joints, and resistance to thermal shocks.
Table 1 (24) gives some characteristics of a conventional and different superconducting generators (32,
33,34) with a rating of 300 MVA at 3000 rpm.
15
launching), but much less for a power plant. The critical size of a superconducting generator will consequently
be lower for an airplane than for a stationary power plant.
Superconducting ac generators have mainly been developed for large electric networks. Nevertheless,
generators for airborne applications have been studied and designed (42,43) because their light weight is then
of great interest.
Though the main developments have concerned ac generators, work has also been done on motors, especially in the United Kingdom (12), in the United States (13,44), in Japan (45), in Finland, and in China, where
homopolar machines for marine propulsion have been studied.
The emergence of superconducting strands able to operate under magnetic fields at industrial frequencies
(50 Hz or 60 Hz) with ultralow losses changed the technical situation in the eighties (11). The Dynatech
experiment of 1967 could then be reconsidered with confidence, and some small-scale fully superconducting
machines experimentally proved the possibility of designing satisfactory 50 Hz armature windings (16,17,
18,19). Due to the absence of industrial motivation to develop superconducting generators, these successful
experiments stayed at the laboratory scale.
On the other side, superconductors could be an attractive option for high-performance lightweight electric
drives. The discovery of high-T c materials has reinforced this interest because cryogenic systems at higher
temperatures than 4 K are much simpler and more practical than to those at 4 K. The use of electric drives is
continually increasing, and weight constraints are becoming more and more severe. In high-speed trains, for
example, increasing speed requires more powerful motors. Their weight should be reduced as much as possible
in order to limit the load per axle tree to avoid rapid track degradation.
Super-GM (46)). The Japanese are the only ones who have not only continued on working on superconducting machines but also reinforced their programs since the seventies. In 1988 the Ministry of International
Trade and Industry (MITI) launched a very ambitious project called Super-GM (an engineering research
association for Superconductive generation equipment and materials). The objectives were to develop a superconducting technology for electric power generation, including the design of 200-MW-class pilot generators and
the construction of 70-MW-class models. This large project is commissioned by NEDO (New Energy and Industrial Technology Development Organization). It involves 16 members from industry and research institutes.
The research and development program includes work on superconducting materials (both LTS and HTS),
structural materials, the machines themselves, and helium refrigeration systems. Three different large rotors
with NbTi field windings were built and successfully tested using a common resistive stationary armature
(Table 2). Through the three rotors numerous critical issues were investigated and tested (field winding, NbTi
conductor, excitation control, damper structure, thermal contraction system, etc.). The experimental programs
were carried out in a special test facility (Fig. 8). The superconducting windings are cooled by liquid helium
using a 100 l / h liquefier through a transfer coupling. Basic steady-state operations were performed, but also
severe tests such as three-phase sudden short-circuit and excessive negative phase. The tests began in 1997
and were completed in 1999. Machine A was connected to the 77 kV power grid and supplied 40 MVar. A
power of 79 MW was achieved with machine A, which has operated with 82 MVar. Machine B reaches the
remarkable power of 79.7 MW. It operated more than 1500 h with 44 successive starts and stops. It ran 814
h at its rated capacity of 79 MW. The quick-response machine (machine C) supported a current rise of 3200
A/s (3.8 T/s) without quenching. The refrigeration system ran 9320 h without failure, and the estimated mean
time between failures (MTBF) reached 14637 h (about 20 months). Super-GM was a very successful project,
which demonstrated the satisfactory and reliable operation of superconducting generators with significant
power even during severe conditions. The technology was developed and experimentally qualified for 200 MW
rating. Japanese workers are analyzing all the results, but design and construction of the 200-MW-class pilot
generator have been deferred.
Permanent-Magnet Superconducting Machine (47). Superconducting armatures could be designed
in the eighties thanks to the emergence of ultralow-ac-loss NbTi strands at that period. They brought a large
benefit by strongly increasing the armature ampere-turn loading with low losses even when referred to room
16
Fig. 8. Superconducting generator on the stage of the Super-GM testing center (from left to right: liquid-helium dewar,
superconducting generator, driving motor). (By courtesy of Super GM.)
temperature using the high specific work (740 W/W). In view of their reduced size and especially weight,
superconducting drives are particularly attractive for mobile systems with low-weight requirements. However,
these applications subject the drives to severe mechanical stresses due to shock and vibration. Therefore
the fully superconducting design with cryogenic field winding and armature has not been thought to be the
best suited one for those applications, especially with a NbTi field winding. Rotating 4 K cryogenics is very
complicated and appears unlikely to withstand a severe mechanical environment. It is much simpler to cope
with high stresses with a stationary cryostat. There are a lot of NbTi windings in magnetic resonance imaging
(MRI) apparatus, used on trucks, that nevertheless operate safely and reliably. These observations have led
to a hybrid structure with a permanent-magnet rotor and a NbTi armature. The rotating part is very simple
and robust, and high performance is obtained with the superconductor in the stationary armature. Permanent
17
Fig. 9. Permanent-magnet (NdFeB) superconducting (NbTi) motors: 15 kW, 750 rpm (foreground) and 150 kW, 400 rpm
(background).
magnets obviously produce a slightly lower field than a superconducting field winding, but this is acceptable
for the NbTi armature in terms of critical current density and ac losses. Two machines were built (Fig. 9) with
the support of the Delegation Generale de lArmement (DGA), France. The 15 kW, 750 rpm model successfully
experienced a large number of tests in steady state and transient operation. Its was powered by a pulse width
modulation (PWM) voltage inverter for variable speed that was easily controlled. However its size was too
small to be representative of a real machine because the critical size is greater than a megawatt, and a 150
kW, 400 rpm demonstrator followed. The latter passed successfully its first electrical tests in long-term and
constant-speed operation.
The permanent-magnet rotor might be replaced with a HTS field winding (48). Operation at around 30
K enables one to cope with a severe mechanical environment much more easily that at 4 K. The field winding
can be cooled by helium gas consisting of vapors from the liquid in the armature vessel.
High-Temperature-Superconductor Motors and Generators. A 1500 W HTS motor was built
using BiPIT racetrack coils operating at 20 K (49). US researchers from Reliance Electric and American
Superconductor Corporation (ASC), with support from the US Department of Energy, are working on motors
using HTS rotating field windings and a conventional resistive armature (50). The rotor is wound with a Bi2223PIT tape from ASC and cooled to about 30 K by helium gas circulation. The superconductor is protected
by an electromagnetic shield. The latter is composed of a cold copper shield and an external rotating vessel that
acts as a damper. The present structure of PIT with a silver alloy matrix results in ac losses. Several motors
were built. A 100 kW, 1800 rpm designed prototype was successfully tested in 1996, and it delivered 150 kW
continuously at the rated speed with the superconducting field winding operating at 27 K. The same year the
design and construction of a second motor was launched. Its rating of 750 kW at 1800 rpm (4160 V, 104 A)
corresponds to about the critical (break even) size for superconducting motors, though this parameter is difficult
18
to evaluate. The air-core armature is cooled by water, and the supporting structure uses G-10 fiber-glassepoxy
composites. A Brayton closed-cycle helium refrigerator produces He at about 24 K to cool the HTS winding
through forced circulation. The estimated thermal loads reach 24 W for the rotating cryostat and 5 W for the
field winding. The motor is now at the final assembly stage. The next step will be a 3.7 MW precommercial
prototype.
The US Navy is interested in HTS large drives for surface combat ships or submarines. The considered
rating is 19 MW. The HTS motor is expected to be one-fifth the size and the weight of conventional machines
(51). The HTS motor design was based on a 12-pole synchronous machine with a HTS field winding. The HTS
coils are not cooled by a cryogen but are conduction-cooled with cryocoolers at a temperature in the range from
20 K to 40 K. The Gifford Mac Mahon cryocooler cold heads used are put directly on the support of the HTS
coils (Fig. 10) and are supplied with high-pressure (about 1.6 MPa) helium from an external compressor. These
cryocoolers have reliability in accordance with the requirements for those motors. The armature is air-cored
and resistive. Another advantage for such applications is the acoustical quietness of superconducting machines
due to their air-cored armature. The design of a 20 MW HTS motor was recently funded by US Navy.
Work is also being done on HTS generators, especially for mobile systems such as airborne applications
where the reductions of weight and losses are of prime interest. The US Air Force has a program for megawattclass generators using HTS field windings (52).
Special Machines
Reluctance, Hysteresis, and Trapped-Field Motors (53). Superconductors for motors can be either
wires (for windings) or bulk. YBCO pellets have large sizes [up to 100 mm in diameter now (8)] and good electromagnetic properties in liquid nitrogen (77 K), and consequently represent a new and attractive opportunity
for motors. A variable-reluctance structure containing such a rotor made of materials with different magnetic
permeabilities can produce a torque. The larger the difference of permeability is, the larger the expected torque
is. Superconductors, with permeability near zero (diamagnetic behavior) are therefore potentially attractive.
Several reluctance motors up to 10 kW have been built with bulk YBCO and tested in liquid nitrogen (54).
19
The torque in an asynchronous motor is created by the losses in the rotor. The rotor is subjected to
a rotating field. Ac losses appear in superconductors as soon as the external field is time-varying. This is
considered in general as a drawback, but it can be used in a hysteresis motor. The rotor consists of a bulk
pellet or an assembly of bulk YBCO pieces. The ac losses in the superconductors, called also hysteresis losses,
produce the torque. This is an attractive principle for small motors in special applications (cryogenic pumps,
for example). It has been studied experimentally in models with ratings up to 4 kW (55).
Rare-earth permanent magnets such as NdFeB have magnetization about 1.5 T at 300 K. Materials
with higher magnetization would result in better performancein particular, a larger torque per unit volume.
The attainment of trapped fields as high as 11.4 T at 17 K in bulk YBCO samples (56) opens new and
attractive opportunities for electric machines (57). Bulk superconducting pieces with a trapped field could be
used in synchronous machines. The operation is slightly different than that of a permanent-magnet motor,
since a HTS sample works at constant magnetic field and flux, whereas a permanent magnet works at constant
magnetization. Moreover, the magnetization is different for the two cases: it is constant in a permanent magnet,
and conical in a cylindrical HTS material. The main problem is the magnetization of the HTS samples. The
fields required are very high: 6 times the mean magnetization for zero-field cooling and cylindrical conditions.
Supersat (58). A superconducting machine of a new type, called Supersat, has been developed. Supersat
is based on rotating ferromagnetic plugs saturated by an external static field produced by a superconducting
solenoid. The FeCo plugs act as permanent magnets with a magnetization of 2.4 T, and they are all magnetized
in the same direction. The rotor is bulk and is convenient for very high rotation speeds. This is a disk-type
machine with an axial field and radially distributed armature conductors.
Conclusions
Though the technical problems are not completely solved, the further development of superconducting machines
is not determined by the technology but by the market. Superconducting machines offer better characteristics
and performance (weight, efficiency, electric behavior, etc.) than any conventional technology. The deregulation
of the electricity market and the progress in high-T c materials present a good opportunity for superconducting
devices. The cost of HTSs is at present one of the main economic limitation on those devices.
Acknowledgments
The author is pleased to thank L. Porcar, A. Foggia, and C. Chillet for their careful rereading and discussions.
BIBLIOGRAPHY
1. H. W. Beaty L. Kirtley Jr. Electric Motor Handbook, New York: McGraw-Hill, 1998.
2. H. H. Woodson Z. J. J. Steckly E. Hallas A study of alternators with superconducting field winding: Ianalysis, IEEE
Trans. Power Appar. Syst., 85: 264280, 1966.
3. H. H. Woodson et al. The application of superconductors in the field windings of large synchronous machines, IEEE
Trans. Power Appar. Syst., 90: 620627, 1971.
4. P. M. Grant T. P. Sheahen Cost projections for high temperature superconductors, presented at the 1998 Applied
Superconductivity Conf., Palm Desert, CA, 1998.
5. R. Flukiger
et al. Phase formation and critical current density in Bi,Pb(2223) tapes, Supercond. Sci. Technol., 10: 6892,
1997.
20
6. L. Masur et al. Long length manufacturing of BSCCO-2223 wire for motor and cable applications, presented at Int.
Cryogenic Conf., Montreal, 1999.
7. D. K. Finnemore et al. Coated conductors development: An assessment, Physica-C, 320: 18, 1999.
8. T. Fujimoto et al. Fabrication of 100 mm-diameter YBaCuO bulk QMG superconductors with larger levitation forces,
Inst. Phys. Conf. Series N 167, 1: 7982, 1999.
9. T. R. Strobridge D. B. Chelton Size and power requirements of 4.2 K refrigerators, in Advances in Cryogenic Engineering,
New York: Plenum, Vol. 12: 1967, pp. 576584.
10. P. Dubots et al. Behaviour of multifilamentary NbTi conductors with very fine filaments under a. c. magnetic fields,
J. Physique, 45: 467470, 1984.
11. A. Fevrier Y. Laumond Prospective uses of superconductors for 50/60 Hz applications, Proc. ICEC 10 (Int. Conf. on
Cryogenic Engineering), London: Butterworth, 1986, pp. 139152.
12. A. D. Appleton D. H. Prothero Direct current machines, in B. Seeber (ed.), Handbook of Applied Superconductivity,
Philadelphia: Inst. of Physics Publishing, 1998, pp. 15791612.
13. M. J. Superczynski D. J. Waltman Homopolar motor with high temperature superconductor field winding, IEEE Trans.
Appl. Supercond., 7: 513518, 1997.
14. J. L. Smith Overview of the development of superconducting synchronous generators, IEEE Trans. Magn., 19: 522528,
1983.
15. C. Flick New armature winding concepts for EHV and high CFCT applications of superconducting turbine generators,
IEEE Trans. Power Appar. Syst., 98: 21902200, 1979.
16. P. Tixador et al. Electrical tests on a fully superconducting synchronous machine, IEEE Trans. Magn., 27: 22562259,
1991.
17. O. Tsukamoto et al. Development of 30 kVA class fully superconducting generator, IEEE Trans. Magn., 28: 283286,
1992.
18. E. U. Klimenko et al. A superconducting field and armature windings turbogenerator model, Proc. Low Temperature
Engineering and Cryogenic Conf., Southampton, 1990.
19. I. Muta et al. Electrical characteristics of fully superconducting synchronous generator in persistent excitation mode,
IEEE Trans. Magn., 28: 434437, 1992.
20. R. E. Dodge E. P. Coomes J. L. Kirtley Design of a superconducting alternator for space-based power generation, 21st
Intersociety Energy Conversion Engineering Conf., Vol. 3, Amer. Chem. Soc., 1986, pp. 18691874.
21. M. Rabinowitz Cryogenic power generation, Cryogenics, 17: 319330, 1977.
22. M. Leijon et al. Powerformer(R): A giant step in power plant engineering, Proc. IEEE Int. Electric Machines and Drives
Conf. (IEMDC99), 1999, pp. 830832.
23. T. J. E. Miller P. J. Lawrenson Penetration of transient magnetic fields through conducting cylindrical structures with
particular reference to superconducting ac generators, Proc. IEE, 123: 437443, 1976.
24. P. Tixador Fully superconducting machines, in B. Seeber (ed.), Handbook of Applied Superconductivity, Philadelphia:
Inst. of Physics Publishing, 1998, pp. 15531577.
25. M. Furuyama J. L. Kirtley Transient stability of superconducting alternators, IEEE Trans. Power Appar. Syst., 94:
320328, 1995.
26. M. Ashkin et al. Stability criteria for superconducting generatorselectrical system and cryostability considerations,
IEEE Trans. Power Appar. Syst., 101: 45784586, 1982.
27. P. W. Eckels J. L. Smith Jr. Superconductor stability in the power system environment, Cryogenics, 29: 651654, 1989.
28. J. L. Sabrie Feasibility of large ac superconducting equipment, J. Physique, 45: 717720, 1984.
29. Y. Mitani K. Tsuji Power system stabilisation by superconducting magnetic energy storage connected to rotating exciter,
IEEE Trans. Appl. Supercond., 3: 219222, 1993.
30. Z. J. J. Stekly G. Y. Robinson Cryogenic aspects of rotating superconducting field coils for superconducting machines,
Proc. Fourth Int. Conf. on Cryogenic Engineering, IPC Science & Technology Press, 1972, pp. 243249.
31. C. Pinet Y. Brunet A 500 kW, 3000 rpm cryoalternator of a new type, Electric Machines Electromech., 3: 171175, 1979.
32. M. Ashkin et al. Superconducting generator field winding design for high tolerance fault, IEEE Trans. Magn., 19:
10351038, 1983.
33. R. Gillet et al. Electricite de France Asthom Atlantique superconducting turbo generator development program, IEEE
Trans. Magn., 17: 890893, 1981.
21
34. I. A. Glebov V. N. Shaktarin High efficiency and low consumption material electrical generators, IEEE Trans. Magn.,
19: 541544, 1983.
35. M. L. Claude Sur letude dun alternateur a` bobinage supraconducteur, C. R. Acad. Sci. Paris, 265: 349351, 1965.
36. Z. J. J. Steckly et al. A study of alternators with superconducting field winding: IIexperiment, IEEE Trans. Power
Appar. Syst., 85: 274280, 1966.
37. P. Thullen J. L. Smith The design of a rotating dewar and field winding for a superconducting alternator, in Advances
in Cryogenic Engineering, Vol. 15, New York: Plenum, 1970, pp. 132140.
38. C. J. Oberhauser H. R. Kinner Some considerations in the design of a superconducting generator, in Advances in
Cryogenic Engineering, Vol. 13, New York: Plenum, 1967, pp. 161165.
39. D. Lambrecht Superconducting turbogenerators: Status and trends, Cryogenics, 25: 620627, 1985.
40. C. Flick W. R. Cown J. H. Jr. Parker General design aspects of a 300 MVA superconducting generator for utility
application, IEEE Trans. Magn., 17: 873879, 1981.
41. T. Shimada et al. Recent progress on 70 MW class superconducting generators, IEEE Trans. Appl. Supercond., 9:
11891192, 1999.
42. B. B. Gamble T. A. Keim Superconducting generator design for airborne applications, in Advances in Cryogenic Engineering, Vol. 25, New York: Plenum, 1979, pp. 127136.
43. R. D. Blaugher J. H. Parker J. L. McCabria High speed superconducting generator, IEEE Trans. Magn., 13, 755758,
1977.
44. H. O. Stevens et al. Superconducting machinery for naval ship propulsion, IEEE Trans. Magn., 13: 269274, 1977.
45. J. Sakuraba et al. Superconducting dc motor for ship propulsion, Proc. ICEC 10 (Int. Conf. on Cryogenic Engineering),
London: Butterworth, 1984, pp. 723725.
46. T. Ageta Recent R&D status on 70 MW class superconducting generators in Super-GM project, Supercond. Sci. Technol.,
13: 510515, 2000.
47. P. Tixador et al. Electrical tests on a fully superconducting synchronous machine, IEEE Trans. Appl. Supercond., 9:
12051208, 1999.
48. P. Tixador H. Daffix Conceptual design of an electrical machine with both low and high T c superconductors, IEEE
Trans. Appl. Supercond., 7: 38583865, 1997.
49. J. T. Eriksson et al. A HTS synchronous motor at different operating temperatures, IEEE Trans. Appl. Supercond., 7:
523526, 1997.
50. D. Aized et al. Status of the 1,000 hp HTS motor development, IEEE Trans. Appl. Supercond., 9: 11971200, 1999.
51. S. Kalsi B. Gamble D. Bushko HTS synchronous motors for navy ship propulsion, presented at Naval Symposium on
Electric Machines, Annapolis, 1998.
52. A. J. Rodenbush S. J. Young Performance of high temperature superconducting coils for implementation into megawatt
class generators, IEEE Trans. Appl. Supercond., 9: 12331236, 1999.
53. L. K. Kovalev et al. Hysteresis and reluctance electric machines with bulk HTS elements, recent results and future
development, Supercond. Sci. Technol., 13: 498502, 2000.
54. B. Oswald et al. Superconducting reluctance motors with YBCO bulk material, IEEE Trans. Appl. Supercond., 9:
12011204, 1999.
55. T. Habisreuther et al. Magnetic process in hysteresis motors equipped with melt-textured YBCO, IEEE Trans. Appl.
Supercond., 7: 900903, 1997.
56. S. Gruss et al. Trapped field beyond 11 T in bulk YBaCuO material, Inst. Phys. Conf. Series N 167, 1: 115118, 1999.
57. J. R. Hull S. SenGupta J. R. Gaines Trapped-flux internal-dipole superconducting motor/generators, IEEE Trans. Appl.
Supercond., 9: 12291232, 1999.
58. A. Rezzoug A. Mailfert P. Manfe Cryogenic supersaturated synchronous-Machine optimization and first experiment
results, IEEE Trans. Magn., 20: 17951797, 1984.
READING LIST
R. D. Blaugher Superconducting electric power applications, in Advances in Cryogenic Engineering, Vol. 42, New York:
Plenum, pp. 883898.
R. D. Blaugher Low-calorie, high-energy generators and motors, IEEE Spectrum, 34 (7): 3642, 1997, pp. 3642.
22
J. R. Bumby Superconducting Rotating Electrical Machines, Monographs in Electrical and Electronic Engineering, Oxford:
Clarendon, 1983.
P. M. Grant Superconductivity and electric power: Promise, promise . . . past, present and future, IEEE Trans. Appl.
Supercond., 7: 112133, 1997.
H. Kofler Generators with superconducting field windings, in B. Seeber (ed.), Handbook of Applied Superconductivity,
Philadelphia: Inst. of Physics Publishing, 1998, pp. 14971547.
H. Kofler Motors with superconducting field windings, in B. Seeber (ed.), Handbook of Applied Superconductivity, Philadelphia: Inst. of Physics Publishing, 1998, pp. 15481552.
PASCALTIXADOR
CNRS-CRTBT/LEG
646
RF power in
(a)
/4
(b)
Figure 1. (a). An accelerating structure for velocity of light particles.
The resonant frequency for superconducting structures is typically
between 350 MHz and 3000 MHz. The cell length is half a wavelength
( /2) long. The phase of the electric field on the axis of each cell is
shown for the accelerating mode. Ports outside the cell region are for
input power couplers and higher-order mode power output couplers.
In many applications, the power induced by the beam in higher order
modes has to be removed by output couplers. (b) An accelerating
structure for low-velocity particles, such as heavy ions. The resonant
frequency is typically between 50 MHz and 150 MHz. The accelerating gaps are 12 in length, where v/c and v is the velocity of the
heavy ions.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
647
RF Superconductivity Basics
(a)
The remarkable properties of superconductivity are attributed to the condensation of charge carriers into Copper pairs,
which move frictionlessly. At T 0 K, all charge carriers are
condensed. At higher temperatures, some carriers are unpaired; the fraction of unpaired carriers increases exponentially with temperature, as e/kT, until none of the carriers
are paired above Tc. Here 2 is the energy gap of the superconductor, the energy needed to break up the pairs. In this
simplified picture, known as the London two-fluid model,
when a dc field is turned on, the pairs carry all the current,
shielding the applied field from the normal electrons. Electrical resistance vanishes.
In the case of RF currents, however, dissipation does occur
for all T 0 K, albeit very small compared to the normal
conducting state. While the Cooper pairs move frictionlessly,
they do have inertial mass. For high-frequency currents to
flow, forces must be applied to bring about alternating directions of flow. Hence an ac electric field will be present in the
skin layer, and it will continually accelerate and decelerate
the normal carriers, leading to dissipation proportional to the
square of the RF frequency. The two-fluid model provides a
simple explanation for the quadratic frequency and the exponential temperature dependence of the RF surface resistance.
The power dissipated is proportional to the internal electric
field (proportional to the RF frequency) and to the normal
component of the current. The normal component of the current, being proportional to the interior electric field, gives another factor proportional to the RF frequency. The normal
component of the current also depends on the number of carriers thermally excited across the gap 2 and is given by the
Boltzmann factor e/kT.
Besides the phenomenally low RF surface resistance, other
important fundamental aspects are the maximum surface
fields that can be tolerated without increasing the microwave
surface resistance substantially or without causing a breakdown of superconductivity. The accelerating field, Eacc, is proportional to the peak surface RF electric field (Epk), as well as
the peak surface RF magnetic surface field (Hpk).
The ultimate limit to the accelerating field is the RF critical magnetic field. Theoretically, this is equal to the superheating critical magnetic field. In the GinzburgLandau phenomenological theory of superconductivity (7), surface energy
considerations lead to estimates for superheating critical field
in terms of the thermodynamic critical field, Hc, and the Ginz-
648
0.89
Hsh Hc
Hsh 1.2Hc
for 1
Hsh 0.75Hc
for 1
for 1
(1)
Ez = E0 J0
2.405
R
eit ,
H = i
r
E0 J1
2.405
R
eit
(2)
2.405 c
R
(3)
1
d
= TRF =
c
2
(4)
z=d
Vacc =
Eel dz
z=0
(5)
For an electron accelerator with energy 10 MeV, it is sufficiently accurate to use v c, so that t(z) z/c. Thus
Vacc
Vacc
z=d
iz/c
=
Ez ( = 0, z)e
dz
z=0
(6)
d
sin
z=d
2c
= E0
eiz/c dz = dE0
d
z=0
2c
= dE0 T
(7)
cavity, we obtain
Vacc = 0.064 m E0
The average accelerating electric field (Eacc) is given by
Eacc =
2E0
Vacc
=
d
(8)
U=
0 E02 2
J1 (2.405) dR2
2
(15)
Pc =
RS E02 0 2
J1 (2.405)R[R + d]
0
(16)
G=
453Rd
(R2 + Rd)
(17)
Hpk =
r
649
J1 (1.841)E0 =
E0
647
2.405
R
=
d
(18)
(9)
Q0 =
G
= 1.3 1010
Rs
(19)
= 1.6,
=
2
Hpk
Eacc
mT
A/m
= 3.05
= 2430
MV/m
MV/m
(10)
(11)
U
Energy stored
=
Power dissipated
Pc
(12)
2 0
|H|2 dv,
Pc =
1
Rs
2
|H|2 ds
(13)
0 v |H|2 dv
,
Q0 =
Rs s |H|2 ds
G
Q0 =
,
Rs
Vacc
= 10 MV/m
d
Eacc =
Pa =
|H|2 dV
|H|2 ds
s
(14)
0
G=
(20)
650
(a)
(b)
(c)
Figure 3. (a) A single-cell niobium cavity surrounded by an array of 700 carbon thermometers
that make close contact with the outer wall of the cavity. (b) There are 19 thermometers placed
on each individual board that is contoured to closely follow the cavity profile. (c) A single thermometer consists of a 100 carbon resistor embedded in an epoxy housing. It is held by a springloaded pin inserted into holes in the board. The surface of the thermometer is ground so that the
carbon element is exposed and subsequently covered with a thin layer of varnish to provide
electrical insulation. The leads are made of a low-thermal-conductivity alloy, such as manganin.
not provide good sensitivity. A large array of fixed thermometers is preferred. These are brought in intimate contact with
the cavity wall by the use of spring loaded contacts. Grease
applied between the cavity wall and the thermometer element
improves heat transfer and keeps the superfluid away. Due
to the large number of thermometers and leads, the fixed
method is suitable for investigations with single cell cavities.
An example of a fixed thermometry system is shown in Fig.
3, and a typical temperature map is shown in Fig. 4 (16).
Refrigerator Requirements
Although the power dissipated in the superconducting cavity
is very small, the losses will be dissipated in the liquid He
bath. Together with the static heat leak to the cryostat, these
losses comprise the cryogenic loss. Typically the ac power
needed to operate the refrigerator is larger than the dissipated power in 2K liquid He by a factor of 750. One part of
this factor comes from the technical efficiency () of the refrigerator, typically 0.2 for a large system, and the other part
103
Rs ()
105
106
107
108
109
1.0
Shunt Impedance
An important quantity used to characterize the losses in a
cavity at a given accelerating voltage is the shunt impedance
(Ra) as typified by a parallel RLC circuit:
2
Vacc
Pc
(22)
in which case Pc power dissipated and Vacc is the acceleration voltage. Hence the shunt impedance is in ohms.
Ideally the shunt impedance should be large for the accelerating mode so that the dissipated power is small. This is
particularly important for copper cavities, where the wall
power dissipation is a major issue and we wish to have as
large an accelerating field as possible. For the TM010 mode
pillbox cavity and Rs of 20 n we have
Ra =
3R
40 d 2
2
s 0 J1 (2.405)R[R +
d]
= 2.5 1012
(23)
(24)
which is independent of the surface resistance. For the pillbox TM010 mode we have
Ra
d
= 150
= 196
Q0
R
(25)
290
480
2.6
4.7 MT/(MV/m)
Niobium
Lead
Nb3Sn
104
(21)
Ra =
651
2.0
3.0
Tc/T
4.0
5.0
(26)
Pb
Nb
Nb3Sn
7.19
2.10
280
1110
10,000
9.20
1.86
360
640
500
18.00
2.25
600
60
10
652
RRR =
resistivity at 300 K
residual resistivity at low
temperature (normal state)
(27)
W
mK
(28)
653
Emitter
Figure 7. Calculated electron trajectories in a 3-cell 1.5 GHz cavity
operating at Epk 50 MV/m. The emitter is located in the end cell,
where the surface electric field is 44 MV/m. Note that a significant
number of field-emitted electrons bend back and strike the wall near
the emitter. Others are accelerated through the cavity structure and
could produce unwanted dark current that may be accelerated in
adjacent cavities.
654
After HPP at 1 MW
Epk pulsed = 85 MV/m
1010
Tesla goal
1011
Before HPP
109
10
15
Eacc (MV/m)
20
25
30
1011
Q0
Hmax =
1010
109
655
10
15
Eacc (MV/m)
20
25
30
4k(T T )
c
aRn
i.e., Hmax
k RRR
(29)
Eacc (MV/m)
100
Saclay 5-cells
CEBAF 5-cell
KEK 9-cell
Quench-limited
DESY 9-cell
Cornell 9-cell
Cornell 5-cell
DESY 9-cell Quench-limited
CEBAF 5-cell Power-limited
Cornell 5-cell FE and quench limit
10
RRR
1
10
100
RRR
1000
656
1000
W
mK
500
RRR = 400
RRR = 250
RRR = 90
200
100
50
20
10
10
T (K)
Figure 13. Thermal conductivity () of niobium with RRR 90 (as
received), RRR 400 after post-purification with yttrium, and
RRR 250 after annealing the post-purified sample for 6 hours at
1400C. (Courtesy of Wuppertal.)
Element
RRR
H
N
C
O
Ta (1000 ppm wt)
2640
4230
4380
5580
1140
1
YBa2Cu3O 77K-20K single
Crystalline films
Nb3Sn
Nb
101
102
103
Rs ()
nm in diameter. When studied with transmission electron microscopy, the individual grains show a high density of defects,
consisting of dislocations and point defect agglomerates (52).
The distance between two defects varies from 2 to 20 nm. The
onset Tc of as-deposited films is 9.6 K, but the transition
width is larger than for bulk niobium (typically a few tenths
of a kelvin). The large transition width (5 K in some cases) is
indicative of poor film quality.
Although Q0 values 1010 are obtained at low fields, the
RF losses of Nb/Cu cavities increase steadily with field. This
effect is attributed to intergrain losses in the niobium films,
which become more severe at higher frequency. Recently (53),
there is evidence to show that impurities buried in the films
can also account for increased losses at high fields.
657
Cu 77 K
104
105
Nb3Sn
4.2K
106
107
108
109
Nb 1.3K
0.1 0.2
0.5 1 2
5 10 20
RF frequency (GHz)
50 100
658
29. P. Bernard et al., in H. Henke et al. (eds.), Proc. 3rd Eur. Particle
Accelerator Conf., Editions Frontieres, 1992, p. 1269.
30. P. Kneisel, B. Lewis, and L. Turlington, in R. M. Sundelin (ed.),
Proc. 6th Workshop RF Superconductivity, CEBAF, Newport
News, VA, 1994, p. 628.
31. H. Padamsee, J. Tuckmantel, and W. Weingarten, IEEE Trans.
Magn., Mag-19: 1308, 1983.
32. R. H. Fowler and L. Nordheim, Proc. R. Soc. London, A119: 173,
1928.
33. J. Knobloch et al., in Proc. 1995 Particle Accelerator Conf., Dallas,
1995, p. 1623.
34. M. Jiminez et al., J. Phys. D: Appl. Phys. 27: 1038, 1994.
35. Q. S. Shu et al., in IEEE Trans. Magn. 25: 1868, 1989.
36. N. S. Xu, in R. V. Latham (ed.), High Voltage Vacuum Insulation,
Academic Press, 1995, p. 116.
37. W. D. Moeller and M. Pekeler, in S. Myers et al. (ed.), Proc. 5th European Particle Accelerator Conf., Barcelona, Spain, IOPP Publishing, Bristol, 1996, p. 2013.
38. D. Moffat et al., Particle Accel., 40: 85, 1992.
39.
40.
41.
42.
43.
44.
45.
46.
47.
48.
49.
J. Graber et al., Nucl. Instrum. Meth. Phys. Res., A 350: 582, 1994.
J. Graber et al., Nucl. Instrum. Meth. Phys. Res., A 350: 572, 1994.
C. Crawford et al., Particle Accel., 49: 1, 1995.
H. Padamsee, Proc. 1st Workshop RF Supercond., KFK, Karlsruhe,
Germany, KFK-3019, 1980, p. 145.
G. Mueller, in K. W. Shepard (ed.), Proc. 3rd Workshop RF Supercond., Argonne National Laboratories, Argonne, IL, ANL-PHY88-1, 1988, p. 331.
W. Singer, in E. Palmieri (ed.), Proc. 8th Workshop on RF Supercond., Abano Terme, Italy, 1997, in press.
K. K. Schulze, J. Metals, 33: 33, 1981.
K. Schulze, Niobium, in H. Stuart (ed.), Proc. Int. Symp., San Francisco, The Metallurgical Society of AIME, 1981, p. 163.
A. Gladun et al., J. Low Temp. Phys., 27: 873, 1977.
H. Padamsee, in R. M. Sundelin (ed.), Proc. 6th Workshop RF Supercond., CEBAF, Newport News, VA, 1994, p. 515.
A. V. Elyutin, et al., in D. Proch (ed.), Proc. 5th Workshop RF Supercond., DESY, Hamburg, Germany, DESY-M-92-01, 1991, pp.
354, 426.
50. H. Padamsee, in H. Lengeler (ed.), Proc. 2nd Workshop RF Supercond., CERN, Geneva, Switzerland, CERN, 1984, p. 339.
51. P. Kneisel, J. Less Common Metals, 139: 179, 1988.
52. C. Durand and W. Weingarten, IEEE Trans Appl. Supercond., 5:
1107, 1995.
53. S. Calatroni, in E. Palmieri (ed.), Proc. 8th Workshop RF Supercond., Abano Terme, Italy, 1997, in press.
54. M. Peiniger, in K. W. Shepard (ed.), Proc. 3rd Workshop RF Supercond., Argonne National Laboratory, Argonne, IL, ANL-PHY88-1, 1988, p. 503.
55. D. Busch et al., in R. M. Sundelin (ed.), Proc. 6th Workshop RF Supercond., CEBAF, Newport News, VA, 1994, p. 173.
56. G. Mueller, in Y. Kojima (ed.), Proc. 4th Workshop RF Supercond.,
KEK, Tsukuba, Japan, Rep. 89-21, 1990, p. 267.
57. R. Brinkmann, in Proceedings of the 1995 Particle Accelerator Conference, Cat. No. 95CH35843, 1995, p. 674.
58. Collider, A Feasibility Study, BNL-52503, 1996.
59. P. Wilson, in R. A. Carrigan, F. R. Huson, and M. Month (eds.),
Physics of High Energy Particle Accelerators (Fermlab Summer
School, 1981), AIP Conf. Proc., no. 87, American Institute of
Physics, 1982.
HASAN PADAMSEE
Cornell University
659
SUPERCONDUCTING TRANSFORMERS
39
; ;;
;; ;
Primary
Core
SUPERCONDUCTING TRANSFORMERS
Secondary
; ;
; ;
1
Primary
T1
T2
1M
Secondary
1M
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
40
SUPERCONDUCTING TRANSFORMERS
Second, under system fault conditions, if the critical current of the conductor is exceeded, recovery to the superconducting state is too slow to allow automatic circuit
reclosure.
Third, the need to cool with liquid helium reduces economic benefits of such a device.
The discovery of high temperature superconductors (HTS)
has revived interest in superconducting transformers. Presently, attempts are being made to design, build, and test
transformers with HTS windings cooled with liquid nitrogen
at 77 K. These HTS transformers are less likely to have the
problems associated with NbTi transformers. For example,
the use of HTS material greatly improves stability; the transformer could be designed to prevent quenching (i.e., transitioning to the normal state), and the consequences of ac losses
could be potentially overcome by developing low-ac-loss HTS
conductors and operating it at 77 K. References 79 have
summarized the evolution of the superconducting transformers. Recently two HTS prototype transformers have been
testeda 630 kVA, three-phase transformer by ABB (10) and
a 500 kVA, one-phase transformer by Kyushu University (11).
This article discusses potential system benefits associated
with superconducting transformers, and reviews and summarizes the design requirements for such a device. It also compares and contrasts the requirements for transformers utilizing LTS and HTS conductors.
SUPERCONDUCTING TRANSFORMER CONFIGURATION
A superconducting transformer operates using the same principles and constituent parts as found in a conventional transformer. Both employ an iron core to contain magnetic flux,
and primary and secondary windings to exchange power.
However, the construction of the two types of transformers
is quite different. For example, in conventional transformers
primary and secondary coils are directly wound on the iron
core and both iron core and coil assemblies are immersed in
a tank filled with oil that cools both the iron core and the
coils. On the other hand, in superconducting transformers,
the iron core is usually maintained at room-temperature
while the superconducting coils operate at cryogenic temperatures. The decision to maintain the iron core at room temperature is dictated by the fact that the iron core losses, due to
hysteresis and eddy-currents, are substantial (almost 1 watt
per pound) and they go up when the iron core is operated at
cryogenic temperatures (12). The iron core permeability also
goes down at low temperature which means more iron core is
required to carry the same flux at low temperature than at
room-temperature. The core losses would represent a major
load on the refrigerator if the iron core were operated at cryogenic temperature. On the other hand, the superconducting
windings must be cooled to cryogenic temperatures (between
4.5 K and 77 K) which necessitates that these windings be
enclosed in containers which could hold vacuum or cryogen or
both. These containers surround the iron core limbs and take
the shape of hollow donuts. Since they surround the iron core,
they must be constructed from nonmetallic material lest they
form a closed circuit around the iron core and thus form a
shorted secondary for the transformer, making the transformer unworkable. It is possible to employ metallic contain-
ers but a dielectric break must be included in the circumferencial direction to prevent flow of current in the container
walls. The dielectric break makes these metallic cryogen containers more expensive and less reliable. The superconducting windings must be cooled with suitable cryogen (liquid
helium for NbTi windings, liquid nitrogen for HTS BiPbSrCaCuO-2223 (BSCCO-2223) windings, or an intermediate temperature for Nb3Sn or BSCCO-2212 windings). Reference 13
describes the status of the HTS conductor technology and (14)
discusses coils made from BSCCO-2212 material. Since these
containers hold windings at low temperatures, their walls
must be thermally insulating. For low temperature operation
at around 4 K, a double wall construction is employed with
multi-layer-insulation (MLI) insulation in the vacuum space
between the walls. Additionally, an intermediate temperature
(77 K) shield is also inserted between warm and cold walls of
the cryostat. This makes the container design complex and
expensive. On the other hand, if the windings operate at 77
K then single wall construction could be used for these containers. This makes design and construction simpler and the
cost is substantially lower as compared to those of the low
temperature coils. The cost of refrigerator (both capital and
lifetime) is also much lower for devices operating at 20 K to
77 K than those operating at 4 K.
TRANSFORMER DESIGN AND ANALYSIS
The transformer design is obtained with an optimization process which involves varying several significant parameters
which are interrelated in complex ways. A transformer is
sized on the basis of its power rating, voltage, number of
phases, frequency, and short-circuit reactance. It is also necessary to pay close attention to transformer type, service conditions, cost of losses, and the relative costs of conductor, iron,
insulation, labor, machinery, and configuration. All these factors are considered when designing a superconducting as well
as normal transformer.
Design Issues
It is possible in principle to construct a superconducting
transformer without an iron core. Such transformers are
characterized by a larger reduction in losses, size, and weight
than those employing iron core but they require much larger
excitation current (1516). On the other hand, an iron core
offers the following benefits:
The core contains the mutual flux between the windings,
and thus, reduces stray field which has adverse impacts
on people and other equipment in the vicinity of the
transformer
The magnetic field experienced by the superconducting
windings is reduced, thus reducing the amount of superconductor required
The ac losses in the windings are reduced, which reduces
the size of the refrigeration system
Since losses in the iron core are large, it is normally preferable to keep the iron core at room-temperature. This requirement to operate the iron core at room-temperature forces superconducting transformer configurations which differ from
those of conventional transformers.
SUPERCONDUCTING TRANSFORMERS
Iron core
Outer wall of
cryostat
Cold wall of
cryostat
Superconducting
windings
Figure 3. HTS transformer configuration.
41
Silver
sheath
Superconducting
filaments
Silver
matrix
Wire
42
SUPERCONDUCTING TRANSFORMERS
2 2 2
c
l B
t
d
e
2
c
lt2 B2
1
Pc =
d
e
16
Pc =
144
(1)
(2)
where
Pc loss per unit volume of the conductor (W/m3)
c and d conductor cross-sectional dimensions (width and
thickness) (m)
lt twist pitch length (m)
B rate of change of magnetic field (T/s)
e matrix resistivity (-m)
Equation (1) is used when the field is parallel to the wider
face Eq. 2 of the conductor d c. Eq. (2) is for the case when
c d.
It has been shown (26,27) that NbTi coils operating at liquid helium temperature must have a filament diameter on
the order of 0.1 m or less to make these coupling losses comparable to the losses in copper windings of a conventional
transformer.
Both of these ac loss phenomena also apply to HTS conductors. HTS conductors are currently made in highly aspected
tape shapes (1 : 10) as shown in Fig. 4. The hysteresis losses
due to magnetic field parallel to the broad face of the conductor are acceptable since the dimension transverse to the field
is small, but losses due to magnetic field perpendicular to the
broad face of the conductor are excessively large. Although
several industrial groups are attacking this problem, no
method has yet been published for making a conductor capable of carrying large currents (comparable to those of LTS)
while keeping hysteresis and coupling losses low. HTS conductors, however, have a couple of significant advantages over
the LTS conductorsthe temperature rise due to a transient
heat input (i.e., by conductor movement) is lower at the
higher HTS operating temperatures than when operating at
liquid helium temperature, and they have a slow transition
from superconducting to normal state which makes them inherently more stable. This advantage may translate into relaxed requirements on the acceptable filament size and other
conductor configuration parameters. However, the ac losses in
these wires must be low in order to make HTS transformers
economically acceptable.
SUPERCONDUCTING TRANSFORMERS
43
uified in a refrigerator and returned to the cryostat. A number of conduction cooled magnets which use no liquid cryogen
have been built for operation at 4 K and higher temperatures
using both HTS and LTS wires.
Economic Considerations
From a utility perspective, a transformer must have low initial and operating costs, and be light weight, compact, and
environmentally benign with a lifetime of typically 30 years.
To a great extent, a HTS transformer does have a potential
to offer these advantages.
Conventional transformers are highly reliable and flexible
in terms of their use in an electrical system. However, the
dominant component of losses is the I2R loss in the windings.
The capitalized cost of these losses over the life of a transformer could easily exceed its initial cost. The superconducting transformers are attractive because of the potentially
lower winding losses. However, there is an energy penalty associated with the input power consumed by the refrigeration
system. This energy penalty can be substantial. To remove 1
W of losses at 4 K, 500 W of refrigeration power is required.
However, only 20 W is required to remove 1 W from 77 K.
This reduction in the refrigeration power has generated a lot
of interest in transformers employing HTS conductors. An optimally designed HTS transformer is likely to have lower
losses and lower life cycle cost than a conventional unit.
The higher current density capacity of superconductors
compared to copper leads to a more compact and lighter design of transformers. Even for the identical core diameters,
the core window width (space between iron legs) could be reduced in proportion to the space saving due to the utilization
of superconducting windings. This reduces the iron core
weight. Lighter core size also leads to lower core losses. A
compact and light weight transformer might see new applications which were not feasible with the conventional transformers. Lower weight and compact size would make them
acceptable for more urban applications. Smaller core windows
also lead to lower leakage inductance which helps to improve
dynamic stability of a power system. The low leakage inductance also improves the voltage regulation to the load, and
therefore, it might eliminate complex and expensive tap
changers.
The environmentally friendly aspect of a superconducting
transformer gives additional impetus to application of these
devices. They do not have environmental, health, and safety
concerns associated with conventional transformers. In urban
settings, most transformers are installed in the basement of
high rise buildings. Environmental concerns are forcing utilities to employ oil free transformers. In a superconducting
transformer, oil is replaced with liquid helium or liquid nitrogen. They are much more benignnontoxic, nonflammable,
and noncarcinogenic. However, in the event of a quench, a
large quantity of helium or nitrogen gas could be released
which could displace oxygen in the surrounding air and present a personnel risk. This risk can be mitigated by controlled
release and installation of exhaust fans.
STATE-OF-THE-ART OF SUPERCONDUCTING TRANSFORMERS
With the advent of HTS conductors, the low temperature
transformer design efforts have essentially been abandoned.
44
SUPERCONDUCTING TRANSFORMERS
SWARN S. KASI
American Superconductor
Corporation
45
Fig. 1. Shown is a sketch of a normalinsulatornormal, NIN, tunneling system. N represents a normal, or nonsuperconducting metal film. I (nominally an insulator) represents a thin, dielectric layer through which quantum-mechanical
tunneling can occur. The normalmetal films are typically approximately 10 nm to 100 nm thick and the dielectric layer is
approximately 1 nm thick. Barrier heights, , are typically in the range of 0.1 eV to 3 eV. At low voltages I R 1 (V + V 3 )
with 1 V 2 .
it was somewhere between a metal and insulator. More exactly, this suggests producing a material close to the
metal-insulator transition. This subject is discussed at further length in connection with research in producing
high-temperature superconductor junctions.
MetalInsulatorMetal Tunneling
A basic tunneling system consists of a metalinsulatormetal (MIM) structure as depicted in Fig. 1. Generally
the system comprises thin metal films 10 nm to 100 nm in thickness, separated by a thin dielectric layer
1 nm in thickness. This dielectric can either be a native oxide created by the oxidation of the base metal
electrode (a good example of which is aluminum) or a deposited artificial barrier, a good example of which is
a semiconductor such as silicon. Tunneling in native and artificial barriers has been reviewed as a separate
topic (9).
If the metals are not in the superconducting state, we have normalinsulatornormal (NIN) tunneling
(10). The currentvoltage characteristics of NIN junctions have been extensively studied in the context of
quantum-mechanical electron tunneling through a potential barrier. The height of the barrier, , governs the
rate of change of current with applied voltage. Barrier heights typically range from 0.1 eV to 3 eV. Simmons
11 has shown that for applied voltages V
where 1 V 2 .
At a given voltage, the current varies with barrier thickness, d and barrier height, as
where d is in nanometers and is in volts (12). Tunneling in more complex although thematically similar
semiconductor systems is discussed by Sze 13.
If we now introduce a superconductor (Fig. 2) as one of the metal elements of the tunnel junction, to
produce a superconductorinsulatornormal (SIN) system, the currentvoltage characteristic becomes highly
modified. Most notable is the introduction of a region in which, at zero temperature, no current flows until a
voltage /e is reached, where is the so called energy gap of the superconducting film. Energy gaps associated
with conventional superconductors such as Pb, Pb alloys, Nb, NbTi, and NbSn are in the few meV (1 meV =
10 3 eV) range, while energy gaps associated with the high-temperature superconductor materials, such as
YBaCuO, are in the 30 meV range.
If we introduce a second superconductor to make an superconductorinsulatorsuperconductor (SIS)
system, something unique occurs (Fig. 3). In this case, two branches develop in the system. If we start at zero
current and increase the current slightly, no voltage will develop across the junction until the critical current,
Ic , is reached. The critical current is related to the energy gaps of the superconductors by Ic = /4(1 + 2 )/eR,
at zero temperature. For example, for Pb and Nb, = 1.37 and 1.53 meV, respectively.
If the critical current is exceeded, a junction driven by a current source will jump (at constant current) over
to the quasi-particle curve. Further increasing the current moves one to higher voltages on the quasi-particle
curve and reducing the current explores the rest of this curve. The zero-voltage state is not recovered until the
current is reduced to zero. If a finite impedance source drives the junction, this switching will occur along the
load-line of the system. This remarkable situation is due the fact that coherent tunneling of Cooper pairs of
electrons (paired electrons in the superconducting state) can occur, producing a zero-voltage superconducting
tunneling state in the system. When the critical current is exceeded, these pairs are broken into quasi particles
with properties akin to electronhole pairs created across a semiconductor energy gap (13).
The current can be described by the Josephson equations (14,15,16)
where is the phase difference between the superconductors. Therefore, increasing the applied current from
zero is equivalent to introducing a quantum-mechanical phase difference between the superconducting elements of the junction.
Beside SIS systems, properly configured SNS systems can also exhibit Josephson effects, as long as the
normal-metal channel between the superconducting materials is of the appropriate geometry. This means that
the normal metal is either a microscopic weak-link connection, point contact, or a thin-film metal constriction
as opposed to a dielectric material (4,17).
Therefore, a finite voltage across a Josephson junction gives rise to a radio frequency (RF) current of
where 2e/h = 483.598 THz V 1 . The presence of an alternating current associated with the appearance of a dc
voltage across the junction is the ac Josephson effect. This means that at finite voltage, the current comprises a
dc component with a superimposed ac modulation at the Josephson frequency. A currentvoltage characteristic
thus represents the time-averaged voltage across the junction as a function of applied current (when the system
is driven by a finite-impedance source). The junction thus acts like an RF frequency-to-voltage converter.
Josephson junctions are in fact actually used as high-frequency sources. For a given junction, roughly 10
nW of power can be produced, although most this is dissipated in the junction resistance. The linewidth of the
radiation is given by
where 0 = h/2e = 2.068 10 15 Wb is the magnetic flux quantum. For T 1 K and R 1 , this means that
f 1 MHz. The linewidth can be reduced by coupling to a high-Q cavity, although with the concomitant loss
of overall operational bandwidth.
Fig. 3. Shown is a sketch of a superconductorinsulatorsuperconductor, SIS, tunneling system. S represents a superconducting film. I (nominally an insulator) represents a thin, dielectric layer through which quantum-mechanical tunneling can
occur. The normalmetal films are typically approximately 10 nm to 100 nm thick and the dielectric layer is approximately
1 nm thick. Increasing the current applied across the device produces no voltage until the critical current, Ic is reached, at
which point increasing or decreasing current drives the system along the nonzero-voltage, quasiparticle currentvoltage
characteristic. The load-line of a system driven by a nonzero impedance source is also indicated. Applying a magnetic field
to a sufficiently small junction (see text) will produce a periodic depression of the critical current. is the magnetic flux
entering the junction. = HW(d + 1 + 2 ), where is the penetration depth and 0 = h/2e = 2.068 10 15 Wb.
To achieve useful output power levels, arrays of junctions are produced to create tunable millimeter wave
sourcestypically as low-noise local oscillators for radio astronomy mixer applications. Junction arrays can
produce 2 W to 6 W of RF power in the 340 GHz to 440 GHz band. To achieve this, all junctions in the
array must be phase locked (18).
The ac Josephson effect can be manifested as Shapiro steps (Fig. 3) by coupling microwave radiation into
the junction. This produces a series of steps of equal width V = (h/2e) where f is the frequency of the applied
microwaves. An important application that exploits this phenomenon, and also uses series arrays of (here
Nb-based) junctions, is the standard volt. Here, a junction array is driven by a microwave source to produce in
excess of 1 V dc on the output terminals of the device (19,20). Only fundamental constants and the frequency of
an external oscillator, which can be established with high accuracy, determine the output voltage. The National
Institute of Standards and Technology (NIST) has developed Josephson arrays for this purpose and they now
serve as the primary US standard volt.
Recent work in this particular area has also included Nb/AuPd/Nb (SNS-type) junctions. These systems
use a normalmetal alloy instead of a dielectric barrier, through which Josephson coupling can also occur to
produce a junction that is inherently resistively shunted (21). Another refinement is to use Ti (22) instead of
AuPd. Titanium is potentially more desirable, because its resistivity (at 4.2 K) is higher than AuPd and it is
compatible with whole-wafer processing techniques.
Experimental work based on a stacks of Josephson junctions (23) has also been explored for potential
submillimeter oscillator applications with, for example, NbCN/MgO/NbCN (24), NbCN/NgO/NbCN (25), and
Nb/Al/AlOx/Nb (26,27) systems. Stacks of Josephson junctions may also be useful as inductive elements in
resistive or rapid single-flux-quantum (RSFQ) digital circuitry. One technical challenge here is producing
junctions with uniform Josephson critical currents, Ic (28).
Microwave irradiation has also been explored on a more purely experimental basis with high-temperature
superconductors. These materials can be viewed as a stack of superconducting CuO planes, with an interplanar
quantum-mechanical coupling that can vary from one material to another. For example, the layer-to-layer
coupling in BaSrCaCuO is far less than in YBaCuO. In fact, in the former case the coupling is similar to the
Josephson coupling occurring in an SIS tunnel junction. Thus BaSrCaCuO is thought capable of mimicking
the behavior of a stack of individual Josephson junctions. With this picture in mind, researchers have applied
microwave radiation to BaSrCaCuO mesas. Microwave steps were in fact observed, but with a voltage spacing
greater than that expected for Shapiro steps (29). Mesa-type TlBaCaCuO devices have also been produced (30)
with microwave applications in mind. Again, however, these systems remain experimental in nature.
Magnetic-Field Effects
The current distribution in a Josephson junction will be uniform if the width, W, of the junction (see Fig. 3) is
small compared with the Josephson penetration depth, J , with
where J c = Ic /A with A the junction area, and t = 1 + 2 + d. The quantities are the penetration depths of
the superconductors composing the junction. This is the scale over which an applied external magnetic field
will penetrate into a superconductor. Here d again is the thickness of the barrier (1,2,3,4,5,6,31).
In the case where we apply a static magnetic field to the junction along the direction of the plane of the
barrier, we will suppress the Josephson current. If we meet the criterion that the junction is small compared
Fig. 4. Shown is the currentvoltage characteristic of a nominally SIS junction created by using a scanning tunneling
microscope tip to peel up a portion of the surface of a high-temperature superconducting BiSrCaCO (2212) film and hold it
in proximity to the underlying material. Although not an ideal characteristic, this demonstrates the ability to achieve (and
provides a means to study in detail) tunneling in the high-temperature superconductor materials. From Miyakawa et al.,
Ref. 32.
with the Josephson penetration depth, this suppression of the critical current will take the form
where Ic0 is the zero-field critical current. For Pb, Nb, NbSn, 50 nm to 100 nm; for NbN, 300 nm; and
for YBaCuO 30 nm and 200 nm. In the last case, parallel and perpendicular refer to the directions
along and perpendicular to the CuO planes.
If a junction is not small by this definition, the current distribution will not be uniform and the suppression
of the critical current will not follow the simple sinx/x behavior noted above. Indeed, the geometry of the junction
can be controlled to produce a critical-current behavior parametrically tailored to specific applications, as in
the case with the use of junctions as elements for digital applications. In addition, the spatial nonuniformity of
the barriers of individual junctions can be diagnosed through a deconvolution of critical current versus applied
magnetic field characteristics (3).
As far as applications are concerned, this general phenomenology implies that a large penetration depth is
generally undesirable. A material such as NbN, which has a larger energy gap than Nb (and from this standpoint
represents a superior material) suffers from this limitation. However, this can be in part engineered around
by creating hybrid NbN/Nb layer pairs in which a balance of the higher critical temperature of NbN (14 K as
opposed to 9.25 K for Nb) and lower penetration depth of Nb is reached. Another important example of the use
of hybrid materials systems also involves Nb as Nb/Al bilayers; these are used to produce high-quality tunnel
junctions as is discussed later (see section entitled Digital Applications.)
Finally we note that SIS junctions have been made with high-temperature superconductor materials as
well. As shown in Fig. 4, such devices have been created with the use of a scanning tunneling microscope tip
which is crashed into the surface of a BiSrCaCuO crystal (32). As the tip is pulled back up, a junction is created
between superconducting material remaining on the tip and the underlying crystal. While hardly a practical
device, with less-than-perfect currentvoltage characteristics, this nonetheless illustrates the potential for
creating devices from high-temperature superconductor material and has provided a valuable vehicle for
fundamental studies of the system.
Fig. 5. The resistively shunted junction (RSJ) model for tunneling in SIS systems. This diagram illustrates that the
degree of hysteresis in a junction with a given resistance and capacitance is governed by the magnitude of the parameter
= (2e/h)Ic R2 C. The parameter can be viewed as setting the degree of damping in the circuit.
where c = (2e/)Ic R represents the upper operational frequency of the junction and p = (2eIc /C)1/2 is the
plasma or lower-bound propagation frequency of the system. It is customary to define the StewartMcCumber
parameter
where again J c = Ic /A, A is the junction area (W2 in Fig. 3), r is the dielectric constant of the junction barrier
material, 0 = 8.85 10 12 F/m, and d is the barrier thickness. Improved performance at high frequencies
generally implies smaller areas and a concomitant increase in J c to achieve 1 (33). Note that in principle
is an intrinsic property of the superconductors. Its actual value, however, can be lower than the indicated
theoretical result due to gap suppression at film surfaces and other effects.
10
Fig. 6. Shown is a sketch of a dc SQUID (superconducting quantum interference device) comprising a loop with two
Josephson junctions. Shunt resistances are used to control the damping parameter () of the junctions. The currentvoltage
characteristics and voltageflux characteristics are shown for an applied flux .
which generally suggests the need for devices with the smallest inductance and capacitance, operating at the
lowest temperatures. The use of low-temperature superconductors (Pb, Pb-alloys, Nb, and so on) generally
means operation at 4.2 K, (the boiling point of liquid helium at 1 atm) and temperatures less than 80 K for the
high-temperature superconductor materials. A method successfully used to reduce inductance is the washer
loop (39). Because of the Meissner effect associated with superconductivity, flux transformers can be used
to collect and couple flux from a larger, external superconducting loop and present it to the SQUID using a
multiturn thin-film coil.
High-temperature superconductors have also been relatively successfully explored for SQUID applications. An approach to creating Josephson junctions in high-temperature superconductor materials is to simply
make a step in a substrate to create a step-edge junction. Material grown across the edge acquires a defect that
creates a weakly linked Josephson junction, with characteristics similar to a resistively shunted SIS system.
It was realized that the actual angle of the step or ramp was important in consistently creating junctions
with desired characteristics (40,41,42). Along the same lines, junctions made with silicon-on-sapphire (SOS)
substrates have demonstrated RSJ characteristics (43,44) and were used to make the first SQUIDs operating
at 77 K using step-edge techniques (45).
A different approach to the use of high-temperature superconductor materials is the use of bicrystal substrates. Here, two single crystals (for example, of SrTiO3 ) are fused together at a predetermined angle to create
an off-axis interface. Junctions are made by depositing a thin film of high-temperature superconductor material
across this disruptive interface. Bicrystal work in particular has consistently shown RSJ-type currentvoltage
characteristics and critical currents that are reproducibly correlated to the angular displacement of the
11
Fig. 7. SQUID structure from IBM. Most of the structure seen in the figure is the superconducting loop containing a flux
dam, visible as the triangular restriction on the left, to reduce device noise by limiting circulating currents in the flux loop
lines. The Josephson junctions incorporated into the structure are step-edge devices. The overall size of the SQUID is 1
1 cm. From Sun et al., Ref. 53.
substrate crystal lattices. These bicrystal junctions have been successfully incorporated into YBaCuO (46,47,48)
and BaSrCaCuO SQUIDs (49,50). Bicrystal junctions of MgO (51) have also been discussed for use in SQUIDs
targeted for nondestructive evaluation systems. Noise studies (52) suggest that bicrystal and step-edge techniques generally produce devices of comparable quality. However, although the techniques are suitable for
few-device applications such as SQUIDs, they are not appropriate for medium- or large-scale integration.
The practical implementation of high-temperature SQUID technology is shown in Fig. 7. The work is
from Kochs group at IBM (53). Here junctions are formatted into a large loop containing flux dams, one of
which is shown to the left as a constriction in the loop. The constriction creates a fuselike link to help eliminate
noise-generating current loops.
Beside the double-junction dc SQUID, there is also the single-junction RF SQUID, depicted in Fig. 8. With
this device, flux quantization in the ring, along with the corresponding variation of the Josephson current with
flux, produce a variation in the inductance of the SQUID loop. This change in loop inductance is coupled to and
thus shifts the resonant frequency of an external, RF-driven tank circuit. Because of its relative simplicity and
the requirement for only a single, shunted Josephson junction, this scheme was initially and has continued to
be the device of choice for many commercial applications, notably for nondestructive evaluation and SQUID
voltmeters.
We note finally that, irrespective of the type of SQUID employed, applications such as biomedical diagnostics typically require operation within the confines of a carefully shielded magnetic enclosure. However, for
12
Fig. 8. Shown the schematic of an RF SQUID. This type of SQUID uses a single (shunted) Josephson junction in a loop.
Applied external flux () acts to alter the impedance of the loop, which is inductively coupled to and shifts the frequency
of an RF driven tank circuit.
such applications such as nondestructive evaluation field work, SQUID gradiometers can be employed that
are more immune from background magnetic fields and can be optimized for unshielded performance. This
implies a compromise between field sensitivity and voltage modulation characteristics. For example, SQUIDs
for nondestructive evaluation (54) are cited as having a flux noise at 1 Hz of 134 0 Hz 1/2 and 60 0 Hz 1/2
at 10 Hz, qualifying them as prototype commercial systems (55).
In addition to the measurement of flux, SQUIDs can also be configured to perform other functions.
This includes the measurement of ultrasmall voltages. SQUID voltmeters are commercially available for
measurements in the 1 pV rangelimited by noise to a range of 10 10 V/Hz 1/2 at 100 . Radio frequency
SQUIDs have also been employed as low-noise amplifiers to 100 MHz.
13
noise temperatures for 40 GHz to 1 THz are typically within an order of magnitude of the quantum noise
limit of hf /kB . This currently exceeds the performance of high-electron-mobility transistor (HEMT) devices.
One critical element in mixing is junction quality. The magnitude of the tunneling current for voltages
below the turn-on voltage for quasi-particle tunneling, that is, voltages below the sum-gap voltage, is a crital
factor in mixer noise performance. Leakage conduction in this regime needs to be as small as possible and is
limited in theory only by thermal excitations, but in practice can be dominated by imperfections in the tunnel
barrier itself. For this reason, junctions based on oxidized tin and other soft metals were initially employed
because of their extremely low so-called subgap conductance due to the high quality of the Sn-oxide barrier.
However metals such as Sn are not physically robust with respect to thermal cycling (from their 1 K operating
temperature to room temperature).
Mixing requires a very high quality dielectric barrier integrated into superconductive elements with
the highest possible energy gap (and hence critical temperature) to provide the highest operating frequency.
Matching requirements also mean that junction resistance be in the 20 to 100 range and that 1 < 2RCf <
10. Practical compromises have resulted in the use of hybrid systems such as Nb-based electrodes, aluminumoxide barriers, and Pb-alloy counterelectrodes to form NbAl2 O3 Pb-alloy junctions. This is a good union
between the thermal stability of Nb and the high-quality dielectric properties of Al2 O3 . NbAl2 O3 Nb junctions
have also been adopted for mixing applications. The upper operational limit for Nb-based junctions is 1.3 THz.
Junctions based on NbN (with a critical temperature of 14 K compared with the 9.25 K transition temperature
of niobium) have also been made, which have an operational mixing limit of 2.5 THz. Early NbN work
explored both NbNMgONbN (59,60), and NbNAlNNbN systems (61,62,63). Recent NbN work has produced
devices with double sideband receiver noise temperatures of 1450 K at 600 GHz and 2800 K at 950 GHz (64).
Mixing experiments have also been conducted with high-temperature superconductor materials. For
example, bicrystal silicon-based junctions have been produced for this purpose (65). Bicrystal results on silicon
and sapphire (66) appear to be comparable to those achieved with SrTiO3 in terms of the overall nature of their
currentvoltage characteristics, but without the disadvantage of the large dielectric effects of SrTiO3 . Both
Shapiro steps (67) and harmonic mixing of a 1.6 THz signal have been observed (68) in such systems. However,
high-temperature superconductor tunnel systems that have demonstrably fulfilled the stringent requirements
for quasi-particle mixing applications have yet to be produced. These applications will require (non-RSJ-like)
high-quality, thin-film, SIS type junctions with low subgap conductance.
Digital Applications
Researchers with an interest in digital applications such as ultrahigh-speed analog-to-digital conversion (ADC)
have been attracted by the fundamental properties of Josephson devices. Josephson junction are fast, with 1
ps switching times; have low switching power, P I2 c R 0.1 W; and can be matched to the impedance of
modern microstrip technology (69).
The most extensive effort to use Josephson devices to create a prototype superconducting computer was
the much-discussed program at IBM. Although the program was not successful in achieving its ultimate goal,
a great deal was learned and much progress was made (70). Lead-alloy technology that produced junctions
with reproducible characteristics and that could be thermally cycled was used. The logic elements were based
on latching circuitry with underdamped junctions (p c ) such that the binary state was defined by the
voltage state of a Josephson junction. For example, a junction can be switched from a zero-voltage state to
a nonzero voltage state along the load-line of the device. As discussed by 69, this type of approach has fast
set times (1 ps) but slow reset times (10 ns). Other difficulties with this arrangement include problems in
achieving uniform device clocking across an entire logic array and high latching power (1 W) per gate. More
recent work with single-flux-quantum (SFQ) logic (referring to logic based on the presence of single fluxiods in
SQUID-based logic elements) has switched to Nb-based junctions (71,72,73,74) in a variety of formats.
14
A new logic type, which is fundamentally different from latching-type logic, has also been introduced.
Called RSFQ (resistive/rapid single flux quantum) logic (69,75), it is a hybrid logic family, wherein junctions
are configured to have both logic and (dynamic) memory functions and logic operations are performed on pulses
originating from traditional SFQ devices. This approach addresses to some extent a major problem that was
encountered in the IBM experimt: matching SFQ logic and memory elements. The approach also recovers
much of the fundamentally fast switching times and low switching power of Josephson devices (the latter since
junctions are open for only a small part of a clock period). Switching powers of 10 7 W/gate (10 18 J/bit)
implies that packing densities of 107 gates/cm2 are possible at speeds to 500 GHz with 1 m linewidths and
at greater speeds for narrower lines (4).
In present practice, much of the effort is not in computing per se but high-speed digital processing,
especially ADC [and agile programmable voltage standards (76,77)] with RSFQ as a favored digital logic
format (78,79). For example, 1024-bit shift registers have been operated at up to 20 GHz (80).
The current application of Josephson technology is still largely based on niobium. Niobium technology is
mature and now appears to be the standard for digital Josephson applications. Niobium based junctions are
physically robust and amenable to large-scale integration as a result of the important innovation of replacing
the native oxide that grows on niobium, which generally forms a poor quality barrier with a relatively large
dielectric constant (140 fF/m2 ) (81,82). The idea is that the niobium base electrode is capped in situ with a
thin aluminum film (1 nm to 8 nm thick), which is oxidized to completion to form a surface-layer junction and
in the process prevents the growth of NbOx (9,83,84). This scheme successfully combines the relatively high
critical temperature, T c , of the (robust) Nb underlayer (9.25 K) with the unsurpassed qualities of Al2 O3 , which
is an excellent barrier material with a relatively low dielectric constant (60 fF/m2 ) (84) compared with Nb
oxide. A large-scale process for creating many such junctions with a simple anodization process was developed
by 85,86). Likharev has reviewed the complexities of junction fabrication for digital and other applications (4).
A good example of contemporary large-scale Josephson technology is the work at HYPRES Inc., which
produces large-scale integrated Nb-based Josephson tunnel junction circuitry using RSFQ logic. A recently
manufactured large-scale integration ADC is shown in Fig. 9. Target applications for such systems would
include high-resolution ADCs for radar and time-to-digital converters (TDCs) to measure the timing of events
in high-energy and nuclear physics experiments. These systems have demonstrated flash ADC with 6-bit
resolution, in the 1 GHz to 10 GHz operating range (87).
Another potential application for the fast switching speed of Josephson junctions is cross-bar and related
switching matrices for switching between processors and memory (88,89). This is important to high-speed
telecommunications and computation applications.
We finally note that other approaches based on quantum-limited-logic have been proposed and may be
competitive in some areas. One of these is single-electron logic (SEL), which is based on charging effects in
ultrasmall capacitance tunnel junctions (and not the Josephson effect). SEL is operationally similar to RSFQ
logic, but the former is based on the presence or absence of single electrons rather than magnetic fluxoids
(loosely speaking, bits based on single electrons with charge e as opposed to single fluxoids with flux 0 ) (4,69).
15
Fig. 9. Modern analog/digital converter from HYPRES, Inc. using niobium technology. The chip is a 6-bit flash ADC with
a 32-word shift resister memory and operates at 16 GS/s.
superconductor digital applications is the uniformity of the Josephson critical current density (Josephson critical current per unit junction area) for junctions across a single chip and chip-to-chip critical current uniformity.
A maximum variation of a few percentage points in critical current density is probably necessary for large-scale
applications (90), and this has yet to be achieved. At present, achievable spreads in critical current density are
more in the vicinity of (or perhaps somewhat less than) 10 percent. This single consideration is a dominant
factor governing which basic device format will be successful for high-temperature superconducting materials,
a number of which have been and are currently being explored in an attempt to find a suitable candidate to
meet this criterion.
We again note that high-temperature superconductors can be viewed as quasi-two-dimensional
materialsa stack of Josephson coupled two-dimensional superconducting layers. This basic physical structure, combined with a propensity for naturally occurring grain boundarieswhich also act as Josephson
weak linkshas led to the investigation of intrinsic Josephson effects (91,92,93,94,95,96,97,98,99). Some of
the devices based on naturally occurring, intergranular weak links have exhibited good critical-current and
normal-state characteristics. However, the use of naturally occurring weak links to create junctions per se has
typically lead to poor reproducibility. Focused ion beam (FIB) techniques have also been used in an attempt to
artificially induce defects at given locations by inducing substrate damage (100).
A successful approach to creating SNS type junctions in preselected locations is focused electron beam
(FEB) writing. Here, an electron beam is directed at a location on a superconducting thin film, creating a narrow, damaged line of material. The material then acts as a normal metal to create an HTS/N/HTS (HTS,
high-temperature superconductor) device (101,102,103,104,105,106). The technique can produce RSJ-like
16
Fig. 10. Sketch of the ramp junction geometry used with high-temperature superconductor materials, shown here with
YBaCuO films.
microwave behavior (107,108). An example is the work at Cambridge (104), where a computer-controlled
electron beam (350 KeV at 400 pA) is swept across narrow lines of YBaCuO. This process has produced junctions with an SNS character (17) with long-term room-temperature stability. One drawback of the large-scale
use of FEB is its slow materials processing speed, since each device must be individually electron-beam written.
High-Temperature Superconductor Ramp Junctions. The idea of creating a step in a substrate
has also been extended to make SNS and SIS type structures where the normal and insulating materials are
separately introduced films as opposed to defect-modified high-temperature superconductor material. Originally, SNS junctions used normal metals such as gold; however, such devices appear to have been dominated by
interface resistance. More recently, both cobalt-doped YBaCuO and gallium-doped PrBaCaO have been used
as generic barrier materials in YBCO/barrier/YBCO systems, selected because they are physically compatible
with YBaCuO and their conductance properties can be tuned with doping level. Cobalt-doped YBaCuO has a
relatively low resistance, as opposed to gallium-doped PrBaCuO, and thus imposes a somewhat limited device
operating temperature range because the material becomes superconducting below some temperature (109),
although relatively narrow critical current density spreads (12 percent variation) have been observed with
the material (110,111). Such junctions have also been employed in SQUIDs (112).
Gallium-doped PrBaCuO tunnel barriers tend to produce relatively high resistance barriers and must be
operated below 77 K. Nevertheless, the material can produce junctions with values of Ic R in a technologically
useful range (1 mV) and with independently adjustable critical current density and resistance (113), which
is important for engineering considerations. In one view of tunneling in PrBaCuO, supercurrent (zero-voltage)
conduction occurs via direct tunneling through the barrier whereas quasi-particle (nonzero-voltage) conduction
occurs via resonant tunneling channels within the barrier (114). The fundamentals of this issue were also
addressed, with device applications in mind, by work with amorphous silicon barriers (115).
HTS/I/HTS tunnel junctions using PrBaCuO barriers in a ramp format have been produced through a
variety of techniques (116,117). A sketch of the standard ramp junction format used with high-temperature
superconductor materials is shown in Fig. 10. All tend to produce devices with RSJ-like characteristics [with Ic R
1 mV at 4.2 K, which scales with PrBaCuO doping (118)]. The combination of step-edge substrates and doped
barriers has been promising overall, and these devices have been modeled by microscopic theory (17), although
the full applicability of standard proximity-effect theory with regard to high-temperature superconductor
materials remains an open question (119).
To date, small circuits have been put together with these junctions, which are designed with tolerance to
the large spreads that exist at present in junction critical current (120,121,122,123,124). Clearly, again, the
challenge is to improve on the reproducibility of critical currents in this or any other device configuration that
may present itself.
Related to this work are engineered interface YBaCuO/I/YBaCuOtype junctions. Here, the barriers are
produced by relatively subtle damage to the base YBaCuO film and then YBaCuO is simply deposited on top.
The suggestion is that the barrier comprises a thin layer of YBaCuO with oxygen disorder or deficiency perhaps
a few nanometers thick (125). Other groups have been experimenting with this and related techniques (126).
In summary, a variety of promising approaches have been explored with high-temperature superconductor materials to produce junctions for SQUID and digital applications. The primary goal is to produce junctions
17
that are parametrically reproducible, and the secondary goal is to produce a thin-film barrier compatible with
the high-temperature superconductor materials with transport properties close to the metalinsulator transition. The latter would allow the junction to be self-shunting and thus allow for a broader use of the devices. This
balancing act is challenging in light of the problems of material compatibility with high-temperature superconductor systems and unanswered questions regarding the physics of barriers (especially high-temperature
superconductor-compatible materials) near the metalinsulator transition.
High-Temperature Superconductor Applications:Technical Considerations. A great deal of effort has gone into the application of high-temperature superconductor materials for SQUIDs and SFQ/RSFQ
logic circuitry. Such circuits need nonhysteretic junctions with Ic 50 A to 500 A and R 1 for 10 < T
< 77 K, which is achieved with low-temperature superconductors at 4.2 K by shunting. In the case of hightemperature superconductor materials, compatible barrier materialssuch as doped PrBaCuO or YBaCuO
typically have far lower resistivities than barriers such as Al2 O3 . Therefore, as noted, these barrier materials
have been examined with a view toward obtaining high-temperature superconductorbased junctions in the
parametrically desirable region where shunting would be unnecessary (109,127,128).
In Likharevs description of SFQ circuits (129) it was shown that for niobium junctions with Ic R 0.2
mV, operation can be in the 100 GHz range. For SFQ to work, one needs series or parallel loops of junctions
with inductance L such that (1/2)0 < LIc < (3/2)0 . This limits the acceptable range of Ic for junctions. A
lumped-parameter analysis (130) indicates that at 10 K the minimum Ic for Ic R 0.1 mV to 1.0 mV is 0.2
m and for high temperatures, 60 K to 70 K, Ic will need to be 1.2 mA. The analysis also indicates that to
avoid latching and ensure sufficiently fast signal propagation, the condition on junction resistance will be that
Rmax 4 for r = 40 with 1 m lines and 2 for r = 100, where r is the dielectric constant of the material
adjacent to the signal lines.
This analysis and the results of other work (131,132) suggest that devices using PrBaCuO-based barriers
can achieve critical currents, Ic , in the range of 105 A/cm2 and still be nonhysteretic. The ultimate conclusion
is that that PrBaCuO barriers can give junctions parametrically compatible with SFQ applications.
Three-Terminal Devices
One overriding characteristic of a Josephson junction is that it is a two-terminal device, more like a forwardbiased diode with a hysteretic current-voltage characteristic than a transistor, which has a gate or base terminal. However, there have been a number of proposed and experimentally examined three-terminal superconducting devices. These include hybrid superconductorsemiconductor devices, such as junction and field-effect
transistors, and nonequilibrium superconducting devices, such as stacked-junctions and injection-controlled
weak links (133). At present none of these devices has found its way into standard use, because they generally have not exhibited above unity gain, although in theory this appears to be possible (134). One inherent
problem is the high carrier density of conventional superconducting materials, making it difficult to modulate
device transconductance. However, high-temperature superconductor materials, which have far lower carrier
densities and perhaps other parametric advantages for certain device configurations (135), provide somewhat
more promise for three-terminal devices.
Early work on Josephson FETs per se has included InAlAs/InGaAs HEMT-type configurations, where
a gate controls the magnitude of the Josephson current (136). The overall prospects for Josephson FETs
(137), and experimental results with HEMPT devices (138) have also been presented. Generally it appears
ultimately possible to create a device with voltage gain, driving loads of 100 . FET-type structures have
also been created in YBaCuO/barrier/YBaCuO SIS-type systems, where a base layer is used to apply a strong
electric field across the barrier region using an external gate, causing a change in surface carrier density and a
corresponding modulation of the Josephson current. Examples of this work include YBaCuO/SrTiO3 /YBaCuO
and related structures (139,140,141,142,143,144,145,146) and Au/SrTiO3 /YBaCuO (NIS) structures (147,148).
18
Fig. 11. The figure shows the structure of a proposed magnetic/superconductor memory element. Shown are parallel (a)
and antiparallel (b) configurations of magnetization in the M layers. Figure from Sangjun et al., Ref. 170.
In general, FET structures have to date not shown anything but relatively weak effects, although work
on a variety of promising systems continues (149). The work is motivated in part because the devices have
the potential to serve as a Josephson-to-semiconductor logic interface. The general problem to be addressed is
that Josephson logic output voltages are 3 mV (for low-temperature superconductors) while a CMOS device
operates at 1 V. Some work has been specifically directed at this issue (150,151), including interface electronics
combining 4 K Josephson drivers and 77 K semiconducting HEMT amplifiers with a predicted speed of 1 GHz
to 3 GHz (152).
A variety of other schemes have also been advanced for both low- and high-temperature systems. These fall
under the generic categories of electric-field controlled devices (153,154,155,156,157), quasi-particle injection
devices (158,159), flux-flow transistors (160,161), and dielectric-base transistors (66,162,163,164,165).
Although these ideas continued to be pursued, an achievable device format with useful gain has yet to
emerge unambiguously. Certainly, three-terminal high-temperature superconductor devices will require higher
quality junctions and for FETs, dielectric gates compatible with high-temperature superconductor materials
and better geometries to provide improved coupling of electric fields into junctions. Thorough discussions of
three-terminal Josephseon devices are available in the literature (155,167,168,169).
19
There has also recently been considerable interest in the physics and device implications of tunnel junctions containing magnetic elements (171,172,173,174,175), which may also be compatible with and complementary to Josephson circuitry.
Acknowledgments
I wish to thank Alan Kleinsasser for many useful comments on the text. I also wish to acknowledge insightful
discussions with M. R. Beasley, D. A. Moore, J. M. Rowell, and J. Talvacchio and comments by Alan Kadin. I
also gratefully acknowledge support during the preparation of this work by the Department of Energy, Division
of Materials Sciences, through grant DE-FG02-88ER45373.
BIBLIOGRAPHY
1. T. Van Duzer C. W. Turner Principles of Superconductive Devices and Circuits, New York: Elsevier North Holland,
1981.
2. A. Barone G. Paterno Principles and Applications of the Josephson Effect, New York: Wiley, 1982.
3. A. Barone G. Patterson Physics and Application of the Josephson Effect, New York: Wiley, 1982.
4. K. K. Likharev Dynamics of Josephson Junctions and Circuits, New York: Gordon and Breach, 1986.
5. H. Weinstock M. Nisenoff Superconducting Electronics, Berlin: Springer-Verlag, 1989.
6. J. C. Gallop SQUIDs, the Josephson Effects and Superconducting Electronics, New York: Adam Hilger, 1990.
7. S. T. Ruggiero D. A. Rudman Superconducting Devices, New York: Academic Press, 1990.
8. T. P. Orlando K. A. Delin Foundations of Applied Superconductivity, Reading, MA: Addison-Wesley, 1991.
9. S. T. Ruggiero Artificial tunnel barriers, in S. T. Ruggiero, D. A. Rudman (eds.), Superconducting Devices, New York:
Academic Press, 1990, pp. 373390.
10. I. Giaever Metal-insulator-metal tunneling, in E. Burstein and S. Lundqvist (eds.), Tunneling Phenomena in Solids,
New York: Plenum, 1969, pp. 1930.
11. J. G. Simmons Low-voltage current-voltage relationships of tunnel junctions, J. Appl. Phys., 34: 238, 1963.
12. J. G. Simmons Image force in metal-oxide-metal tunnel junctions, in E. Burnstein and S. Lundqvist (eds.), Tunneling
Phenomena in Solids, New York: Plenum, 1969, pp. 135148.
13. S. M. Sze Physics of Semiconductor Devices, New York: Wiley, 1981.
14. B. D. Josephson Possible new effects in superconducting tunneling, Phys. Lett., 1: 251, 1962.
15. B. D. Josephson The discovery of tunneling supercurrents, Science, 184: 527, 1974.
16. P. W. Anderson J. M. Rowell Probable observation of the Josephson superconducting tunneling effect, Phys. Rev. Lett.,
10: 230, 1963.
17. K. A. Delin W. A. Kleinsasser Stationary properties of high-critical-temperature proximity effect Josephson junctions,
Supercond. Sci. Technol., 9: 227, 1996.
18. J. Lukens Josephson arrays as high frequency sources, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, New York: Academic Press, 1990, pp. 135167.
19. S. P. Benz Superconductor-normal-superconductor junctions for programmable voltage standards, Appl. Phys. Lett.,
67: 2714, 1995.
20. C. A. Hamilton C. J. Burroughs S. P. Benz Josephson voltage standarda review, IEEE Trans. Appl. Supercon., 7:
3756, 1997.
21. S. P. Benz, et al. Stable 1 volt programmable voltage standard, Appl. Phys. Lett., 71: 1866, 1997.
22. L. Fritsch, et al. Superconductornormal metalsuperconductor Josephson junctions with Ti interlayer, Appl. Phys.
Lett., 73: 1583, 1998.
23. I. P. Nevirkovets Fabrication, dc characteristics of vertically stacked SIS-type structures for use as low-temperature
detectors, Supercond. Sci. Technol., 8: 575, 1995.
24. A. V. Ustinov H. Kohlstedt C. Heiden Coherent flux-flow in vertically stacked long Josephson tunnel junctions, IEEE
Trans. Appl. Superconduct., 5: 2743, 1995.
20
25. A. Shoji T. Kikuchi H. Yamamori Uniformity of critical currents of vertically stacked Josephson junctions, in Extended
Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 157, 1997.
26. M. G. Blamire, et al. Characteristics of vertically-stacked planar tunnel junction structures, IEEE Trans. Magn.,
MAG-25: 1135, 1989.
27. I. P. Nevirkovets L. P. Stryzhko A. V. Poladich Photon-assisted tunneling in stacked tunnel structures by millimeter
wave irradiation, Physica B, 194196: 2395, 1994.
28. S. Lomatch E. D. Rippert J. B. Ketterson Multilayer Josephson junction flux quantum devices, IEEE Trans. Appl.
Supercond., 5: 3147, 1995.
29. M. Scheuerman J. T. Chen J. J. Chang Interaction between microwaves and a single vortex in a long Josephson
tunnel junction, J. Appl. Phys., 54: 3286, 1983.
30. S. Yoshikawa, et al. Intrinsic Josephson junctions ofTl2 Ba2 Ca CuOx thin films for high frequency devices, Physica C,
293: 44, 1997.
31. M. Tinkham Introduction to Superconductivity, 2nd ed., New York: McGraw-Hill, 1996.
32. N. Miyakawa, et al. Strong dependence of the superconducting gap on oxygen doping from tunneling measurements
on Bi2 Sr2 Cau2 O7 , Phys. Rev. Lett., 80: 157, 1998.
33. A. W. Kleinsasser R. A. Buhrman High-quality submicron niobium tunnel junctions with reactive-ion-beam oxidation,
Appl. Phys. Lett., 37: 841, 1980.
34. D. J. van Harlingen R. H. Koch J. Clarke Dc SQUID near the quantum noise limit, Physica (Utrech), B108: 1083,
1981.
35. D. J. van Harlingen R. H. Koch J. Clarke Superconducting quantum interference device with very low magnetic flux
noise energy, Appl. Phys. Lett., 41: 197, 1982.
36. Y. Zhang, et al. Applications of high-temperature SQUIDs, Appl. Supercond., 3: 367, 1995.
37. F. Ludwig, et al. Multilayer magnetometers based on high-Tc SQUIDs, Appl. Supercond., 3: 383, 1995.
38. G. L. Romani The use of SQUIDs in the study of biomagnetic fields, in H.-D. Hahlbohm and H. Lubbig (eds.), SQUID
85. Berlin: de Gruyer, 1985.
39. J. Clarke SQUIDs: Principles, noise and applications, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, New York: Academic Press, 1990, pp. 5199.
40. J. Gao, et al. Controlled preparation of all high-Tc SNS-type edge junctions and dc SQUIDs, Physica C, 171: 126,
1990.
41. C. L. Jia, et al. Microstructure of epitaxial YBa2 Cu3 O7 films on step-edge SrTiO, Physica C, 175: 545, 1991.
42. R. B. Laibowitz, et al. All high Tc edge junctions and SQUIDs, Appl. Phys. Lett., 56: 686, 1990.
43. Y. A. Boikov, et al. Biepitaxial Josephson junctions with high critical current density based on YBa2 /Cu3 /O7 films
on silicon on sapphire, J. Appl. Phys., 77: 1654, 1995.
44. B. N. Hunt, et al. High-Tc edge-geometry SNS weak link on silicon-on-sapphire substrates, Physica C, 230: 141, 1994.
45. M. J. Burns, et al. Demonstration of YBa2 Cu3 O7 and complementary metal-oxide-semiconductor device fabrication
on the same sapphire substrate, Appl. Phys. Lett., 63: 1282, 1993.
46. D. Koelle, et al. High performance dc SQUID magnetometers with single layer YBa2 /Cu3 /O7 x flux transformers,
Appl. Phys. Lett., 63: 3630, 1993.
47. R. Cantor, et al. Low-noise, single-layer YBa2 /Cu3 /O7 x dc SQUID magnetometers at 77 K, IEEE Trans. Appl.
Supercond., 5: 2927, 1995.
48. F. Dillnn V. N. Giyantsev M. Siegel Performance of YBa2 /Cu3 /O7 direct current SQUIDs with high-resistance, Appl.
Phys. Lett., 69: 1948, 1996.
49. T. Amrein, et al. Thin film Bi2 Sr2 CaCu2 O8+x Josephson junctions and direct current superconducting quantum
interference devices on (001) SrTiO3 bicrystals, Appl. Phys. Lett., 63: 1978, 1993.
50. T. Takami, et al. Dc superconducting quantum interference devices with BiSrCaCuO bicrystal grain boundary
junctions at 77 K, Jpn. J. Appl. Phys., 35: L391, 1996.
51. C. Carr, et al. Electromagnetic nondestructive evaluation: Moving HTS SQUIDs, including field nulling and dual
frequency measurements, IEEE Trans. Appl. Supercond., 7: 3275, 1997.
52. L. Hao J. C. Macfarlane C. M. Pegrum Excess noise in YBa2 Cu3 O7 thin film grain boundary Josephson junctions and
devices, Supercond. Sci. Technol., 9: 678, 1996.
53. J. Z. Sun, et al. Improved process for high-Tc superconducting step-edge junctions, Appl. Phys. Lett., 63: 1561,
1993.
21
54. A. Cochran, et al. Experimental results in non-destructive evaluation with HTS SQUIDs, in Proc. EUCAS 95,
Institute Physics Conf., 2: 1511, 1995.
55. L. N. Morgan, et al. Electromagnetic nondestructive evaluation with simple HTS SQUIDs: Measurements and
modeling, IEEE Trans. Appl. Supercond., 5: 3127, 1995.
56. Q. Hu P. L. Richards Quasiparticle mixers and detectors, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, New York: Academic Press, 1990, pp. 169196.
57. J. R. Tucker M. J. Feldman Quantum detection at millimeter wavelengths, Rev. Mod. Phys., 57: 1055, 1985.
58. P. L. Richards Q. Hu Superconducting components for infrared and millimeter-wave receivers, Proc. IEEE, 77: 1233,
1989.
59. J. A. Stern, et al. NbN/MgO/NbN SIS tunnel junctions for sub mm wave mixers, IEEE Trans. Magn., 25: 1054, 1989.
60. W. R. McGrath, et al. Performance of NbN superconductive tunnel junctions as SIS mixers at 205 GHz, IEEE Trans.
Magn., 27: 2650, 1991.
61. W. Zhen A. Kawakami Y. Uzawa NbN/AlN/NbN tunnel junctions with high current density up to 54 kA/cm2 , Appl.
Phys. Lett., 70: 114, 1997.
62. Y. Uzawa, et al. Submillimeter wave responses in NbN/AlN/NbN tunnel junctions, Appl. Phys. Lett., 66: 1992, 1995.
63. Y. Uzawa W. Zhen A. Kawakami Quasi-optical submillimeter-wave mixeres with NbN/AlN/NbN tunnel junctions,
Appl. Phys. Lett., 69: 2435, 1996.
64. P. Dielman, et al. Shot noise in NbN SIS junctions suitable for THz radiation detection, in Appl. Superconductivity,
Proc. of EUCAS 1997 3rd. Eur. Conf. Appl. Superconductivity, 1: 421, 1997.
65. J. Chen, et al. YBa2 Cu3 O7 angle grain boundary junction on Si bicrystal substrate, Jp. J. Appl. Phys., 30: 1964,
1991
66. P. Seidel S. Linzen F. Schmidl High-Tc thin films and Josephson junctions on silicon and sapphire substrates, in
Extended Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 178, 1997.
67. P. A. Rosenthal E. N. Grossman Terahertz Shapiro steps in high temperature SNS Josephson junctions, IEEE Trans.
Microw. Theory Tech., 42: 547, 1994.
68. K. Nakajima et al. THz response of YBCO grain boundary junctions, in Extended Abstr. 5th Int. Workshop High-Temp.
Supercond. Electron Devices, Tokyo, FED-157: 185, 1997.
69. K. K. Likharev V. K. Semenow A. B. Zorin New possibilities for superconductor devices, in S. T. Ruggiero and D. A.
Rudman (eds.), Superconducting Devices, New York: Academic Press, 1990, pp. 149.
70. Collection of articles, IBM J. Res. Develop., 24, 1980.
71. S. Kotani et al. Feasibility of an ultra-high-speed Josephson multiplier, IEEE J. Solid-State Circuits., SC-22: 98,
1987.
72. H. Hayakawa Computing, in S. T. Ruggiero, D. A. Rudman (eds.), Superconducting Devices, New York: Academic
Press, 1990, pp. 101134.
73. T. van Duzer G. Lee Digital signal processing, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting Devices,
New York: Academic Press, 1990, pp. 197225.
74. R. S. Withers Wideband analog signal processing, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, New York: Academic Press, 1990, pp. 227272.
75. K. K. Likharev O. A. Mukhanov V. K. Semenov Resistive single flux quantum logic for the Josephson-junction digital
technology, in H.-D. Hahlbohm and H. Lubbig (eds.) SQUID 85, Berlin: de Gruyer, 1985, pp. 11031108.
76. S. P. Benz C. J. Burroughs C. A. Hamilton Operating margins for a pulse-driven programmable voltage standard,
IEEE Trans. Appl. Supercond., 7: 2653, 1997.
77. S. P. Benz C. A. Hamilton A pulse-driven programmable Josephson voltage standard, Appl. Phys. Lett., 68: 3171,
1996.
78. K. K. Likharev V. K. Semenov RSFQ logic/memory family: A new Josephson-junction technology for sub-teraherzclock-frequency digital systems, IEEE Trans. Appl. Supercond., 1: 3, 1991.
79. K. K. Likharev Ultrafast superconducting electronics: RSFQ technology roadmap, Proc. Low Temp. Phys. Conf. LT-21,
1996.
80. O. A. Mukhanov RSFQ 1024-bit shift register for acquisition memory, IEEE Trans. Appl. Supercond., 3: 3102, 1993.
81. J. H. Magerlein Specific capacitance of Josephson tunnel junctions, IEEE Trans. Magn., 17: 286, 1981.
82. R. F. Broom et al. Niobium oxide-barrier tunnel junction, IEEE Trans. Elect. Dev., ED-27: 1998, 1980.
83. E. L. Wolf, et al. Proximity electron tunneling spectroscopy I. Experiments on Nb, J. Low Temp. Phys., 40: 19, 1980.
22
84. M. Gurvitch M. A. Washington H. A. Huggins High quality refractory tunnel junctions using thin aluminum layers,
Appl. Phys. Lett., 42: 472, 1983.
85. H. Kroger L. N. Smith D. W. Jillie Selective niobium anodization process for fabricating Josephson tunnel junctions,
Appl. Phys. Lett., 39: 280, 1981.
86. D. Jillie et al. All-refractor, Josephson logic circuits, IEEE J. Sol. State Circuits, SC-18: 173, 1983.
87. P. Bradley A 6-bit Josephson flash A/D converter with GHz input bandwidth, IEEE Trans. Appl. Supercond., 3: 2550,
1993.
88. T. Sterling P. Messina P. H. Smith Enabling Technologies for Petaflops Computing, Cambridge, MA, MIT Press, 1996.
89. N. B. Dubash P.-F. Yuh V. V. Brozenets SFQ data communication switch, IEEE Trans. Appl. Supercond., 7: 2681,
1997.
90. D. L. Miller J. X. Przybysz J. H. Kang Margins and yields of SFQ circuits in HTS materials, IEEE Trans. Appl.
Supercond., 3: 2728, 1993.
91. Y. Ishimaru et al. Observation of boundary Josephson junction with d-wave pairing characteristics, Jpn. J. Appl.
Phys., 34: L1532, 1995.
92. R. Kleiner, et al. Intrinsic Josephson effects in high-Tc superconductors, Phys. Rev., B49: 1327, 1994.
93. R. Kleiner, et al. Dynamic behavior of Josephson-coupled layered structures, Phys. Rev., B50: 3942, 1994.
94. A. Irie G. Oya Flux flow behavior in the intrinsic Josephson junctions in Bi2 Sr2 CaCu2 Oy single crystals, Physica C,
235: 3277, 1994.
95. Y. I. Latyshev J. E. Nevelskaya P. Monceau Dimensional crossover for intrinsic dc Josephson effect in Bi2 Sr2 CaCu2 O8
2212 single crystal whiskers, Phys. Rev. Lett., 77: 932, 1996.
96. A. Yurgens, et al. Strong temperature dependence of the c-axis gap parameter of Bi2 Sr2 CaCu2 O8+ intrinsic Josephson
junctions, Phys. Rev., B53: R8887, 1996.
97. A. Irie M. Sakakibara G. Oya Growth and tunneling properties of (Bi,Pb)2 Sr2 CaCu2 Oy single crystals, IEICE Trans.
Electron, E77-C: 1191, 1994.
98. A. Irie G. Oya Microwave response of intrinsic Josephson junctions in BSCCO single crystals, IEEE Trans. Appl.
Supercond., 5: 3267, 1995.
99. A. Irie M. Iwama G. Oya Novel microwave-induced steps of intrinsic Josephson junctions in mesa-shaped BSCCO
single crystals, Supercond. Sci. Technol., 9: A14, 1996.
100. C. Neumann et al. Fabrication of high Ic Rn YBCO-Josephson-junctions on MgO-substrates using a focused-ion-beam
system, Physica, C210: 138, 1993.
101. A. J. Pauza et al. High-Tc Josephson junctions by electron beam irradiation, IEEE Trans. Appl. Supercond., 3: 2405,
1993.
102. S. K. Tolpygo, et al. Normal-state properties and Josephson effects in HTS weak links produced by electron beam,
IEEE Trans. Appl. Supercond., 5: 2521, 1995.
103. B. A. Davidson et al. Superconductor-normal-superconductor behavior of Josephson junctions scribed in
Y1 Ba2 Cu3 O7 by a high-brightness electron source, Appl. Phys. Lett., 68: 3811, 1996.
104. D. F. Moore et al. Asymmetric YBaCuO Interferometers and SQUIDs made with focused electronbeam irradiation
junctions, IEEE Trans. Appl. Supercond., 7: 2494, 1997.
105. W. E. Booij et al. Electrodynamics of closely coupled YBCO junctions, IEEE Trans. Appl. Supercond., 7: 3025,
1997.
106. A. J. Pauza et al. Electron-beam damaged high-temperature superconductor Josephson junctions, J. Appl. Phys., 82:
5612, 1997.
107. S.-J. Kim et al. Successful fabrication of bicrystal Si substrates for YBa2 bCu3 O7 y Josephson junctions, Cryogenics,
35: 901, 1995.
108. S.-J. Kim et al. Microwave induced steps of YBCO weak link damaged by focused electron beam irradiation, in
Extended Abstr. 5th Int. Workshop on High-Temp. Supercond. Electron Devices, Tokyo, FED-157, 182, 1997.
109. L. Antognazza et al. Proximity effect in YBa2 Cu3 O7 /YBa2 Cu1 x Cox )3 O7 /YBa2 Cu3 O7 junctions: From the clean
limit to the dirty limit with pair breaking, Phys. Rev., B51: 8560, 1995.
110. W. H. Mallison et al. A multilayer YBa2 Cu3 Ox Josephson junction process for digital circuit applications, Appl. Phys.
Lett., 68: 3808, 1996.
111. H. Sato et al. Improvement in parameter spreads of YBaCuO/PrBaCuO/YBaCuO trilayer junctions, Jpn. J. Appl.
Phys., 35: L1411, 1996.
23
112. Q. X. Jia, et al. Directly coupled direct current superconducting quantum interference devices based on ramp-edge
Ag: YBCO/PBCO/Ag: YBCO junctions, Appl. Phys. Lett., 72: 3068, 1998.
113. M. A. J. Verhoeven High-T c superconducting ramp-type junctions., PhD thesis, University of Twente, The Netherlands, 1996.
114. M. A. J. Verhoeven, et al. Ramp-type junction parameter control by Ga doping of PrBa2 Cu3 O7 barriers, Appl. Phys.
Lett., 69: 848, 1996.
115. S. J. Bending M. R. Beasley Transport processes via localized states in thin a-Si tunnel barriers, Phys. Rev. Lett., 55:
324, 1985.
116. M. A. J. Verhoeven G. J. Gerritsma H. Rogalla Ramp-type junctions with very thin PBCO barriers, in Proc. EUCAS
95 Conf., Edinburg, 1995, p. 1395.
117. J. B. Barner A. W. Kleinsasser High-T c superconductornormalsuperconductor junctions with polyimide-passivated
ambient-temperature edge formation, IEEE Trans. Appl. Supercond., 7: 2502, 1997.
118. H. Myoren et al. Terahertz response of high-T c ramp-type junctions on MgO, in Extended Abstr. 5th Int. Workshop
High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 188, 1997.
119. E. Demler et al. Proximity effect and Josephson coupling in the SO(5) theory of high-T c superconductivity, Phys. Rev.
Lett., 80: 2917, 1998.
120. M. A. J. Verhoeven et al. Ramp type HTS Josephson junctions with PrBaCuGaO barriers, IEEE Trans. Appl.
Supercond., 5: 2095, 1995.
121. A. E. Lee J. A. Luine C. L. Pettiette-Hall Co-doped-YBCO SNS junctions for superconductive integrated circuits,
IEEE Trans. Appl. Supercond., 5: 2980, 1995.
122. M. G. Forrester et al. A single flux quantum shift register operating at 65 K, IEEE Trans. Appl. Supercond., 5: 3401,
1995.
123. V. K. Kaplunenko Voltage divider based on submicron slits in a high Tc superconducting film and two bicrystal grain
boundaries, Appl. Phys. Lett., 67: 282, 1995.
124. S. J. Berkowitz Int. Workshop on Superconductivity, High-temperature superconducting circuits using SNS long
junctions transistors, High Temperature Supercond. Electron. Fund. Appl., Int. Superconductivity Technol. Centre,
Tokyo Japan, 1996, p. 40.
125. B. H. Moeckly, et al. Interface-engineering high-Tc Josephson junctions, Appl. Supercond, 6: 317, 1998.
126. J. Talvacchio, private communication.
127. K. Char HTS SNS Josephson junctions: Interfaces and mechanisms, Physica C, 282287: 419, 1997.
128. L. Antognazza K. Char T. H. Geballe High-T c edge junctions with YPrBaCuCoO barrier layers near the metal
insulator transition, Appl. Phys. Lett., 70: 3152, 1997.
129. S. V. Polonsky et al. New RSGQ circuits (Josephson junction digital devices), IEEE Trans. Appl. Supercond., 3: 2566,
1993.
130. J. Yoshida et al. High-T c Josephson junction technology for digital applications, in Extended Abstr. 5th Int. Workshop
High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 133, 1997.
131. J. Yoshida T. Nagano Tunneling and hopping conduction via localized states in thin PBCO barriers, Phys. Rev., B55:
11860, 1997.
132. T. Nagano, et al. Thin film growth for fabrication of YBaCuO/PrBaCuCoO/YBaCuO ramp-edge junctions, in Extended
Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo FED-157: 233, 1997.
133. A. W. Kleinsasser W. J. Gallagher Three-terminal devices, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, New York: Academic Press, 1990, pp. 325372.
134. K. K. Likharev et al. Josephson junction with lateral injection as a vortex transistor, IEEE Trans. Magn., 15: 420,
1979.
135. W. E. Booij, et al. Supercurrents in closely spaced high T c Josephson junctions, in Proc. ATS-Workshop 96, Twente,
The Netherlands, 1996, p. 6.
136. T. Akazaki et al. A Josephson field effect transistor using an InAs-inserted-channel In0.52 Al0.48 /As/In0.53 /Ga0.47 As
inverted modulation-doped structure, Appl. Phys. Lett., 68: 418, 1996.
137. A. W. Kleinsasser T. N. Jackson Prospects for proximity effect superconducting FETs, IEEE Trans. Magn., MAG-25:
1274, 1989.
138. H. Takayanagi T. Akazaki Superconducting three-terminal devices using HEMT structures, in Extended Abstr. 5th
Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 153, 1997.
24
139. J. Mannhart, et al. Electric field effect on superconducting YBaCuO films. Z. Phys., B83: 307, 1991.
140. X. X. X. Xi, et al. Electric field effects in high T c superconducting ultrathin YBaCuO films, Appl. Phys. Lett., 59: 3470,
1992.
141. K. Matsui, et al. Analysis on electric field effect in Al/SrTiO3 /YBa2 Cu3 Oy structure, Jpn. J. Appl. Phys., 31: L1342,
1992.
142. K. Joosse, et al. Multilayers for high-Tc superconducting electric field effect devices, J. Alloys Comp., 195: 723, 1993.
143. T. Nakamura et al. Study on a superconducting channel transistor, Sumitomo Elect. Tech. Rev., No. 38, 35, 1994.
144. R. Schneider R. Auer Temporal response of a high-Tc superconducting field effect transistor, Appl. Phys. Lett., 67:
2075, 1995.
145. T. Nakamura H. Tokuda M. Iiyama Electric field effects of SrTiO/YBaCuO multilayers grown by all-MBE, IEEE
Trans. Appl. Supercond., 5: 2875, 1995.
146. T. Fujii, et al. Metalinsulatorsuperconductor field-effect-transistor using SrTiO/YBaCuO heteroepitaxial films,
Jpn. J. Appl. Phys., 31: L612, 1992.
147. T. Saito, et al. Fabrication of a High-T c superconducting field effect transistor by ion beam sputtering. IEEE Trans.
Appl. Supercond., 7: 3528, 1997.
148. T. Goto, et al. Properties of high-T c field effect devices with ion beam sputtered SrTiO Film, in Extended Abstr. 5th
Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 159, 1997.
149. T. Nakamura H. Inada M. Iiyama Superconducting field effect transistor of YBCO/SrTiO3 /YBCO tri-layer structures,
in Extended Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 123, 1997.
150. U. Ghoshal, et al. CMOS amplifier designs for Josephson-CMOS interface circuits, IEEE Trans. Appl. Supercond., 5:
2640, 1995.
151. D. Crupta et al. Optimization of hybrid JJ/CMOS memory operating temperatures, IEEE Trans. Appl. Supercond.,
7: 3307, 1997.
152. K. Hikosaka, et al. Josephson-semiconductor high-speed interface circuit using 77 K analog HEMT amplifiers, in
Extended Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 55, 1997.
153. J. Mannhart High-Tc transistors, Supercond. Sci. Technol., 9: 49, 1996.
154. J. Chen et al. Possible three-terminal device with YBCO angle grain boundary, IEEE Trans. Appl. Supercond., 1:
102, 1991.
155. J. Mannhart et al. Large electric field effects in YBa2 Cu3 O7 films containing weak links, Appl. Phys. Lett., 62: 630,
1993.
156. Z. G. Ivanov Field effect transistor based on a bi-crystal grain boundary Josephson junction, IEEE Trans. Appl.
Supercond., 3: 2925, 1993.
157. B. Mayer J. Mannhart H. Hilgenkamp Electric field controllable Josephson junctions of high quality in high-Tc
superconductors, Appl. Phys. Lett., 68: 3031, 1996.
158. I. Iguchi N. Nukui K. Lee Dynamic Cooper-pair breaking by tunnel injection of quasiparticles into a high-Tc
YBa2 Cu3 O7 superconductor, Phys. Rev., B50: 457, 1994.
159. E. Kume H. Hiroyuki I. Iguchi Quasi-particle injection effect of YBCO thin films using double-injector junctions having two-holes, in Extended Abstr. 5th Int. Workshop High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 167,
1997.
160. D. J. Frank M. J. Brady A. Davidson A new superconducting-base transistor, IEEE Trans. Magn., MAG-21: 721,
1985.
161. T. Yamada, et al. (Ba, Rb)BiO3 base and YBa2 Cu3 Ox flux flow transistors, in Extended Abstr. 5th Int. Workshop
High-Temp. Supercond. Electron Devices, Tokyo, FED-157: 142, 1997.
162. H. Tamura A. Yoshida S. Hasuo Transistor action based on field-effect controlled current injection into an
insulator/SrTiO3 interface, Appl. Phys. Lett., 59: 298, 1991.
163. A. Yoshida, et al. Dielectric-base transistor using YBa2 Cu3 O7 x /NdGaO3 /SrTiO3 heterostructures, J. Appl. Phys., 71:
5284, 1992.
164. T. Hato et al. Improved emitter-base junction with In2 O3 in dielectric-base transistor Jpn J. Appl. Phys., 34: 6379,
1995.
165. C. Yoshida, et al. Electric field effect in LaTiO3 /SrTiO3 heterostructure, Jpn. J. Appl. Phys., 35: 5691, 1996.
166. T. Hato, et al. Dielectric-base transistor with doped channel, Appl. Phys. Lett., 70: 2900, 1997.
25
167. A. W. Kleinsasser W. J. Gallagher Three-terminal devices, in S. T. Ruggiero and D. A. Rudman (eds.), Superconducting
Devices, 372. New York: Academic Press, 1990, pp. 325372.
168. A. W. Kleinsasser H. Weinstock R. W. Ralston (eds.) Superconducting Devices, Dordrecht: Kluwer Academic, 1993, p.
249.
169. J. Mannhart Changes in the superconducting properties of high-Tc cuprates produced by applied electric fields, Mod.
Phys. Lett., B6: 555, 1992.
170. O. Sangjun D. Youm M. R. Beasley A superconductive magnetoresistive memory element using controlled exchange
interaction, Appl. Phys. Lett., 71: 2376, 1997.
171. J. S. Moodera J. Nowak J. M. van de Veerdonk Interference magnetism and spin wave scattering in ferromagneticinsulator-ferromagnet tunnel junctions, Phys. Rev. Lett., 80: 2941, 1998.
172. P. K. Wong J. E. Evetts M. G. Blamire High conductance small area magnetoresistive tunnel junctions, Appl. Phys.
Lett., 73: 384, 1998.
173. S. Sankar A. E. Berkowitz D. J. Smith Spin-dependent tunneling in discontinuous Co-SiO2 magnetic tunnel junctions,
Appl. Phys. Lett., 73: 535, 1998.
174. J. Z. Sun, et al. Temperature and bias dependence of magnetoresistance in doped manganite thin film trilayer
junctions, Appl. Phys. Lett., 73: 1008, 1998.
175. K. Inomata Y. Saito Spin-dependent tunneling through layered ferromagnetic nanoparticles, Appl. Phys. Lett., 73:
1143, 1998.
S. T. RUGGIERO
University of Notre Dame
108
1000
HC
800
B (mT)
109
HC2
600
Type ll
400
HC1
200
0
200
400
600
0 H(mT)
Type l
800
1000
110
100
Type I superconductors
80
0 M(mT)
T = 1.28 K
60
Pb
40
Hg
20
In
0
20
40
60
0 H(mT)
80
100
100
ple. Usually this happens when the kinetic energy of the electrons in the circulating screening current are a significant
fraction of characteristic superconducting energy, kBTc. Here,
kB is the Boltzmann constant. The detailed shape of the Meissner regions in the intermediate state depends on structure
of the material and the shape of the sample. Normal regions
nucleate at the surface and often propagate into the interior
in the form of lamellar regions as shown in Fig. 4. For a long
slender sample with the magnetic field parallel to the long
axis of the sample, is close to zero and the region of the
intermediate state is narrow. This behavior is illustrated by
the data in Fig. 2. For a sphere, is , and the magnetization
curve is illustrated in Fig. 3. For a flat plate with the magnetic field perpendicular to the plane of the plate, is close to
1, and the sample enters the intermediate state at fields far
below Hc. Shoenberg, p. 103 (5), gives a detailed discussion of
the intermediate state for spheres and Tinkham, p. 25 (6),
gives a detailed discussion for flat slabs.
Low-Tc Type II Superconductors and the Vortex State
Magnetization curves for three different transition metals are
shown in Fig. 5. Very pure Ta is a type I material (7) with an
abrupt collapse of the superconducting state similar to Pb.
The other two very pure transition metals, Nb and V, show a
much broader transition to the normal state and are called
type II superconductors (8,9). When very pure metals show
type II behavior, they are called intrinsic type II superconduc-
Demagnetization factor
= 0.33 = 0.10 = 0.01
80
40
20
0
Transition metals
60
60
0 M(mT)
0 M(mT)
20
40
60
0 H(mT)
80
Ta 2.2 K
Nb 7.7 K
40
V 4.2 K
20
100
20
40
60
0 H(mT)
80
Figure 5. Magnetization curves for three transition metals to illustrate both type I (Ta) and type II (Nb and V) behavior.
60
Type l
0 M(mT)
tors. The interval between Hc1 and Hc2 is called the vortex
state because in this magnetic field interval, the sample fills
with vortices, each carrying one quantum of flux, 0. In all of
these intrinsic type II materials, the conduction electrons at
the Fermi surface are mostly d band in character, so the
Fermi velocity is relatively low. In addition, Tc is relatively
high. The magnetization data in three samples shown here
are highly reversible, and therefore the first flux entry is very
close to the field at which a vortex is thermodynamically stable in the material, Hc1. For the data shown in Fig. 5, a temperature is chosen so that Hc is in the vicinity of 50 to 60 mT.
This permits an easy comparison of the shapes of the curves.
As the temperature is decreased, the ratio of Hc2 /Hc typically
rises by about 50%, and the slope of the magnetization at
Hc2 always decreases. Among the transition metals, very pure
Ta (9) is an exception in that it is type I. A very small amount
of impurity, however, will transform it to a type II material
very similar to Nb and V.
111
40
Partial
first order
20
Second order
20
40
60
80
0 H(mT)
100
120
Figure 6. Transformation from type I to type II behavior as l is gradually increased. For just greater than 0.707, there is an attractive
interaction between vortices and a first-order transition at Hc1. This
illustrates the change in shape of magnetization curves as impurities
are added.
Low-Tc Alloys
(1)
Hc
Gn Gs =
0
0 MdH = 0 H 2c /2
(2)
= Hc2 / 2Hc
(3)
The range of the correlations among the electrons and hence
in a superconductor can be reduced by shortening the normal-state mean free path of the electrons, l. Hence, one
Value
To understand the difference between type I and type II superconductors on a microscopic scale, it is essential to know
that there is a characteristic distance, called the coherence
distance, , over which the superconducting wave function can
change. In a superconductor, the basic charge-carrying unit
in the system is a highly correlated pair of electrons called
the Cooper pair. The minimum distance in which the density
of superconducting electrons, ns, can change from the value in
the bulk superconductor to zero in a normal metal is roughly
the size of these Cooper pairs. The coherence distance, or the
size of the Cooper pairs, is a very important property of a
superconductor and typically varies from about 1 m in Al to
as small as 2 nm in the cuprate superconductors. In the transition metals such as Nb and V 30 nm and in the conventional type I materials such as Sn, Pb, Hg, and In 500 nm.
The critical factor governing the shape of the magnetization curves of superconductors is the ratio of the magnetic
field penetration depth to the coherence distance, / . This
ratio is sufficiently important that it is given a symbol of its
own,
1.2
0.8
Type ll
first - order transition
= 0.707
0.4
00
0.2
0.4
0.6
T/TC
0.8
1.2
112
Because l is closely connected to the normal-state resistivity n, can be related to n and the value for the pure
metal, 0, by the useful relation
= 0 + 7.5 105 1/2 n
(4)
where is the electronic specific constant and n is the resistivity. If you use units where is in erg/cm3 K2 and n is in
cm, then the constant is 7.5 103. For the extreme dirty
or short mean-free-path limit, Hake (11) showed that Ti16
at. %Mo samples with 100 cm can be nearly reversible with a value of 66.
Among the high-purity s-p band metals, ranges from 0.01
for Al, to 0.15 for Sn, to 0.4 for Pb. Among the high-purity
transition-metal superconductors, ranges from 0.36 for Ta,
to 0.78 for Nb, to 0.90 for V. Nitrogen impurities are particularly good to show the transition from type I to type II behavior because they go into the lattice of Ta as statistically distributed interstitial atoms. Hence, N decreases the electronic
mean free path without forming clusters that would substantially increase the pinning of vortices and irreversibility effects. As shown by Auer and Ullmaier (7), Ta is transformed
from a type I to a type II superconductor when the sample
residual resistivity 0 585 cm and attains a value of
about 1.5 when 0 2000 cm.
High-Tc Materials
The high-temperature superconductors (HTS) such as
La1.85Sr0.15CuO4, La(214), are qualitatively different from all of
the metals that had been studied before. They are different
because the normal state is created by doping an insulator.
They also are different because they tend to be rather anisotropic with the charge carriers moving most easily in the a-b
planes of the CuO2 sheets. The parent cuprate for La(214)
with no Sr doping, La2Cu1O4 is not a superconductor and is
not a metal. Rather, it is an antiferromagnetic insulator. If,
however, part of the trivalent La ions are replaced by divalent
Sr ions, holes are created in the copper oxide planes and the
material becomes an anisotropic normal metal and a superconductor with Tc of about 42 K. In these oxide conductors,
the carrier mobility along the copper oxide planes is much
higher than it is perpendicular to the copper oxide planes, so
both the normal conductivity and the superconductivity are
quite anisotropic.
In the superconducting state, the magnetization data for
the cuprate superconductors show type II behavior with very
high values, commonly about 100. Hence, Hc2 is over
100 times larger than Hc. For many of these cuprates, the
penetration depth is about 200 nm, and the coherence distance along the copper oxide planes is about 2 nm. Along the
c axis, the coherence distance is even smaller at 0.5 nm.
PHYSICAL PICTURES FOR TYPE I AND TYPE II PHENOMENA
Superconductivity is rather special in the field of condensedmatter physics because it is a manifestation of quantum mechanics on a macroscopic scale. If one induces a supercurrent
to flow in a superconducting ring, the circulating charge carriers obey the Bohr-Sommerfeld quantization condition even if
the diameter of the ring is hundreds of micrometers. In a single atom, such as the hydrogen atom, the angular momentum
of the circulating electron is quantized because the wave function of the electron must be single valued going around the
atom by 2. This quantization of angular momentum in the
hydrogen atom is then reflected in a quantization of the magnetic moment in units of Bohr magnetons. The same kind of
quantization occurs for superconducting electrons circulating
in a large ring. Because the electrons are phase locked, the
wave function must be single valued and the Bohr-Sommerfeld quantization condition, pdq n, is obeyed. Here, p is
the momentum, dq is the path element, and n is an integer.
This creates quantized circulating currents, and the resulting
flux also is quantized in units of 0 h/2e.
Even though quantum mechanics is fundamental to understanding superconductivity, there are some simple pictures
that enable the beginner to visualize where the electrons or
holes are and how they interact. The goal of this section is to
present some of the vocabulary and ideas of the Bardeen-Cooper-Schrieffer (BCS) (12) theory in a way that one can picture
the basic behavior of type I and type II superconductors.
Idea 1: All Superconductors Look Alike
There is a great deal of similarity in the physical properties
of superconductors. If the thermodynamic critical field is plotted as a function of temperature or the superconducting energy gap in the excitation spectrum, , as a function of temperature, the curves have the same functional form and the
values scale with the transition temperature. The ratio of
Hc(T 0)/Tc is always about 10 mT/K, and the ratio of
(T 0)/kBTc 1.8 for the low Tc superconductors. Because
superconductors are so similar, there is a reasonable expectation that the details of the metal are not terribly important
in the basic explanation of superconductivity. A rather general and simple theory may explain the effect.
Idea 2: BCS Theory
In the development of a theory of superconductivity, BCS constructed the superconducting ground state by taking special
combinations of normal-state wave functions. At the superconducting transition, the space dependence of the wave functions do not change, but rather the occupation probability of
a given state changes. To create the superconducting wave
function, the electrons are allowed to exchange phonons, thus
scattering around the Fermi surface and coherently mixing
the normal-state wave functions. Instead of the random occupation of states at the Fermi surface that occurs in a normal
metal, the system can gain energy via phonon exchange if the
electronic states are occupied in pairs. This pairing increases
the amount of phonon exchange that can occur and each phonon exchange lowers the energy a bit. To define terms more
explicitly, pair occupation means that if the state with momentum k is occupied, then the state with momentum k
also is occupied. Similarly, if the state with k is empty, then
the state with k also is empty. The pairs are chosen to
have equal and opposite momentum because, by symmetry,
this choice gives the maximum number of final states for the
electron-phonon scattering and maximizes the phonon exchange. As the metal undergoes the superconducting transition, it is the probability of occupation that changes. It
changes from a random occupation to a pair occupation.
In BCS theory, three essential variables are used. First,
the normal-state density of states at the Fermi surface, N(0),
(5)
This provides a connection between the transition temperature and the three variables in the theory. If, in addition, one
works out the minimum energy to create an excitation out of
the superfluid ground state by disrupting one pair of electrons, at T 0, this turns out to be
0 1.8kB Tc
(6)
EF
113
(7)
114
One guesses a form for the wave function with the pair occupation number as an adjustable parameter. Next one writes
an expression for the free energy of the system in terms of
this trial wave function and minimizes the energy as a function of pair occupation. In both the Cooper and BCS problems,
a paired trial wave function is selected in order to maximize
the final states available for electron-phonon scattering. One
result of the theory is that it is energetically favorable to mix
normal-state wave functions within an energy band that is
wide near EF. All of the pairs share the same states so there
is an energy advantage for correlated motion of many pairs to
make most efficient use of the states available for electronphonon exchange. Pair-pair correlations are critical because
they provide the fundamental mechanism to propagate phase
coherence over long distances.
To create an excitation from the superconducting ground
state, one of the pair states is simply disrupted. If a pair state
is broken, say by injecting an electron into one of the two
states of a pair in the metal, then other pairs cannot use that
channel for phonon exchange. That pair state is removed from
the coherent phonon exchange for all of the other electrons.
This raises the energy of all the electrons in the ground state.
In computing the ground-state energy and the excitation energies, one has to give up the single-particle picture and go to
a highly correlated many-paired electron picture in which the
disruption of one pair changes the energy of all the pairs in
the ground state.
Idea 5: Phase Locking and Rigidity of the Wave Function
A central feature of superconductivity is that the electrons
phase lock into a many-electron ground-state wave function
that has a substantial amount of rigidity. If the system is
disturbed, it responds as a giant unit rather than responding
as individual particles. In the Cooper problem, a pair of electrons is formed by mixing normal-state wave functions so that
they all add in phase at some point in space. In the BCS problem, pair-pair correlations play a central role because all of the
pairs are using the same states for electron-phonon scattering. This leads to a highly correlated ground-state superfluid
that extends over macroscopic distances. The ground state is
somewhat like a giant macromolecule in that the superfluid
is phase locked and responds as a rigid unit. A common analog in chemistry would be a benzene molecule in which the
electrons in this benzene ring respond as a rigid unit to small
stimuli. In a superconducting Pb wire 1 m long, the electrons
at one end are phase locked to the electrons at the other end.
Pairs, of course, can be disrupted by many processes: by
thermal (kBT) excitations, by electromagnetic absorption, or
by electron injection. In all of these cases the disrupted electrons or excitations behave just like normal-state electrons.
The ground-state electrons can be thought of as a superfluid
with density ns, with a condensation energy or pairing energy
per pair. The excitations out of the ground state can be
thought of as a normal fluid with density nn. In this framework the sum of these probabilities must add to one, ns
nn 1. This picture is quite analogous to the two-fluid model
originally proposed by London (14). As the temperature rises,
the incoherent phonon scattering becomes larger and overwhelms the coherent phonon exchange and the material reverts to the normal state.
(8)
where m* is an effective mass and e is the charge on the electron. For very pure metals, 50 nm and for the HTS materials, 170 nm. Because is governed by the superfluid
density, it does not vary from superconductor to superconductor as strongly as , which is governed by the ratio of
vF /kBTc.
GinzburgLandau Equations
Very early in the development of the theory of superconductivity, Ginzburg and Landau (15) developed a very general
and yet very powerful formulation of the problem. They assumed that there is an order parameter that behaves much
like a wave function, and they further assumed that this wave
function is related to the local density of superconducting
electrons by 2 ns. The free energy is then written as the
sum of a kinetic energy term, a potential energy term, and a
magnetic term:
2
1 ~
h2
eA
+ ||2 + ||4 +
Gs Gn =
2m
i
2
8
(9)
1
2m
~
i
2
eA
=0
(10)
1/ 2
115
If there are no surface barriers to flux entry, vortices will nucleate and move into the interior above Hc1. As the applied
field increases, the vortices crowd closer together. At high
magnetic field, when the cores of the vortices begin to overlap,
the sample goes normal. This occurs at
Hc2 =
0
= 2Hc
2
2
(14)
(15)
0
K (r/)
22 0
(16)
(17)
(11)
where J can be either the current density caused by the circulating current of other vortices or a transport current density
that is externally applied. In this large- regime, the force
between vortices is repulsive and the Abrikosov theory (18)
shows that the vortices will arrange themselves in a triangular array. As the field rises above Hc1, the vortices flow into
the interior under the influence of the magnetic pressure of
the applied field.
The high-Tc cuprate superconductors normally have a coherence distance in the a-b plane on the order of ab 2 nm
and a penetration depth on the order of 200 nm so the
values are in the range of 100. In this extreme type II
limit, the size of the Cooper pairs is very small compared to
the vortex size and several simplifying assumptions can be
made in the development of models to describe the magnetization curves.
The Hao and Clem model (19) for the magnetization curves
of high-Tc superconductors is typical of approaches that can
be used. They developed a variational method in which the
trial wave function is written as
Hc
Hc1 = ln
2
2
+ 02
3 2
a = 0
2 0
B
(12)
(13)
(18)
116
1
Superfluid density
Y (124)
0.8
2
0.6
0.4
0.2
0
0.2
0.4
0.6
h(H/Hc2)
0.8
where is the distance from the core of the vortex, and both
v and are adjustable variables in the trial wave function.
They start with the free energy including the core energy and
minimize with respect to the trial variables of v and . The
magnetization curves are found to scale to a universal function on a 0M/ 2Hc vs H/ 2Hc plot. Both Y1Ba2Cu3O7 and
Y1Ba2Cu4O8 are found to obey the Hao-Clem model very well
(19). In addition, it was found that the superfluid density averaged over one vortex unit cell falls linearly as H/Hc2 for
fields greater than 0.3Hc2 over the entire range where there
is thermodynamic reversibility and measurements can be
made (20). Figure 9 shows that ns 2 is linear in H/Hc2 for
this high-Tc Y(123) material.
Surface Superconductivity
The surface of the superconductor modifies the potential for
the superfluid electrons, and superconductivity will persist to
fields above Hc2 in a narrow layer near the surface. With the
applied field parallel to the flat surface (17), Ginzburg-Landau theory with plane surface boundary conditions predicts
that a superconducting layer about a coherence distance thick
will be present up to Hc3 1.7Hc2. Experiment verifies that
this basic idea is correct. For the case of pure Nb, the measured ratio of Hc3 /Hc2 for pure Nb varies from 1.78 at low temperatures to 1.70 at T/Tc 0.9 (21). At higher temperatures,
Hc3 /Hc2 approaches 1.0 at Tc. Altering of the surface condition,
say, by a normal metal coating will suppress or destroy this
surface state.
Small- Case: Attractive Interaction Between Vortices
For the case in which is comparable to , there can be overlap of the vortex cores and there can be an attractive interaction between vortices. This effect was established experimentally by Essmann and Trauble (22) with experiments in which
they decorated the surface of Nb with Fe spheres about 4 nm
in diameter. To perform these experiments, typically an array
of vortices is trapped in a coin-shaped Nb sample by applying
a magnetic field above Hc1 and then turning the field off. A
smoke of Fe was then created by evaporating Fe metal in
an atmosphere of a few Torr of He gas. The tiny Fe particles
that are created follow the flux lines to the point on the surface where the core of the vortex emerges. Once the Fe particles touch the Nb, they stick very strongly. To image the vortex lattice, the Fe is stripped off the surface by a graphite
replication technique and viewed in a transmission electron
microscope. For the initial flux entry in the first-order transition of Hc1, illustrated by the dashed curve of Fig. 6, it is found
that there is a two-phase region. There are clusters of a few
hundred vortices all on a triangular lattice and separated by
about 200 nm. Between these clusters there are Meissner-like
or vortex-free regions. In this two-phase region, the spacing
of the vortices is independent of magnetic field. As the magnetic field increases, the sample fills with vortices having 200
nm spacing. The magnetization curve in this region is linear
with a slope governed by the demagnetizing factor. An abrupt
change in the slope of the magnetization curve occurs when
the sample is just filled with vortices at the lattice spacing
governed by the attractive interaction between vortices. This
characteristic field is denoted by B0. At higher magnetic fields,
the vortices are pushed closer together and the magnetization
curve is similar to the repulsive force case for high- materials. Auer and Ullmaier (7) performed a very systematic study
of these same effects, as in Ta is systematically increased
from type I to type II behavior by alloying with N.
To analyze quantitatively the transition from type I to type
II behavior by alloying, it is useful to focus on the connection
between the coherence distance and the normal-state electronic mean free path l. With small additions of impurity, is
given by
1/ 1/0 + 1/
(19)
= 0
(20)
Experiments to Determine Hc1 and Hc2
Many factors can lead to errors in determining both Hc1 and
Hc2. The lowest field for which a vortex is thermodynamically
stable in a superconductor is defined to be Hc1. It is a difficult
quantity to measure because thermodynamic equilibrium is
not achieved easily at fields close to Hc1. The most common
error arises from the presence of surface barriers to flux entry
into the sample. For a cylindrical superconductor with a radius of curvature much larger than both and (17, p. 79),
the applied field must be larger than Hc1 before a vortex will
nucleate. As the field increases from zero, Meissner screening
currents flow in the superconductor within a distance of the
surface. When a vortex starts to nucleate at the surface and
move into the interior of the sample, an image vortex develops
to pull the vortex back toward the surface. The competition
between the image force pulling the vortex toward the surface
and the Meissner currents pushing the vortex into the interior creates a surface barrier to flux entry. Often the fluxentry field is comparable to Hc. To overcome this effect, the
surface needs to be rough on the scale of the penetration
depth or the surface needs to be coated in some way to suppress the surface barrier to zero.
The highest field for which a vortex is thermodynamically
stable in a superconductor is defined to be Hc2. For a sample
that obeys the Ginzburg-Landau theory, there is a sharp
change in slope at the second-order phase transition of a reversible magnetization curve that identifies Hc2. This is the
most reliable measurement of Hc2. Measurements of the elec-
trical resistivity is less reliable because it depends on the motion or depinning of vortices and often is not a measure of
the point of thermodynamic stability of a vortex. For a clean
classical superconductor such as Nb, the electrical resistance
goes to zero at very nearly the same field that the magnetization goes linearly to zero, and both resistivity and magnetization methods can be used to determine Hc2. For high-Tc materials, the situation is more complicated. Because is so small,
fluctuation effects smear out the normal-metal to superconductor transition so that is is typically 3 K wide. Both the
magnetization curves and the electrical resistivity are greatly
rounded even for a very perfect sample. For this case, it is
better to move to lower fields at which fluctuations are negligible and use a fit of the M vs H data to the HaoClem theory
to determine Hc2.
BIBLIOGRAPHY
1. D. L. Decker, D. E. Mapother, and R. W. Shaw, Critical field measurements on superconducting lead isotopes, Phys. Rev., 112:
18881897, 1958.
2. G. Bednorz and K. A. Muller, Possible high Tc superconductivity
in the Ba-La-Cu system, Z. Phys. B, 64: 189197, 1986.
3. D. K. Finnemore and D. E. Mapother, Superconducting properties of tin, indium, and mercury below 1 K, Phys. Rev., 140:
A507A518, 1965.
4. R. B. Goldfarb, Demagnetizing factors. In J. Evetts (ed.), Concise
Encyclopedia of Magnetic and Superconducting Materials, Oxford:
Pergamon, 1992.
5. D. Shoenberg, Superconductivity, Cambridge: Cambridge University Press, 1960.
6. M. Tinkham, Introduction to Superconductivity, 2nd ed., New
York: McGraw-Hill, 1996.
7. J. Auer and H. Ullmaier, Magnetic behavior of type II superconductors with small Ginsburg-Landau parameter, Phys. Rev., 7:
136145, 1973.
8. D. K. Finnemore, T. F. Stromberg, and C. A. Swenson, Superconducting properties of high purity Nb, Phys. Rev., 149: 231243,
1966.
9. J. J. Wollan et al., Phase transition at Hc1 for superconducting
Nb and V, Phys. Rev., 10: 18741880, 1974.
10. U. Kumpf, Magnetization curves for type II superconductors with
small Ginsburg-Landau parameter, Phys. Status Solidi, 44: 829
843, 1971.
11. R. R. Hake, Mixed state paramagnetism in high field type II superconductors, Phys. Rev. Lett., 15: 865868, 1965.
12. J. Bardeen, L. N. Cooper, and J. R. Schrieffler, Theory of superconductivity, Phys. Rev., 108: 11751204, 1957.
13. L. N. Cooper, Bound electron pairs in a degenerate Fermi gas,
Phys. Rev., 104: 11891190, 1956.
14. F. London, Superfluids, New York: Wiley, 1950, Vol. 1.
15. V. L. Ginzburg and L. D. Landau, Regarding a theory of superconductivity, Zh. Expt. Teor. Fiz., 20: 10641072, 1950; 20: 1064
1077, 1950.
16. A. L. Fetter and P. C. Hohenberg, Theory of type II superconductors. In R. D. Parks (ed.), Superconductivity, Marcel Dekker: New
York, 1969.
17. P. G. de Gennes, Superconductivity of Metals and Alloys, New
York: W. A. Benjamin, 1966.
18. A. A. Abrikosov, Magnetic properties of superconductors, Zh.
Expt. Teor. Fiz., 32: 14421450, 1957 (Sov. Phys. JETP, 5: 1174
1182, 1957).
117
19. Z. Hao and J. R. Clem, Limitations of the London model for the
reversible magnetization of type II superconductors, Phys. Rev.
Lett., 67: 23712373, 1991.
20. J. Sok et al., Reversible magnetization, critical fields, and vortex
structure in grain aligned YBa2Cu4O8, Phys. Rev., 51: 6035
6040, 1992.
21. J. E. Ostenson, J. R. Hopkins, and D. K. Finnemore, Surface superconductivity in Nb and V, Physica, 55: 502506, 1971.
22. U. Essmann and H. Trauble, Direct observation of individual flux
lines in type II superconductors, Phys. Lett., A24: 526527, 1967.
D. K. FINNEMORE
Iowa State University