default search action
Massoud Pedram
Person information
- affiliation: University of Southern California, Los Angeles, USA
- award (1996): Presidential Early Career Award for Scientists and Engineers
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2025
- [j234]Kyeongwon Lee, Sangmin Jeon, Kangju Lee, Woojoo Lee, Massoud Pedram:
Radar-PIM: Developing IoT Processors Utilizing Processing-in-Memory Architecture for Ultrawideband-Radar-Based Respiration Detection. IEEE Internet Things J. 12(1): 515-530 (2025) - 2024
- [j233]Hajar Falahati, Mohammad Sadrosadati, Qiumin Xu, Juan Gómez-Luna, Banafsheh Saber Latibari, Hyeran Jeon, Shaahin Hessabi, Hamid Sarbazi-Azad, Onur Mutlu, Murali Annavaram, Massoud Pedram:
Cross-core Data Sharing for Energy-efficient GPUs. ACM Trans. Archit. Code Optim. 21(3): 42:1-42:32 (2024) - [j232]Mohaddeseh Sharei, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
GEMA: A Genome Exact Mapping Accelerator Based on Learned Indexes. IEEE Trans. Biomed. Circuits Syst. 18(3): 523-538 (2024) - [j231]Zeming Cheng, Bo Zhang, Massoud Pedram:
A High-Performance, Conflict-Free Memory-Access Architecture for Modular Polynomial Multiplication. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(2): 492-505 (2024) - [j230]Jina Park, Kyuseung Han, Eunjin Choi, Jae-Jin Lee, Kyeongwon Lee, Woojoo Lee, Massoud Pedram:
Designing Low-Power RISC-V Multicore Processors With a Shared Lightweight Floating Point Unit for IoT Endnodes. IEEE Trans. Circuits Syst. I Regul. Pap. 71(9): 4106-4119 (2024) - [j229]Bo Zhang, Zeming Cheng, Massoud Pedram:
Design of a High-Performance Iterative Barrett Modular Multiplier for Crypto Systems. IEEE Trans. Very Large Scale Integr. Syst. 32(5): 897-910 (2024) - [j228]Seyedarmin Azizi, Mahdi Nazemi, Mehdi Kamal, Massoud Pedram:
Low-Precision Mixed-Computation Models for Inference on Edge. IEEE Trans. Very Large Scale Integr. Syst. 32(8): 1414-1422 (2024) - [c492]Seyedarmin Azizi, Mahdi Nazemi, Arash Fayyazi, Massoud Pedram:
Automated Optimization of Deep Neural Networks: Dynamic Bit-Width and Layer-Width Selection via Cluster-Based Parzen Estimation. DATE 2024: 1-6 - [c491]Sasan Razmkhah, Robert S. Aviles, Mingye Li, Sandeep Gupta, Peter A. Beerel, Massoud Pedram:
Challenges and Unexplored Frontiers in Electronic Design Automation for Superconducting Digital Logic. DATE 2024: 1-6 - [c490]Seyedarmin Azizi, Souvik Kundu, Massoud Pedram:
LaMDA: Large Model Fine-Tuning via Spectrally Decomposed Low-Dimensional Adaptation. EMNLP (Findings) 2024: 9635-9646 - [c489]Arash Fayyazi, Mahdi Nazemi, Arya Fayyazi, Massoud Pedram:
NeuroBlend: Towards Low-Power yet Accurate Neural Network-Based Inference Engine Blending Binary and Fixed-Point Convolutions. ACM Great Lakes Symposium on VLSI 2024: 730-735 - [c488]Mehdi Kamal, Massoud Pedram:
X-IMM: Mixed-Signal Iterative Montgomery Modular Multiplication. ISLPED 2024: 1-6 - [c487]Mohammad Erfan Sadeghi, Arash Fayyazi, Seyedarmin Azizi, Massoud Pedram:
PEANO-ViT: Power-Efficient Approximations of Non-Linearities in Vision Transformers. ISLPED 2024: 1-6 - [c486]Beyza Zeynep Ucpinar, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram:
Scalable Superconductor Ising Machine for Combinatorial Optimization Problems. ISVLSI 2024: 565-570 - [i84]Seyedarmin Azizi, Mahdi Nazemi, Massoud Pedram:
Memory-Efficient Vision Transformers: An Activation-Aware Mixed-Rank Compression Strategy. CoRR abs/2402.06004 (2024) - [i83]Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Arash Fayyazi, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram:
Scalable Superconductor Neuron with Ternary Synaptic Connections for Ultra-Fast SNN Hardware. CoRR abs/2402.16384 (2024) - [i82]Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Sasan Razmkhah, Massoud Pedram:
Superconductor bistable vortex memory for data storage and in-memory computing. CoRR abs/2406.08871 (2024) - [i81]Seyedarmin Azizi, Souvik Kundu, Massoud Pedram:
LaMDA: Large Model Fine-Tuning via Spectrally Decomposed Low-Dimensional Adaptation. CoRR abs/2406.12832 (2024) - [i80]Mohammad Erfan Sadeghi, Arash Fayyazi, Seyedarmin Azizi, Massoud Pedram:
PEANO-ViT: Power-Efficient Approximations of Non-Linearities in Vision Transformers. CoRR abs/2406.14854 (2024) - [i79]Arya Fayyazi, Mehdi Kamal, Massoud Pedram:
ARCO:Adaptive Multi-Agent Reinforcement Learning-Based Hardware/Software Co-Optimization Compiler for Improved Performance in DNN Accelerator Design. CoRR abs/2407.08192 (2024) - [i78]Mohammad Erfan Sadeghi, Arash Fayyazi, Suhas Somashekar, Massoud Pedram:
CHOSEN: Compilation to Hardware Optimization Stack for Efficient Vision Transformer Inference. CoRR abs/2407.12736 (2024) - [i77]Dongyang Wu, Siyang Wang, Mehdi Kamal, Massoud Pedram:
Enhancing Layout Hotspot Detection Efficiency with YOLOv8 and PCA-Guided Augmentation. CoRR abs/2407.14498 (2024) - [i76]Seyedarmin Azizi, Mohammad Erfan Sadeghi, Mehdi Kamal, Massoud Pedram:
Efficient Noise Mitigation for Enhancing Inference Accuracy in DNNs on Mixed-Signal Accelerators. CoRR abs/2409.18553 (2024) - [i75]Armin Abdollahi, Mehdi Kamal, Massoud Pedram:
MENAGE: Mixed-Signal Event-Driven Neuromorphic Accelerator for Edge Applications. CoRR abs/2410.08403 (2024) - [i74]Sasan Razmkhah, Jui-Yu Huang, Mehdi Kamal, Massoud Pedram:
SAIM: Scalable Analog Ising Machine for Solving Quadratic Binary Optimization Problems. CoRR abs/2410.16079 (2024) - 2023
- [j227]Ehsan Tanghatari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Federated learning by employing knowledge distillation on edge devices with limited hardware resources. Neurocomputing 531: 87-99 (2023) - [j226]Bo Zhang, Zeming Cheng, Massoud Pedram:
An Iterative Montgomery Modular Multiplication Algorithm With Low Area-Time Product. IEEE Trans. Computers 72(1): 236-249 (2023) - [j225]Hassan Afzali-Kusha, Massoud Pedram:
X-NVDLA: Runtime Accuracy Configurable NVDLA Based on Applying Voltage Overscaling to Computing and Memory Units. IEEE Trans. Circuits Syst. I Regul. Pap. 70(5): 1989-2002 (2023) - [j224]Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks. IEEE Trans. Neural Networks Learn. Syst. 34(11): 8284-8296 (2023) - [j223]Farhad Ebrahimi-Azandaryani, Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Accuracy Configurable Adders with Negligible Delay Overhead in Exact Operating Mode. ACM Trans. Design Autom. Electr. Syst. 28(1): 13:1-13:14 (2023) - [j222]Reza Kazerooni-Zand, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Memristive-based Mixed-signal CGRA for Accelerating Deep Neural Network Inference. ACM Trans. Design Autom. Electr. Syst. 28(4): 66:1-66:25 (2023) - [j221]Soheil Nazar Shahsavani, Arash Fayyazi, Mahdi Nazemi, Massoud Pedram:
Efficient Compilation and Mapping of Fixed Function Combinational Logic onto Digital Signal Processors Targeting Neural Network Inference and Utilizing High-level Synthesis. ACM Trans. Reconfigurable Technol. Syst. 16(2): 17:1-17:25 (2023) - [j220]Marzieh Vaeztourshizi, Massoud Pedram:
Efficient Error Estimation for High-Level Design Space Exploration of Approximate Computing Systems. IEEE Trans. Very Large Scale Integr. Syst. 31(7): 917-930 (2023) - [c485]Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong:
Better Than Worst-Case Decoding for Quantum Error Correction. ASPLOS (2) 2023: 88-102 - [c484]Jingkai Hong, Arash Fayyazi, Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Algorithms and Hardware for Efficient Processing of Logic-based Neural Networks. DAC 2023: 1-6 - [c483]Jina Park, Kyuseung Han, Eunjin Choi, Sukho Lee, Jae-Jin Lee, Woojoo Lee, Massoud Pedram:
Florian: Developing a Low-Power RISC-V Multicore Processor with a Shared Lightweight FPU. ISLPED 2023: 1-6 - [c482]Deepraj Soni, Negar Neda, Naifeng Zhang, Benedict Reynwar, Homer Gamil, Benjamin Heyman, Mohammed Nabeel, Ahmad Al Badawi, Yuriy Polyakov, Kellie Canida, Massoud Pedram, Michail Maniatakos, David Bruce Cousins, Franz Franchetti, Matthew French, Andrew G. Schmidt, Brandon Reagen:
RPU: The Ring Processing Unit. ISPASS 2023: 272-282 - [c481]Souvik Kundu, Sairam Sundaresan, Massoud Pedram, Peter A. Beerel:
FLOAT: Fast Learnable Once-for-All Adversarial Training for Tunable Trade-off between Accuracy and Robustness. WACV 2023: 2348-2357 - [i73]Jung Hwan Heo, Arash Fayyazi, Mahdi Nazemi, Massoud Pedram:
A Fast Training-Free Compression Framework for Vision Transformers. CoRR abs/2303.02331 (2023) - [i72]Deepraj Soni, Negar Neda, Naifeng Zhang, Benedict Reynwar, Homer Gamil, Benjamin Heyman, Mohammed Thari Nabeel, Ahmad Al Badawi, Yuriy Polyakov, Kellie Canida, Massoud Pedram, Michail Maniatakos, David Bruce Cousins, Franz Franchetti, Matthew French, Andrew G. Schmidt, Brandon Reagen:
RPU: The Ring Processing Unit. CoRR abs/2303.17118 (2023) - [i71]David Bruce Cousins, Yuriy Polyakov, Ahmad Al Badawi, Matthew French, Andrew G. Schmidt, Ajey P. Jacob, Benedict Reynwar, Kellie Canida, Akhilesh R. Jaiswal, Clynn Mathew, Homer Gamil, Negar Neda, Deepraj Soni, Michail Maniatakos, Brandon Reagen, Naifeng Zhang, Franz Franchetti, Patrick Brinich, Jeremy Johnson, Patrick Broderick, Mike Franusich, Bo Zhang, Zeming Cheng, Massoud Pedram:
TREBUCHET: Fully Homomorphic Encryption Accelerator for Deep Computation. CoRR abs/2304.05237 (2023) - [i70]Jingkai Hong, Arash Fayyazi, Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Algorithms and Hardware for Efficient Processing of Logic-based Neural Networks. CoRR abs/2304.06299 (2023) - [i69]Jung Hwan Heo, Seyedarmin Azizi, Arash Fayyazi, Mahdi Nazemi, Massoud Pedram:
SNT: Sharpness-Minimizing Network Transformation for Fast Compression-friendly Pretraining. CoRR abs/2305.04526 (2023) - [i68]Arash Fayyazi, Mahdi Nazemi, Armin Abdollahi, Massoud Pedram:
BlendNet: Design and Optimization of a Neural Network-Based Inference Engine Blending Binary and Fixed-Point Convolutions. CoRR abs/2307.03784 (2023) - [i67]Aupam Hamran, Marzieh Vaeztourshizi, Amirhossein Esmaili, Massoud Pedram:
Brain Tumor Detection using Convolutional Neural Networks with Skip Connections. CoRR abs/2307.07503 (2023) - [i66]Masoud Zabihi, Yanyue Xie, Zhengang Li, Peiyan Dong, Geng Yuan, Olivia Chen, Massoud Pedram, Yanzhi Wang:
A Life-Cycle Energy and Inventory Analysis of Adiabatic Quantum-Flux-Parametron Circuits. CoRR abs/2307.12216 (2023) - [i65]Seyedarmin Azizi, Mahdi Nazemi, Arash Fayyazi, Massoud Pedram:
Sensitivity-Aware Mixed-Precision Quantization and Width Optimization of Deep Neural Networks Through Cluster-Based Tree-Structured Parzen Estimation. CoRR abs/2308.06422 (2023) - [i64]Sasan Razmkhah, Mehdi Kamal, Nobuyuki Yoshikawa, Massoud Pedram:
A Josephson Parametric Oscillator-Based Ising Machine. CoRR abs/2309.03407 (2023) - [i63]Beyza Zeynep Ucpinar, Yasemin Kopur, Mustafa Altay Karamuftuoglu, Sasan Razmkhah, Massoud Pedram:
Design of a Superconducting Multiflux Non-Destructive Readout Memory Unit. CoRR abs/2309.14613 (2023) - [i62]Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram:
Unsupervised SFQ-Based Spiking Neural Network. CoRR abs/2310.03918 (2023) - [i61]Beyza Zeynep Ucpinar, Mustafa Altay Karamuftuoglu, Sasan Razmkhah, Massoud Pedram:
An On-Chip Trainable Neuron Circuit for SFQ-Based Spiking Neural Networks. CoRR abs/2310.07824 (2023) - [i60]Haolin Cong, Sasan Razmkhah, Mustafa Altay Karamuftuoglu, Massoud Pedram:
Superconductor Logic Implementation with All-JJ Inductor-Free Cell Library. CoRR abs/2310.13857 (2023) - [i59]Seyedarmin Azizi, Mahdi Nazemi, Mehdi Kamal, Massoud Pedram:
Low-Precision Mixed-Computation Models for Inference on Edge. CoRR abs/2312.02210 (2023) - [i58]Deepraj Soni, Negar Neda, Naifeng Zhang, Benedict Reynwar, Homer Gamil, Benjamin Heyman, Mohammed Thari Nabeel, Ahmad Al Badawi, Yuriy Polyakov, Kellie Canida, Massoud Pedram, Michail Maniatakos, David Bruce Cousins, Franz Franchetti, Matthew French, Andrew G. Schmidt, Brandon Reagen:
RPU: The Ring Processing Unit. IACR Cryptol. ePrint Arch. 2023: 465 (2023) - [i57]David Bruce Cousins, Yuriy Polyakov, Ahmad Al Badawi, Matthew French, Andrew G. Schmidt, Ajey P. Jacob, Benedict Reynwar, Kellie Canida, Akhilesh R. Jaiswal, Clynn Mathew, Homer Gamil, Negar Neda, Deepraj Soni, Michail Maniatakos, Brandon Reagen, Naifeng Zhang, Franz Franchetti, Patrick Brinich, Jeremy Johnson, Patrick Broderick, Mike Franusich, Bo Zhang, Zeming Cheng, Massoud Pedram:
TREBUCHET: Fully Homomorphic Encryption Accelerator for Deep Computation. IACR Cryptol. ePrint Arch. 2023: 521 (2023) - 2022
- [j219]Nhat-An Nguyen, Hien Vu, Massoud Pedram, Donghwa Shin:
An Attachable Battery-Supercapacitor Hybrid for Large Pulsed Load. IEEE Des. Test 39(5): 62-69 (2022) - [j218]Ehsan Tanghatari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Distributing DNN training over IoT edge devices based on transfer learning. Neurocomputing 467: 56-65 (2022) - [j217]Bo Zhang, Zeming Cheng, Massoud Pedram:
High-Radix Design of a Scalable Montgomery Modular Multiplier With Low Latency. IEEE Trans. Computers 71(2): 436-449 (2022) - [j216]Morteza Soltani, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Adaptive Memory-Side Encryption Method for Improving Security and Lifetime of PCM-Based Main Memory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(6): 1744-1756 (2022) - [j215]Souvik Kundu, Yao Fu, Bill Ye, Peter A. Beerel, Massoud Pedram:
Toward Adversary-aware Non-iterative Model Pruning through Dynamic Network Rewiring of DNNs. ACM Trans. Embed. Comput. Syst. 21(5): 52:1-52:24 (2022) - [j214]Mohamadreza Zolfagharinejad, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Posit Process Element for Using in Energy-Efficient DNN Accelerators. IEEE Trans. Very Large Scale Integr. Syst. 30(6): 844-848 (2022) - [c480]Souvik Kundu, Shikai Wang, Qirui Sun, Peter A. Beerel, Massoud Pedram:
BMPQ: Bit-Gradient Sensitivity-Driven Mixed-Precision Quantization of DNNs from Scratch. DATE 2022: 588-591 - [c479]Seyed Hossein Hashemi Shadmehri, Ali BanaGozar, Mehdi Kamal, Sander Stuijk, Ali Afzali-Kusha, Massoud Pedram, Henk Corporaal:
SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory. DATE 2022: 1467-1472 - [c478]Mohammad Reza Jokar, Richard Rines, Ghasem Pasandi, Haolin Cong, Adam Holmes, Yunong Shi, Massoud Pedram, Frederic T. Chong:
DigiQ: A Scalable Digital Controller for Quantum Computers Using SFQ Logic. HPCA 2022: 400-414 - [c477]Haipeng Zha, Naveen Kumar Katam, Massoud Pedram, Murali Annavaram:
HiPerRF: A Dual-Bit Dense Storage SFQ Register File. HPCA 2022: 415-428 - [c476]Jung Hwan Heo, Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram:
Sparse Periodic Systolic Dataflow for Lowering Latency and Power Dissipation of Convolutional Neural Network Accelerators. ISLPED 2022: 3:1-3:6 - [c475]Hassan Afzali-Kusha, Massoud Pedram:
X-NVDLA: Runtime Accuracy Configurable NVDLA based on Employing Voltage Overscaling Approach. ISQED 2022: 7-12 - [c474]Marzieh Vaeztourshizi, Massoud Pedram:
An Efficient Error Estimation Technique for Pruning Approximate Data-Flow Graphs in Design Space Exploration. ISQED 2022: 102-107 - [p2]Marzieh Vaeztourshizi, Hassan Afzali-Kusha, Mehdi Kamal, Massoud Pedram:
Design Techniques for Approximate Realization of Data-Flow Graphs. Approximate Computing 2022: 175-206 - [i56]Souvik Kundu, Sairam Sundaresan, Massoud Pedram, Peter A. Beerel:
A Fast and Efficient Conditional Learning for Tunable Trade-Off between Accuracy and Robustness. CoRR abs/2204.00426 (2022) - [i55]Jung Hwan Heo, Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram:
Sparse Periodic Systolic Dataflow for Lowering Latency and Power Dissipation of Convolutional Neural Network Accelerators. CoRR abs/2207.00068 (2022) - [i54]Soheil Nazar Shahsavani, Arash Fayyazi, Mahdi Nazemi, Massoud Pedram:
Efficient Compilation and Mapping of Fixed Function Combinational Logic onto Digital Signal Processors Targeting Neural Network Inference and Utilizing High-level Synthesis. CoRR abs/2208.00302 (2022) - [i53]Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong:
Have your QEC and Bandwidth too!: A lightweight cryogenic decoder for common / trivial errors, and efficient bandwidth + execution management otherwise. CoRR abs/2208.08547 (2022) - [i52]Saba Amanollahi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
AMR-MUL: An Approximate Maximally Redundant Signed Digit Multiplier. CoRR abs/2208.13850 (2022) - 2021
- [j213]Kyuseung Han, Sukho Lee, Kwang-Il Oh, Younghwan Bae, Hyeonguk Jang, Jae-Jin Lee, Woojoo Lee, Massoud Pedram:
Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes. IEEE Internet Things J. 8(6): 4642-4656 (2021) - [j212]Amir Erfan Eshratifar, David Eigen, Michael Gormish, Massoud Pedram:
Coarse2Fine: a two-stage training method for fine-grained visual classification. Mach. Vis. Appl. 32(2): 49 (2021) - [j211]Mohammad Javad Dousti, Qing Xie, Mahdi Nazemi, Massoud Pedram:
Therminator 2: A Fast Thermal Simulator for Portable Devices. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(12): 2528-2541 (2021) - [j210]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks. IEEE Trans. Circuits Syst. I Regul. Pap. 68(8): 3411-3421 (2021) - [j209]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Reliability Enhancement of Inverter-Based Memristor Crossbar Neural Networks Using Mathematical Analysis of Circuit Non-Idealities. IEEE Trans. Circuits Syst. I Regul. Pap. 68(10): 4310-4323 (2021) - [j208]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
LATIM: Loading-Aware Offline Training Method for Inverter-Based Memristive Neural Networks. IEEE Trans. Circuits Syst. II Express Briefs 68(10): 3346-3350 (2021) - [j207]Amir Erfan Eshratifar, Mohammad Saeed Abrishami, Massoud Pedram:
JointDNN: An Efficient Training and Inference Engine for Intelligent Mobile Cloud Computing Services. IEEE Trans. Mob. Comput. 20(2): 565-576 (2021) - [j206]Xi Li, Soheil Nazar Shahsavani, Xuan Zhou, Massoud Pedram, Peter A. Beerel:
A Variation-aware Hold Time Fixing Methodology for Single Flux Quantum Logic Circuits. ACM Trans. Design Autom. Electr. Syst. 26(6): 47:1-47:17 (2021) - [j205]Mohammad Ali Maleki, Alireza Nabipour-Meybodi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Energy-Efficient Inference Method in Convolutional Neural Networks Based on Dynamic Adjustment of the Pruning Level. ACM Trans. Design Autom. Electr. Syst. 26(6): 49:1-49:20 (2021) - [j204]Roohollah Yarmand, Mehdi Kamal, Ali Afzali-Kusha, Pooria Esmaeli, Massoud Pedram:
OPTIMA: An Approach for Online Management of Cache Approximation Levels in Approximate Processing Systems. IEEE Trans. Very Large Scale Integr. Syst. 29(2): 434-446 (2021) - [c473]Souvik Kundu, Mahdi Nazemi, Peter A. Beerel, Massoud Pedram:
DNR: A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs. ASP-DAC 2021: 344-350 - [c472]Ghasem Pasandi, Massoud Pedram:
qSeq: Full Algorithmic and Tool Support for Synthesizing Sequential Circuits in Superconducting SFQ Technology. DAC 2021: 133-138 - [c471]Hitarth Kanakia, Mahdi Nazemi, Arash Fayyazi, Massoud Pedram:
ESPRESSO-GPU: Blazingly Fast Two-Level Logic Minimization. DATE 2021: 1038-1043 - [c470]Mahdi Nazemi, Arash Fayyazi, Amirhossein Esmaili, Atharva Khare, Soheil Nazar Shahsavani, Massoud Pedram:
NullaNet Tiny: Ultra-low-latency DNN Inference Through Fixed-function Combinational Logic. FCCM 2021: 266-267 - [c469]Mustafa Munir, Aswin Gopikanna, Arash Fayyazi, Massoud Pedram, Shahin Nazarian:
qMC: A Formal Model Checking Verification Framework For Superconducting Logic. ACM Great Lakes Symposium on VLSI 2021: 259-264 - [c468]Mahdi Nazemi, Hitarth Kanakia, Massoud Pedram:
Heuristics for Million-scale Two-level Logic Minimization. ICCAD 2021: 1-7 - [c467]Souvik Kundu, Massoud Pedram, Peter A. Beerel:
HIRE-SNN: Harnessing the Inherent Robustness of Energy-Efficient Deep Spiking Neural Networks by Training with Crafted Input Noise. ICCV 2021: 5189-5198 - [c466]Bo Zhang, Zeming Cheng, Massoud Pedram:
A High-Performance Low-Power Barrett Modular Multiplier for Cryptosystems. ISLPED 2021: 1-6 - [c465]Souvik Kundu, Qirui Sun, Yao Fu, Massoud Pedram, Peter A. Beerel:
Analyzing the Confidentiality of Undistillable Teachers in Knowledge Distillation. NeurIPS 2021: 9181-9192 - [c464]Souvik Kundu, Gourav Datta, Massoud Pedram, Peter A. Beerel:
Spike-Thrift: Towards Energy-Efficient Deep Spiking Neural Networks by Limiting Spiking Activity via Attention-Guided Compression. WACV 2021: 3952-3961 - [i51]Seyed Abolfazl Ghasemzadeh, Erfan Bank Tavakoli, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
BRDS: An FPGA-based LSTM Accelerator with Row-Balanced Dual-Ratio Sparsification. CoRR abs/2101.02667 (2021) - [i50]Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks. CoRR abs/2101.09693 (2021) - [i49]Mahdi Nazemi, Arash Fayyazi, Amirhossein Esmaili, Atharva Khare, Soheil Nazar Shahsavani, Massoud Pedram:
NullaNet Tiny: Ultra-low-latency DNN Inference Through Fixed-function Combinational Logic. CoRR abs/2104.05421 (2021) - [i48]Souvik Kundu, Gourav Datta, Massoud Pedram, Peter A. Beerel:
Towards Low-Latency Energy-Efficient Deep SNNs via Attention-Guided Compression. CoRR abs/2107.12445 (2021) - [i47]Souvik Kundu, Massoud Pedram, Peter A. Beerel:
HIRE-SNN: Harnessing the Inherent Robustness of Energy-Efficient Deep Spiking Neural Networks by Training with Crafted Input Noise. CoRR abs/2110.11417 (2021) - [i46]Souvik Kundu, Shikai Wang, Qirui Sun, Peter A. Beerel, Massoud Pedram:
BMPQ: Bit-Gradient Sensitivity Driven Mixed-Precision Quantization of DNNs from Scratch. CoRR abs/2112.13843 (2021) - 2020
- [j203]Massoud Pedram, Luhao Wang:
Energy Efficiency in 5G Cellular Network Systems. IEEE Des. Test 37(1): 64-78 (2020) - [j202]Ghasem Pasandi, Massoud Pedram:
Depth-bounded Graph Partitioning Algorithm and Dual Clocking Method for Realization of Superconducting SFQ Circuits. ACM J. Emerg. Technol. Comput. Syst. 17(1): 2:1-2:22 (2020) - [j201]Saba Amanollahi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx. Proc. IEEE 108(12): 2150-2177 (2020) - [j200]Souvik Kundu, Mahdi Nazemi, Massoud Pedram, Keith M. Chugg, Peter A. Beerel:
Pre-Defined Sparsity for Low-Complexity Convolutional Neural Networks. IEEE Trans. Computers 69(7): 1045-1058 (2020) - [j199]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2558-2571 (2020) - [j198]Farhad Ebrahimi-Azandaryani, Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications. IEEE Trans. Circuits Syst. II Express Briefs 67-II(1): 137-141 (2020) - [j197]Nasim Samimi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Res-DNN: A Residue Number System-Based DNN Accelerator Unit. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(2): 658-671 (2020) - [j196]Pouya Haghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
O⁴-DNN: A Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on FPGA Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(9): 3056-3069 (2020) - [j195]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Offline Training Improvement of Inverter-Based Memristive Neural Networks Using Inverter Voltage Characteristic Smoothing. IEEE Trans. Circuits Syst. 67-II(12): 3442-3446 (2020) - [j194]Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Energy-aware Scheduling of Task Graphs with Imprecise Computations and End-to-end Deadlines. ACM Trans. Design Autom. Electr. Syst. 25(1): 11:1-11:21 (2020) - [j193]Roohollah Yarmand, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
DART: A Framework for Determining Approximation Levels in an Approximable Memory Hierarchy. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 273-286 (2020) - [j192]Morteza Soltani, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
RandShift: An Energy-Efficient Fault-Tolerant Method in Secure Nonvolatile Main Memory. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 287-291 (2020) - [j191]Erfan Bank-Tavakoli, Seyed Abolfazl Ghasemzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
POLAR: A Pipelined/Overlapped FPGA-Based LSTM Accelerator. IEEE Trans. Very Large Scale Integr. Syst. 28(3): 838-842 (2020) - [j190]Hassan Afzali-Kusha, Marzieh Vaeztourshizi, Mehdi Kamal, Massoud Pedram:
Design Exploration of Energy-Efficient Accuracy-Configurable Dadda Multipliers With Improved Lifetime Based on Voltage Overscaling. IEEE Trans. Very Large Scale Integr. Syst. 28(5): 1207-1220 (2020) - [j189]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Interstice: Inverter-Based Memristive Neural Networks Discretization for Function Approximation Applications. IEEE Trans. Very Large Scale Integr. Syst. 28(7): 1578-1588 (2020) - [c463]Soheil Nazar Shahsavani, Massoud Pedram:
TDP-ADMM: A Timing Driven Placement Approach for Superconductive Electronic Circuits Using Alternating Direction Method of Multipliers. DAC 2020: 1-6 - [c462]Mengshu Sun, Pu Zhao, Mehmet Güngör, Massoud Pedram, Miriam Leeser, Xue Lin:
3D CNN Acceleration on FPGA using Hardware-Aware Pruning. DAC 2020: 1-6 - [c461]Soheil Nazar Shahsavani, Bo Zhang, Massoud Pedram:
A Timing Uncertainty-Aware Clock Tree Topology Generation Algorithm for Single Flux Quantum Circuits. DATE 2020: 278-281 - [c460]Naveen Kumar Katam, Bo Zhang, Massoud Pedram:
Ground Plane Partitioning for Current Recycling of Superconducting Circuits. DATE 2020: 478-483 - [c459]Ting-Ru Lin, Drew Penney, Massoud Pedram, Lizhong Chen:
A Deep Reinforcement Learning Framework for Architectural Exploration: A Routerless NoC Case Study. HPCA 2020: 99-110 - [c458]Ting-Ru Lin, Po-Han Huang, Luhao Wang, Massoud Pedram:
A Stochastic Framework for Virtualization Layer Deployment in Vehicular Cloud Networks. ICC Workshops 2020: 1-6 - [c457]Ting-Ru Lin, Massoud Pedram:
Retiming for High-performance Superconductive Circuits with Register Energy Minimization. ICCAD 2020: 85:1-85:9 - [c456]Mahdi Nazemi, Amirhossein Esmaili, Arash Fayyazi, Massoud Pedram:
SynergicLearning: Neural Network-Based Feature Extraction for Highly-Accurate Hyperdimensional Learning. ICCAD 2020: 89:1-89:9 - [c455]Amir Erfan Eshratifar, Massoud Pedram:
Runtime Deep Model Multiplexing for Reduced Latency and Energy Consumption Inference. ICCD 2020: 263-270 - [c454]Adam Holmes, Mohammad Reza Jokar, Ghasem Pasandi, Yongshan Ding, Massoud Pedram, Frederic T. Chong:
NISQ+: Boosting quantum computing power by approximating quantum error correction. ISCA 2020: 556-569 - [c453]Ghasem Pasandi, Mackenzie Peterson, Moisés Herrera, Shahin Nazarian, Massoud Pedram:
Deep-PowerX: a deep learning-based framework for low-power approximate logic synthesis. ISLPED 2020: 73-78 - [c452]Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram:
HIPE-MAGIC: a technology-aware synthesis and mapping flow for highly parallel execution of memristor-aided LoGIC. ISLPED 2020: 235-240 - [c451]Hassan Afzali-Kusha, Mehdi Kamal, Massoud Pedram:
Low-power Accuracy-configurable Carry Look-ahead Adder Based on Voltage Overscaling Technique. ISQED 2020: 67-72 - [c450]Mohammad Saeed Abrishami, Amir Erfan Eshratifar, David Eigen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space. ISQED 2020: 347-351 - [c449]Amirhossein Esmaili, Massoud Pedram:
Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning. ISQED 2020: 426-431 - [c448]Marzieh Vaeztourshizi, Mehdi Kamal, Massoud Pedram:
EGAN: A Framework for Exploring the Accuracy vs. Energy Efficiency Trade-off in Hardware Implementation of Error Resilient Applications. ISQED 2020: 438-443 - [c447]Mohammad Saeed Abrishami, Hao Ge, Justin F. Calderon, Massoud Pedram, Shahin Nazarian:
NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework. ISQED 2020: 452-456 - [i45]Amir Erfan Eshratifar, Massoud Pedram:
Run-time Deep Model Multiplexing. CoRR abs/2001.05870 (2020) - [i44]Souvik Kundu, Mahdi Nazemi, Massoud Pedram, Keith M. Chugg, Peter A. Beerel:
Pre-defined Sparsity for Low-Complexity Convolutional Neural Networks. CoRR abs/2001.10710 (2020) - [i43]Souvik Kundu, Gourav Datta, Peter A. Beerel, Massoud Pedram:
qBSA: Logic Design of a 32-bit Block-Skewed RSFQ Arithmetic Logic Unit. CoRR abs/2001.10715 (2020) - [i42]Mohammad Saeed Abrishami, Amir Erfan Eshratifar, David Eigen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space. CoRR abs/2002.04776 (2020) - [i41]Mohammad Saeed Abrishami, Massoud Pedram, Shahin Nazarian:
CSM-NN: Current Source Model Based Logic Circuit Simulation - A Neural Network Approach. CoRR abs/2002.05291 (2020) - [i40]Mohammad Saeed Abrishami, Hao Ge, Justin F. Calderon, Massoud Pedram, Shahin Nazarian:
NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework. CoRR abs/2002.05292 (2020) - [i39]Arash Fayyazi, Shahin Nazarian, Massoud Pedram:
Logic Verification of Ultra-Deep Pipelined Beyond-CMOS Technologies. CoRR abs/2005.13735 (2020) - [i38]Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram:
HIPE-MAGIC: A Technology-Aware Synthesis and Mapping Flow for HIghly Parallel Execution of Memristor-Aided LoGIC. CoRR abs/2006.03269 (2020) - [i37]Ghasem Pasandi, Mackenzie Peterson, Moisés Herrera, Shahin Nazarian, Massoud Pedram:
Deep-PowerX: A Deep Learning-Based Framework for Low-Power Approximate Logic Synthesis. CoRR abs/2007.01465 (2020) - [i36]Mahdi Nazemi, Amirhossein Esmaili, Arash Fayyazi, Massoud Pedram:
SynergicLearning: Neural Network-Based Feature Extraction for Highly-Accurate Hyperdimensional Learning. CoRR abs/2007.15222 (2020) - [i35]Souvik Kundu, Mahdi Nazemi, Peter A. Beerel, Massoud Pedram:
A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs. CoRR abs/2011.03083 (2020)
2010 – 2019
- 2019
- [j188]Ting-Ru Lin, Yunfan Li, Massoud Pedram, Lizhong Chen:
Design Space Exploration of Memory Controller Placement in Throughput Processors with Deep Learning. IEEE Comput. Archit. Lett. 18(1): 51-54 (2019) - [j187]Ghasem Pasandi, Kolsoom Mehrabi, Behzad Ebrahimi, Sied Mehdi Fakhraei, Ali Afzali-Kusha, Massoud Pedram:
Low-power data encoding/decoding for energy-efficient static random access memory design. IET Circuits Devices Syst. 13(8): 1152-1159 (2019) - [j186]Luhao Wang, Massoud Pedram:
QoS guaranteed online management of battery swapping station under dynamic energy pricing. IET Cyper-Phys. Syst.: Theory & Appl. 4(3): 259-264 (2019) - [j185]Shayan Tabatabaei Nikkhah, Mahdi Zahedi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
ACHILLES: Accuracy-Aware High-Level Synthesis Considering Online Quality Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(8): 1452-1465 (2019) - [j184]Woojoo Lee, Taewook Kang, Jae-Jin Lee, Kyuseung Han, Joongheon Kim, Massoud Pedram:
TEI-ULP: Exploiting Body Biasing to Improve the TEI-Aware Ultralow Power Methods. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(9): 1758-1770 (2019) - [j183]Masoud Pashaeifar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A Theoretical Framework for Quality Estimation and Optimization of DSP Applications Using Low-Power Approximate Adders. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 327-340 (2019) - [j182]Ghasem Pasandi, Raghav Mehta, Massoud Pedram, Shahin Nazarian:
Hybrid Cell Assignment and Sizing for Power, Area, Delay-Product Optimization of SRAM Arrays. IEEE Trans. Circuits Syst. II Express Briefs 66-II(12): 2047-2051 (2019) - [j181]Mohammad Ansari, Arash Fayyazi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
OCTAN: An On-Chip Training Algorithm for Memristive Neuromorphic Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(12): 4687-4698 (2019) - [j180]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
TOSAM: An Energy-Efficient Truncation- and Rounding-Based Scalable Approximate Multiplier. IEEE Trans. Very Large Scale Integr. Syst. 27(5): 1161-1173 (2019) - [c446]Amir Erfan Eshratifar, Mohammad Saeed Abrishami, David Eigen, Massoud Pedram:
A Meta-Learning Approach for Custom Model Training. AAAI 2019: 9937-9938 - [c445]Mahdi Nazemi, Ghasem Pasandi, Massoud Pedram:
Energy-efficient, low-latency realization of neural networks through boolean logic minimization. ASP-DAC 2019: 274-279 - [c444]Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline. ASP-DAC 2019: 532-537 - [c443]Arash Fayyazi, Soheil Shababi, Pierluigi Nuzzo, Shahin Nazarian, Massoud Pedram:
Deep Learning-Based Circuit Recognition Using Sparse Mapping and Level-Dependent Decaying Sum Circuit Representations. DATE 2019: 638-641 - [c442]Ghasem Pasandi, Massoud Pedram:
Balanced Factorization and Rewriting Algorithms for Synthesizing Single Flux Quantum Logic Circuits. ACM Great Lakes Symposium on VLSI 2019: 183-188 - [c441]Karunveer Singh, Rishabh Gupta, Vikram Gupta, Arash Fayyazi, Massoud Pedram, Shahin Nazarian:
A Hybrid Framework for Functional Verification using Reinforcement Learning and Deep Learning. ACM Great Lakes Symposium on VLSI 2019: 367-370 - [c440]Ghasem Pasandi, Massoud Pedram:
A Dynamic Programming-Based, Path Balancing Technology Mapping Algorithm Targeting Area Minimization. ICCAD 2019: 1-8 - [c439]Mohammad Saeed Abrishami, Massoud Pedram, Shahin Nazarian:
CSM-NN: Current Source Model Based Logic Circuit Simulation - A Neural Network Approach. ICCD 2019: 393-400 - [c438]Shahin Nazarian, Arash Fayyazi, Massoud Pedram:
qCG: A Low-Power Multi-Domain SFQ Logic Design and Verification Framework. ICCD 2019: 446-449 - [c437]Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram:
BottleNet: A Deep Learning Architecture for Intelligent Mobile Cloud Computing Services. ISLPED 2019: 1-6 - [c436]Kyuseung Han, Sukho Lee, Jae-Jin Lee, Woojoo Lee, Massoud Pedram:
TIP: A Temperature Effect Inversion-Aware Ultra-Low Power System-on-Chip Platform. ISLPED 2019: 1-6 - [c435]Ming Yan, Yuntao Song, Yiyu Feng, Ghasem Pasandi, Massoud Pedram, Shahin Nazarian:
kNN-CAM: A k-Nearest Neighbors-based Configurable Approximate Floating Point Multiplier. ISQED 2019: 1-7 - [c434]Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram:
Towards Collaborative Intelligence Friendly Architectures for Deep Learning. ISQED 2019: 14-19 - [c433]Ghasem Pasandi, Shahin Nazarian, Massoud Pedram:
Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach. ISQED 2019: 26-32 - [c432]Alvin D. Wong, Kevin Su, Hang Sun, Arash Fayyazi, Massoud Pedram, Shahin Nazarian:
VeriSFQ: A Semi-formal Verification Framework and Benchmark for Single Flux Quantum Technology. ISQED 2019: 224-230 - [c431]Arash Fayyazi, Souvik Kundu, Shahin Nazarian, Peter A. Beerel, Massoud Pedram:
CSrram: Area-Efficient Low-Power Ex-Situ Training Framework for Memristive Neuromorphic Circuits Based on Clustered Sparsity. ISVLSI 2019: 465-470 - [c430]Soheil Nazar Shahsavani, Massoud Pedram:
A Hyper-Parameter Based Margin Calculation Algorithm for Single Flux Quantum Logic Cells. ISVLSI 2019: 645-650 - [i34]Ghasem Pasandi, Alireza Shafaei, Massoud Pedram:
SFQmap: A Technology Mapping Tool for Single Flux Quantum Logic Circuits. CoRR abs/1901.00894 (2019) - [i33]Shayan Tabatabaei Nikkhah, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Space Expansion of Feature Selection for Designing more Accurate Error Predictors. CoRR abs/1901.00952 (2019) - [i32]Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram:
Towards Collaborative Intelligence Friendly Architectures for Deep Learning. CoRR abs/1902.00147 (2019) - [i31]Ghasem Pasandi, Shahin Nazarian, Massoud Pedram:
Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach. CoRR abs/1902.00478 (2019) - [i30]Ghasem Pasandi, Raghav Mehta, Massoud Pedram, Shahin Nazarian:
Hybrid Cell Assignment and Sizing for Power, Area, Delay Product Optimization of SRAM Arrays. CoRR abs/1902.00484 (2019) - [i29]Amir Erfan Eshratifar, Amirhossein Esmaili, Massoud Pedram:
BottleNet: A Deep Learning Architecture for Intelligent Mobile Cloud Computing Services. CoRR abs/1902.01000 (2019) - [i28]Alvin D. Wong, Kevin Su, Hang Sun, Arash Fayyazi, Massoud Pedram, Shahin Nazarian:
VeriSFQ - A Semi-formal Verification Framework and Benchmark for Single Flux Quantum Technology. CoRR abs/1903.07025 (2019) - [i27]Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Energy-Aware Scheduling of Task Graphs with Imprecise Computations and End-to-End Deadlines. CoRR abs/1905.04391 (2019) - [i26]Ting-Ru Lin, Drew Penney, Massoud Pedram, Lizhong Chen:
Optimizing Routerless Network-on-Chip Designs: An Innovative Learning-Based Framework. CoRR abs/1905.04423 (2019) - [i25]Amir Erfan Eshratifar, David Eigen, Michael Gormish, Massoud Pedram:
Coarse2Fine: A Two-stage Training Method for Fine-grained Visual Classification. CoRR abs/1909.02680 (2019) - [i24]Amirhossein Esmaili, Massoud Pedram:
Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning. CoRR abs/1912.05160 (2019) - 2018
- [j179]Hassan Afzali-Kusha, Omid Akbari, Mehdi Kamal, Massoud Pedram:
Energy and Reliability Improvement of Voltage-Based, Clustered, Coarse-Grain Reconfigurable Architectures by Employing Quality-Aware Mapping. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(3): 480-493 (2018) - [j178]Ghasem Pasandi, Massoud Pedram:
Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs. IET Circuits Devices Syst. 12(4): 460-466 (2018) - [j177]Farzaneh Nakhaee, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie, Hamed Dorosti:
Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications. Integr. 61: 29-38 (2018) - [j176]Arash Fayyazi, Mohammad Ansari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Ultra Low-Power Memristive Neuromorphic Circuit for Internet of Things Smart Sensors. IEEE Internet Things J. 5(2): 1011-1022 (2018) - [j175]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures. IEEE Micro 38(6): 63-72 (2018) - [j174]Kyuseung Han, Jae-Jin Lee, Jinho Lee, Woojoo Lee, Massoud Pedram:
TEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(2): 458-471 (2018) - [j173]Arman Iranfar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, David Atienza:
TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1532-1545 (2018) - [j172]Mohammad Ansari, Arash Fayyazi, Ali BanaGozar, Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
PHAX: Physical Characteristics Aware Ex-Situ Training Framework for Inverter-Based Memristive Neuromorphic Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1602-1613 (2018) - [j171]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder. IEEE Trans. Circuits Syst. II Express Briefs 65-II(8): 1089-1093 (2018) - [j170]Tiansong Cui, Ji Li, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
An Exploration of Applying Gate-Length-Biasing Techniques to Deeply-Scaled FinFETs Operating in Multiple Voltage Regimes. IEEE Trans. Emerg. Top. Comput. 6(2): 172-183 (2018) - [j169]Sheis Abolma'ali, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Efficient False Path-Aware Heuristic Critical Path Selection Method with High Coverage of the Process Variation Space. ACM Trans. Design Autom. Electr. Syst. 23(3): 32:1-32:25 (2018) - [j168]Inkwon Hwang, Massoud Pedram:
Hierarchical, Portfolio Theory-Based Virtual Machine Consolidation in a Compute Cloud. IEEE Trans. Serv. Comput. 11(1): 63-77 (2018) - [j167]Masoud Pashaeifar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2530-2541 (2018) - [c429]Ruizhe Cai, Ao Ren, Ning Liu, Caiwen Ding, Luhao Wang, Xuehai Qian, Massoud Pedram, Yanzhi Wang:
VIBNN: Hardware Acceleration of Bayesian Neural Networks. ASPLOS 2018: 476-488 - [c428]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture. DATE 2018: 413-418 - [c427]Soheil Nazar Shahsavani, Bo Zhang, Massoud Pedram:
Accurate margin calculation for single flux quantum logic cells. DATE 2018: 509-514 - [c426]Sheng Lin, Ning Liu, Mahdi Nazemi, Hongjia Li, Caiwen Ding, Yanzhi Wang, Massoud Pedram:
FFT-based deep learning deployment in embedded systems. DATE 2018: 1045-1050 - [c425]Soheil Nazar Shahsavani, Alireza Shafaei, Massoud Pedram:
A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. DATE 2018: 1465-1468 - [c424]Amir Erfan Eshratifar, Massoud Pedram:
Energy and Performance Efficient Computation Offloading for Deep Neural Networks in a Mobile Cloud Computing Environment. ACM Great Lakes Symposium on VLSI 2018: 111-116 - [c423]Hassan Afzali-Kusha, Omid Akbari, Mehdi Kamal, Massoud Pedram:
Energy Consumption and Lifetime Improvement of Coarse-Grained Reconfigurable Architectures Targeting Low-Power Error-Tolerant Applications. ACM Great Lakes Symposium on VLSI 2018: 431-434 - [c422]Massoud Pedram:
Energy-Efficient Computing: Datacenters, Mobile Devices, and Mobile Clouds. IGSC 2018: 1 - [c421]Luhao Wang, Shuang Chen, Massoud Pedram:
Power Management of Cache-Enabled Cooperative Base Stations Towards Zero Grid Energy. ICC 2018: 1-6 - [c420]Massoud Pedram, Yanzhi Wang:
Design automation methodology and tools for superconductive electronics. ICCAD 2018: 110:1-110:6 - [c419]Peter A. Beerel, Massoud Pedram:
Opportunities for Machine Learning in Electronic Design Automation. ISCAS 2018: 1-5 - [c418]Paul Bogdan, Massoud Pedram:
Toward Enabling Automated Cognition and Decision-Making in Complex Cyber-Physical Systems. ISCAS 2018: 1-4 - [c417]Ghasem Pasandi, Alireza Shafaei, Massoud Pedram:
SFQmap: A Technology Mapping Tool for Single Flux Quantum Logic Circuits. ISCAS 2018: 1-5 - [c416]Marzieh Vaeztourshizi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider. ISLPED 2018: 14:1-14:6 - [c415]Mahdi Nazemi, Massoud Pedram:
Deploying Customized Data Representation and Approximate Computing in Machine Learning Applications. ISLPED 2018: 48:1-48:6 - [c414]Hassan Afzali-Kusha, Alireza Shafaei, Massoud Pedram:
A 125mV 2ns-access-time 16Kb SRAM design based on a 6T hybrid TFET-FinFET cell. ISQED 2018: 280-285 - [c413]Mahdi Nazemi, Amir Erfan Eshratifar, Massoud Pedram:
A hardware-friendly algorithm for scalable training and deployment of dimensionality reduction models on FPGA. ISQED 2018: 395-400 - [i23]Mahdi Nazemi, Amir Erfan Eshratifar, Massoud Pedram:
A Hardware-Friendly Algorithm for Scalable Training and Deployment of Dimensionality Reduction Models on FPGA. CoRR abs/1801.04014 (2018) - [i22]Amir Erfan Eshratifar, Mohammad Saeed Abrishami, Massoud Pedram:
JointDNN: An Efficient Training and Inference Engine for Intelligent Mobile Cloud Computing Services. CoRR abs/1801.08618 (2018) - [i21]Ruizhe Cai, Ao Ren, Ning Liu, Caiwen Ding, Luhao Wang, Xuehai Qian, Massoud Pedram, Yanzhi Wang:
VIBNN: Hardware Acceleration of Bayesian Neural Networks. CoRR abs/1802.00822 (2018) - [i20]Mahdi Nazemi, Massoud Pedram:
Deploying Customized Data Representation and Approximate Computing in Machine Learning Applications. CoRR abs/1806.00875 (2018) - [i19]Mahdi Nazemi, Ghasem Pasandi, Massoud Pedram:
NullaNet: Training Deep Neural Networks for Reduced-Memory-Access Inference. CoRR abs/1807.08716 (2018) - [i18]Arash Fayyazi, Souvik Kundu, Shahin Nazarian, Peter A. Beerel, Massoud Pedram:
SpRRAM: A Predefined Sparsity Based Memristive Neuromorphic Circuit for Low Power Application. CoRR abs/1809.03476 (2018) - [i17]Amir Erfan Eshratifar, Mohammad Saeed Abrishami, David Eigen, Massoud Pedram:
A Meta-Learning Approach for Custom Model Training. CoRR abs/1809.08346 (2018) - [i16]Ghasem Pasandi, Massoud Pedram:
A Graph Partitioning Algorithm with Application in Synthesizing Single Flux Quantum Logic Circuits. CoRR abs/1810.00134 (2018) - [i15]Amir Erfan Eshratifar, David Eigen, Massoud Pedram:
Gradient Agreement as an Optimization Objective for Meta-Learning. CoRR abs/1810.08178 (2018) - [i14]Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram:
Modeling Processor Idle Times in MPSoC Platforms to Enable Integrated DPM, DVFS, and Task Scheduling Subject to a Hard Deadline. CoRR abs/1812.07723 (2018) - [i13]Ghasem Pasandi, Massoud Pedram:
PBMap: A Path Balancing Technology Mapping Algorithm for Single Flux Quantum Logic Circuits. CoRR abs/1812.10006 (2018) - 2017
- [j166]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An energy and area efficient yet high-speed square-root carry select adder structure. Comput. Electr. Eng. 58: 101-112 (2017) - [j165]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
LETAM: A low energy truncation-based approximate multiplier. Comput. Electr. Eng. 63: 1-17 (2017) - [j164]Ji Li, Xue Lin, Shahin Nazarian, Massoud Pedram:
CTS2M: concurrent task scheduling and storage management for residential energy consumers under dynamic energy pricing. IET Cyper-Phys. Syst.: Theory & Appl. 2(3): 111-117 (2017) - [j163]Pu Zhao, Xue Lin, Yanzhi Wang, Shuang Chen, Massoud Pedram:
Hierarchical resource allocation and consolidation framework in a multi-core server cluster using a Markov decision process model. IET Cyper-Phys. Syst.: Theory & Appl. 2(3): 118-126 (2017) - [j162]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuit: A solution to design soft-error resilient ultra-low power digital circuit. Integr. 57: 11-19 (2017) - [j161]Tiansong Cui, Shuang Chen, Yanzhi Wang, Qi Zhu, Shahin Nazarian, Massoud Pedram:
An optimal energy co-scheduling framework for smart buildings. Integr. 58: 528-537 (2017) - [j160]Tiansong Cui, Shuang Chen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Optimal Control of PEVs with a Charging Aggregator Considering Regulation Service Provisioning. ACM Trans. Cyber Phys. Syst. 1(4): 23:1-23:23 (2017) - [j159]Di Zhu, Siyu Yue, Massoud Pedram, Lizhong Chen:
CALM: Contention-Aware Latency-Minimal Application Mapping for Flattened Butterfly On-Chip Networks. ACM Trans. Design Autom. Electr. Syst. 22(2): 21:1-21:21 (2017) - [j158]Woojoo Lee, Kyuseung Han, Yanzhi Wang, Tiansong Cui, Shahin Nazarian, Massoud Pedram:
TEI-power: Temperature Effect Inversion-Aware Dynamic Thermal Management. ACM Trans. Design Autom. Electr. Syst. 22(3): 51:1-51:25 (2017) - [j157]Reza Zendegani, Mehdi Kamal, Milad Bahadori, Ali Afzali-Kusha, Massoud Pedram:
RoBA Multiplier: A Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing. IEEE Trans. Very Large Scale Integr. Syst. 25(2): 393-401 (2017) - [j156]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1352-1361 (2017) - [j155]Sheis Abolma'ali, Nika Mansouri-Ghiasi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations. IEEE Trans. Very Large Scale Integr. Syst. 25(9): 2668-2672 (2017) - [c412]Mahdi Nazemi, Shahin Nazarian, Massoud Pedram:
High-performance FPGA implementation of equivariant adaptive separation via independence algorithm for Independent Component Analysis. ASAP 2017: 25-28 - [c411]Naveen Katam, Alireza Shafaei, Massoud Pedram:
Design of multiple fanout clock distribution network for rapid single flux quantum technology. ASP-DAC 2017: 384-389 - [c410]Ali BanaGozar, Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust neuromorphic computing in the presence of process variation. DATE 2017: 440-445 - [c409]Soheil Nazar Shahsavani, Alireza Shafaei, Shahin Nazarian, Massoud Pedram:
A thermally-aware energy minimization methodology for global interconnects. DATE 2017: 1213-1218 - [c408]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Zainalabedin Navabi:
TruncApp: A truncation-based approximate divider for energy efficient DSP applications. DATE 2017: 1635-1638 - [c407]Luhao Wang, Shuang Chen, Massoud Pedram:
Context-driven power management in cache-enabled base stations using a Bayesian neural network. IGSC 2017: 1-8 - [c406]Mohammad Abdel-Majeed, Alireza Shafaei, Hyeran Jeon, Massoud Pedram, Murali Annavaram:
Pilot Register File: Energy Efficient Partitioned Register File for GPUs. HPCA 2017: 589-600 - [c405]Ruizhe Cai, Ao Ren, Luhao Wang, Massoud Pedram, Yanzhi Wang:
Hardware Acceleration of Bayesian Neural Networks Using RAM Based Linear Feedback Gaussian Random Number Generators. ICCD 2017: 289-296 - [c404]Luhao Wang, Alireza Shafaei, Massoud Pedram:
Gate-all-around FET based 6T SRAM design using a device-circuit co-optimization framework. MWSCAS 2017: 1113-1116 - [i12]Mahdi Nazemi, Shahin Nazarian, Massoud Pedram:
High-Performance FPGA Implementation of Equivariant Adaptive Separation via Independence Algorithm for Independent Component Analysis. CoRR abs/1707.01939 (2017) - [i11]Sheng Lin, Ning Liu, Mahdi Nazemi, Hongjia Li, Caiwen Ding, Yanzhi Wang, Massoud Pedram:
FFT-Based Deep Learning Deployment in Embedded Systems. CoRR abs/1712.04910 (2017) - 2016
- [j154]Hadi Goudarzi, Massoud Pedram:
Achieving Energy Efficiency in Datacenters by Virtual Machine Sizing, Replication, and Placement. Adv. Comput. 100: 161-200 (2016) - [j153]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A comparative study on performance and reliability of 32-bit binary adders. Integr. 53: 54-67 (2016) - [j152]Lizhong Chen, Di Zhu, Massoud Pedram, Timothy Mark Pinkston:
Simulation of NoC power-gating: Requirements, optimizations, and the Agate simulator. J. Parallel Distributed Comput. 95: 69-78 (2016) - [j151]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari:
An efficient temperature dependent hot carrier injection reliability simulation flow. Microelectron. Reliab. 57: 10-19 (2016) - [j150]Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Squash 2: a hierarchical scalable quantum mapper considering ancilla sharing. Quantum Inf. Comput. 16(3&4): 332-356 (2016) - [j149]Di Zhu, Lizhong Chen, Siyu Yue, Timothy Mark Pinkston, Massoud Pedram:
Providing Balanced Mapping for Multiple Applications in Many-Core Chip Multiprocessors. IEEE Trans. Computers 65(10): 3122-3135 (2016) - [j148]Yanzhi Wang, Massoud Pedram:
Model-Free Reinforcement Learning and Bayesian Classification in System-Level Power Management. IEEE Trans. Computers 65(12): 3713-3726 (2016) - [j147]Qing Xie, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Joint Charge and Thermal Management for Batteries in Portable Systems With Hybrid Power Sources. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(4): 611-622 (2016) - [j146]Di Zhu, Siyu Yue, Naehyuck Chang, Massoud Pedram:
Toward a Profitable Grid-Connected Hybrid Electrical Energy Storage System for Residential Use. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(7): 1151-1164 (2016) - [j145]Hadi Ahmadi Balef, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(9): 1503-1508 (2016) - [j144]Xue Lin, Yanzhi Wang, Naehyuck Chang, Massoud Pedram:
Concurrent Task Scheduling and Dynamic Voltage and Frequency Scaling in a Real-Time Embedded System With Energy Harvesting. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(11): 1890-1902 (2016) - [j143]Hadi Goudarzi, Massoud Pedram:
Hierarchical SLA-Driven Resource Management for Peak Power-Aware and Energy-Efficient Operation of a Cloud Datacenter. IEEE Trans. Cloud Comput. 4(2): 222-236 (2016) - [j142]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Yield and Speedup Improvements in Extensible Processors by Allocating Extra Cycles to Some Custom Instructions. ACM Trans. Design Autom. Electr. Syst. 21(2): 28:1-28:25 (2016) - [j141]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels. IEEE Trans. Very Large Scale Integr. Syst. 24(2): 421-433 (2016) - [j140]Inkwon Hwang, Massoud Pedram:
A Comparative Study of the Effectiveness of CPU Consolidation Versus Dynamic Voltage and Frequency Scaling in a Virtualized Multicore Server. IEEE Trans. Very Large Scale Integr. Syst. 24(6): 2103-2116 (2016) - [c403]Shuang Chen, Massoud Pedram:
Efficient Peak Shaving in a Data Center by Joint Optimization of Task Assignment and Energy Storage Management. CLOUD 2016: 77-83 - [c402]Xue Lin, Massoud Pedram, Jian Tang, Yanzhi Wang:
A Profit Optimization Framework of Energy Storage Devices in Data Centers: Hierarchical Structure and Hybrid Types. CLOUD 2016: 640-647 - [c401]Tiansong Cui, Shuang Chen, Yanzhi Wang, Qi Zhu, Shahin Nazarian, Massoud Pedram:
Optimal co-scheduling of HVAC control and battery management for energy-efficient buildings considering state-of-health degradation. ASP-DAC 2016: 775-780 - [c400]Alireza Shafaei, Hassan Afzali-Kusha, Massoud Pedram:
Minimizing the energy-delay product of SRAM arrays using a device-circuit-architecture co-optimization framework. DAC 2016: 107:1-107:6 - [c399]Alireza Shafaei, Massoud Pedram:
Energy-efficient cache memories using a dual-Vt 4T SRAM cell with read-assist techniques. DATE 2016: 457-462 - [c398]Reza Zendegani, Mehdi Kamal, Arash Fayyazi, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
SEERAD: A high speed yet energy-efficient rounding-based approximate divider. DATE 2016: 1481-1484 - [c397]Hassan Afzali-Kusha, Alireza Shafaei, Massoud Pedram:
Optimizing the Operating Voltage of Tunnel FET-Based SRAM Arrays Equipped with Read/Write Assist Circuitry. ACM Great Lakes Symposium on VLSI 2016: 415-420 - [c396]Xue Lin, Yanzhi Wang, Massoud Pedram:
A Reinforcement Learning-Based Power Management Framework for Green Computing Data Centers. IC2E 2016: 135-138 - [c395]Xue Lin, Yuankun Xue, Paul Bogdan, Yanzhi Wang, Siddharth Garg, Massoud Pedram:
Power-aware virtual machine mapping in the data-center-on-a-chip paradigm. ICCD 2016: 241-248 - [c394]Alireza Shafaei, Yanzhi Wang, Lizhong Chen, Shuang Chen, Massoud Pedram:
Maximizing the performance of NoC-based MPSoCs under total power and power density constraints. ISQED 2016: 49-56 - [c393]Tiansong Cui, Ji Li, Alireza Shafaei, Shahin Nazarian, Massoud Pedram:
An efficient timing analysis model for 6T FinFET SRAM using current-based method. ISQED 2016: 263-268 - [c392]Ji Li, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram:
Negotiation-based resource provisioning and task scheduling algorithm for cloud systems. ISQED 2016: 338-343 - [c391]Luhao Wang, Tiansong Cui, Shahin Nazarian, Yanzhi Wang, Massoud Pedram:
Standard cell library based layout characterization and power analysis for 10nm gate-all-around (GAA) transistors. SoCC 2016: 253-258 - [c390]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuits: An approach to design reliable ultra-low power circuits in the presence of process variation. VLSI-SoC 2016: 1-6 - [c389]Maedeh Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust Hybrid TFET-MOSFET Circuits in Presence of Process Variations and Soft Errors. VLSI-SoC (Selected Papers) 2016: 41-59 - 2015
- [j139]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. Comput. Electr. Eng. 45: 430-444 (2015) - [j138]Behzad Ebrahimi, Reza Asadpour, Ali Afzali-Kusha, Massoud Pedram:
A FinFET SRAM cell design with BTI robustness at high supply voltages and high yield at low supply voltages. Int. J. Circuit Theory Appl. 43(12): 2011-2024 (2015) - [j137]Maryam Triki, Yanzhi Wang, Ahmed Chiheb Ammari, Massoud Pedram:
Hierarchical power management of a system with autonomously power-managed components using reinforcement learning. Integr. 48: 10-20 (2015) - [j136]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Design of NBTI-resilient extensible processors. Integr. 49: 22-34 (2015) - [j135]Mohammad Ansari, Hassan Afzali-Kusha, Behzad Ebrahimi, Zainalabedin Navabi, Ali Afzali-Kusha, Massoud Pedram:
A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. Integr. 50: 91-106 (2015) - [j134]Behzad Eghbalkhah, Mehdi Kamal, Ali Afzali-Kusha, Mohammad Bagher Ghaznavi Ghoushchi, Massoud Pedram:
CSAM: A clock skew-aware aging mitigation technique. Microelectron. Reliab. 55(1): 282-290 (2015) - [j133]Behzad Eghbalkhah, Mehdi Kamal, Hassan Afzali-Kusha, Ali Afzali-Kusha, M. B. Ghaznavi-Ghoushchi, Massoud Pedram:
Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits. Microelectron. Reliab. 55(8): 1152-1162 (2015) - [j132]Woojoo Lee, Yanzhi Wang, Massoud Pedram:
Optimizing a Reconfigurable Power Distribution Network in a Multicore Platform. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(7): 1110-1123 (2015) - [j131]Qing Xie, Xue Lin, Yanzhi Wang, Shuang Chen, Mohammad Javad Dousti, Massoud Pedram:
Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries. IEEE Trans. Circuits Syst. II Express Briefs 62-II(8): 761-765 (2015) - [j130]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
OPLE: A Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow Graphs. ACM Trans. Embed. Comput. Syst. 14(4): 72:1-72:23 (2015) - [j129]Xue Lin, Yanzhi Wang, Qing Xie, Massoud Pedram:
Task Scheduling with Dynamic Voltage and Frequency Scaling for Energy Minimization in the Mobile Cloud Computing Environment. IEEE Trans. Serv. Comput. 8(2): 175-186 (2015) - [c388]Shuang Chen, Yanzhi Wang, Massoud Pedram:
A Joint Optimization Framework for Request Scheduling and Energy Storage Management in a Data Center. CLOUD 2015: 163-170 - [c387]Shuo Wang, Yanzhi Wang, Xue Lin, Massoud Pedram:
Hierarchical Deployment and Control of Energy Storage Devices in Data Centers. CLOUD 2015: 805-812 - [c386]Alireza Shafaei, Shuang Chen, Yanzhi Wang, Massoud Pedram:
A cross-layer framework for designing and optimizing deeply-scaled FinFET-based SRAM cells under process variations. ASP-DAC 2015: 75-80 - [c385]Ji Li, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram:
Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices. ASP-DAC 2015: 261-266 - [c384]Chenxiao Guan, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram:
Reinforcement learning-based control of residential energy storage systems for electric bill minimization. CCNC 2015: 637-642 - [c383]Tiansong Cui, Yanzhi Wang, Shuang Chen, Qi Zhu, Shahin Nazarian, Massoud Pedram:
Optimal control of PEVs for energy cost minimization and frequency regulation in the smart grid accounting for battery state-of-health degradation. DAC 2015: 134:1-134:6 - [c382]Yanzhi Wang, Xue Lin, Massoud Pedram, Naehyuck Chang:
Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehicles. DAC 2015: 147:1-147:6 - [c381]Xue Lin, Yanzhi Wang, Massoud Pedram, Jaemin Kim, Naehyuck Chang:
Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles. DATE 2015: 19-24 - [c380]Mehdi Kamal, Arman Iranfar, Ali Afzali-Kusha, Massoud Pedram:
A thermal stress-aware algorithm for power and temperature management of MPSoCs. DATE 2015: 954-959 - [c379]Mohammad Javad Dousti, Massoud Pedram:
Power-efficient control of thermoelectric coolers considering distributed hot spots. DATE 2015: 966-971 - [c378]Di Zhu, Lizhong Chen, Timothy Mark Pinkston, Massoud Pedram:
TAPP: temperature-aware application mapping for NoC-based many-core processors. DATE 2015: 1241-1244 - [c377]Ji Li, Qing Xie, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique. DATE 2015: 1579-1582 - [c376]Mohammad Javad Dousti, Antonio Petraglia, Massoud Pedram:
Accurate electrothermal modeling of thermoelectric generators. DATE 2015: 1603-1606 - [c375]Qing Xie, Younghyun Kim, Donkyu Baek, Yanzhi Wang, Massoud Pedram, Naehyuck Chang:
Efficiency-driven design time optimization of a hybrid energy storage system with networked charge transfer interconnect. DATE 2015: 1607-1610 - [c374]Tiansong Cui, Bowen Chen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Layout Characterization and Power Density Analysis for Shorted-Gate and Independent-Gate 7nm FinFET Standard Cells. ACM Great Lakes Symposium on VLSI 2015: 33-38 - [c373]Alireza Shafaei Bejestan, Yanzhi Wang, Srikanth Ramadurgam, Yuankun Xue, Paul Bogdan, Massoud Pedram:
Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies. ACM Great Lakes Symposium on VLSI 2015: 127-132 - [c372]Lizhong Chen, Di Zhu, Massoud Pedram, Timothy Mark Pinkston:
Power punch: Towards non-blocking power-gating of NoC routers. HPCA 2015: 378-389 - [c371]Xue Lin, Paul Bogdan, Naehyuck Chang, Massoud Pedram:
Machine Learning-Based Energy Management in a Hybrid Electric Vehicle to Minimize Total Operating Cost. ICCAD 2015: 627-634 - [c370]Woojoo Lee, Yanzhi Wang, Donghwa Shin, Shahin Nazarian, Massoud Pedram:
Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays. ISLPED 2015: 159-164 - [c369]Donghwa Shin, Naehyuck Chang, Yanzhi Wang, Massoud Pedram:
Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension. ISLPED 2015: 273-278 - [c368]Mohammad Javad Dousti, Majid Ghasemi-Gol, Mahdi Nazemi, Massoud Pedram:
ThermTap: An online power analyzer and thermal simulator for Android devices. ISLPED 2015: 341-346 - [c367]Roohollah Yarmand, Behzad Ebrahimi, Hassan Afzali-Kusha, Ali Afzali-Kusha, Massoud Pedram:
High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors. ISQED 2015: 10-17 - [c366]Mohammad Saeed Abrishami, Alireza Shafaei, Yanzhi Wang, Massoud Pedram:
Optimal choice of FinFET devices for energy minimization in deeply-scaled technologies. ISQED 2015: 234-238 - [c365]Alireza Shafaei, Yanzhi Wang, Antonio Petraglia, Massoud Pedram:
Design optimization of sense amplifiers using deeply-scaled FinFET devices. ISQED 2015: 280-283 - [c364]Xue Lin, Yanzhi Wang, Paul Bogdan, Naehyuck Chang, Massoud Pedram:
Optimizing fuel economy of hybrid electric vehicles using a Markov decision process model. Intelligent Vehicles Symposium 2015: 718-723 - [i10]Mohammad Javad Dousti, Massoud Pedram:
LEQA: Latency Estimation for a Quantum Algorithm Mapped to a Quantum Circuit Fabric. CoRR abs/1501.00742 (2015) - [i9]Hadi Goudarzi, Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Design of a Universal Logic Block for Fault-Tolerant Realization of any Logic Operation in Trapped-Ion Quantum Circuits. CoRR abs/1501.02524 (2015) - [i8]Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Squash 2: A Hierarchical Scalable Quantum Mapper Considering Ancilla Sharing. CoRR abs/1512.07402 (2015) - 2014
- [j128]Xue Lin, Yanzhi Wang, Massoud Pedram, Jaemin Kim, Naehyuck Chang:
Designing Fault-Tolerant Photovoltaic Systems. IEEE Des. Test 31(3): 76-84 (2014) - [j127]Qing Xie, Yanzhi Wang, Massoud Pedram:
Designing soft-edge flip-flop-based linear pipelines operating in multiple supply voltage regimes. Integr. 47(3): 318-328 (2014) - [j126]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Impact of Process Variations on Speedup and Maximum Achievable Frequency of Extensible Processors. ACM J. Emerg. Technol. Comput. Syst. 10(3): 19:1-19:25 (2014) - [j125]Alireza Shafaei, Mehdi Saeedi, Massoud Pedram:
Cofactor Sharing for Reversible Logic Synthesis. ACM J. Emerg. Technol. Comput. Syst. 11(2): 14:1-14:21 (2014) - [j124]Amir Yazdanbakhsh, Mehdi Kamal, Sied Mehdi Fakhraie, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Implementation-aware selection of the custom instruction set for extensible processors. Microprocess. Microsystems 38(7): 681-691 (2014) - [j123]Hadi Goudarzi, Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Design of a universal logic block for fault-tolerant realization of any logic operation in trapped-ion quantum circuits. Quantum Inf. Process. 13(5): 1267-1299 (2014) - [j122]Woojoo Lee, Yanzhi Wang, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Optimizing the Power Delivery Network in a Smartphone Platform. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(1): 36-49 (2014) - [j121]Yanzhi Wang, Xue Lin, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Architecture and Control Algorithms for Combating Partial Shading in Photovoltaic Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(6): 917-930 (2014) - [j120]Yanzhi Wang, Xue Lin, Massoud Pedram:
Adaptive Control for Energy Storage Systems in Households With Photovoltaic Modules. IEEE Trans. Smart Grid 5(2): 992-1001 (2014) - [j119]Safar Hatami, Mohamed Helaoui, Fadhel M. Ghannouchi, Massoud Pedram:
Single-Bit Pseudoparallel Processing Low-Oversampling Delta-Sigma Modulator Suitable for SDR Wireless Transmitters. IEEE Trans. Very Large Scale Integr. Syst. 22(4): 922-931 (2014) - [j118]Yanzhi Wang, Xue Lin, Younghyun Kim, Qing Xie, Massoud Pedram, Naehyuck Chang:
Single-Source, Single-Destination Charge Migration in Hybrid Electrical Energy Storage Systems. IEEE Trans. Very Large Scale Integr. Syst. 22(12): 2752-2765 (2014) - [c363]Xue Lin, Yanzhi Wang, Qing Xie, Massoud Pedram:
Energy and Performance-Aware Task Scheduling in a Mobile Cloud Computing Environment. IEEE CLOUD 2014: 192-199 - [c362]Tiansong Cui, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram:
Semi-analytical current source modeling of FinFET devices operating in near/sub-threshold regime with independent gate control and considering process variation. ASP-DAC 2014: 167-172 - [c361]Alireza Shafaei, Mehdi Saeedi, Massoud Pedram:
Qubit placement to minimize communication overhead in 2D quantum architectures. ASP-DAC 2014: 495-500 - [c360]Shuang Chen, Mahboobeh Ghorbani, Yanzhi Wang, Paul Bogdan, Massoud Pedram:
Trace-Based Analysis and Prediction of Cloud Computing User Behavior Using the Fractal Modeling Technique. BigData Congress 2014: 733-739 - [c359]Mahboobeh Ghorbani, Yanzhi Wang, Yuankun Xue, Massoud Pedram, Paul Bogdan:
Prediction and control of bursty cloud workloads: A fractal framework. CODES+ISSS 2014: 12:1-12:9 - [c358]Di Zhu, Siyu Yue, Sangyoung Park, Yanzhi Wang, Naehyuck Chang, Massoud Pedram:
Cost-effective design of a hybrid electrical energy storage system for electric vehicles. CODES+ISSS 2014: 31:1-31:8 - [c357]Mohammad Javad Dousti, Massoud Pedram:
Power-Aware Deployment and Control of Forced-Convection and Thermoelectric Coolers. DAC 2014: 186:1-186:6 - [c356]Shuang Chen, Yanzhi Wang, Massoud Pedram:
Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure. DATE 2014: 1-6 - [c355]Yue Gao, Sandeep K. Gupta, Yanzhi Wang, Massoud Pedram:
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems. DATE 2014: 1-6 - [c354]Mehdi Kamal, Amin Ghasemazar, Ali Afzali-Kusha, Massoud Pedram:
Improving efficiency of extensible processors by using approximate custom instructions. DATE 2014: 1-4 - [c353]Kitae Kim, Donghwa Shin, Qing Xie, Yanzhi Wang, Massoud Pedram, Naehyuck Chang:
FEPMA: Fine-grained event-driven power meter for android smartphones based on device driver layer event monitoring. DATE 2014: 1-6 - [c352]Woojoo Lee, Yanzhi Wang, Massoud Pedram:
VRCon: Dynamic reconfiguration of voltage regulators in a multicore platform. DATE 2014: 1-6 - [c351]Yanzhi Wang, Xue Lin, Qing Xie, Naehyuck Chang, Massoud Pedram:
Minimizing state-of-health degradation in hybrid electrical energy storage systems with arbitrary source and load profiles. DATE 2014: 1-4 - [c350]Di Zhu, Lizhong Chen, Siyu Yue, Massoud Pedram:
Application mapping for express channel-based networks-on-chip. DATE 2014: 1-6 - [c349]Di Zhu, Yanzhi Wang, Naehyuck Chang, Massoud Pedram:
Optimal design and management of a smart residential PV and energy storage system. DATE 2014: 1-6 - [c348]Yue Fu, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram:
Energy optimal sizing of FinFET standard cells operating in multiple voltage regimes using adaptive independent gate control. ACM Great Lakes Symposium on VLSI 2014: 73-74 - [c347]Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Squash: a scalable quantum mapper considering ancilla sharing. ACM Great Lakes Symposium on VLSI 2014: 117-122 - [c346]Yanzhi Wang, Xue Lin, Massoud Pedram:
Optimal power switch design methodology for ultra dynamic voltage scaling with a limited number of power rails. ACM Great Lakes Symposium on VLSI 2014: 323-328 - [c345]Tiansong Cui, Qing Xie, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes. IGCC 2014: 1-7 - [c344]Majid Ghasemi-Gol, Yanzhi Wang, Massoud Pedram:
An optimization framework for data centers to minimize electric bill under day-ahead dynamic energy prices while providing regulation services. IGCC 2014: 1-9 - [c343]Xue Lin, Yanzhi Wang, Paul Bogdan, Naehyuck Chang, Massoud Pedram:
Reinforcement learning based power management for hybrid electric vehicles. ICCAD 2014: 32-38 - [c342]Shuang Chen, Yanzhi Wang, Massoud Pedram:
Optimal offloading control for a mobile device based on a realistic battery model and semi-markov decision process. ICCAD 2014: 369-375 - [c341]Alireza Shafaei, Yanzhi Wang, Massoud Pedram:
Low write-energy STT-MRAMs using FinFET-based access transistors. ICCD 2014: 374-379 - [c340]Qing Xie, Yanzhi Wang, Shuang Chen, Massoud Pedram:
Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology. ICCD 2014: 380-385 - [c339]Xue Lin, Yanzhi Wang, Naehyuck Chang, Massoud Pedram:
Power supply and consumption co-optimization of portable embedded systems with hybrid power supply. ICCD 2014: 477-482 - [c338]Shuang Chen, Yanzhi Wang, Massoud Pedram:
Resource allocation optimization in a data center with energy storage devices. IECON 2014: 2604-2610 - [c337]Siyu Yue, Yanzhi Wang, Qing Xie, Di Zhu, Massoud Pedram, Naehyuck Chang:
Model-free learning-based online management of hybrid electrical energy storage systems in electric vehicles. IECON 2014: 3142-3148 - [c336]Di Zhu, Lizhong Chen, Siyu Yue, Timothy Mark Pinkston, Massoud Pedram:
Balancing On-Chip Network Latency in Multi-application Mapping for Chip-Multiprocessors. IPDPS 2014: 872-881 - [c335]Tiansong Cui, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
An electricity trade model for microgrid communities in smart grid. ISGT 2014: 1-5 - [c334]Yanzhi Wang, Xue Lin, Massoud Pedram:
Coordination of the smart grid and distributed data centers: A nested game-based optimization framework. ISGT 2014: 1-5 - [c333]Woojoo Lee, Yanzhi Wang, Tiansong Cui, Shahin Nazarian, Massoud Pedram:
Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon. ISLPED 2014: 105-110 - [c332]Qing Xie, Mohammad Javad Dousti, Massoud Pedram:
Therminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps. ISLPED 2014: 117-122 - [c331]Siyu Yue, Lizhong Chen, Di Zhu, Timothy Mark Pinkston, Massoud Pedram:
Smart butterfly: reducing static power dissipation of network-on-chip with core-state-awareness. ISLPED 2014: 311-314 - [c330]Jaemin Kim, Yanzhi Wang, Massoud Pedram, Naehyuck Chang:
Fast photovoltaic array reconfiguration for partial solar powered vehicles. ISLPED 2014: 357-362 - [c329]Massoud Pedram:
Interconnect length estimation in VLSI designs: a retrospective. ISPD 2014: 127-128 - [c328]Xue Lin, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
An improved logical effort model and framework applied to optimal sizing of circuits operating in multiple supply voltage regimes. ISQED 2014: 249-256 - [c327]Xue Lin, Yanzhi Wang, Massoud Pedram:
Stack sizing analysis and optimization for FinFET logic cells and circuits operating in the sub/near-threshold regime. ISQED 2014: 341-348 - [c326]Tiansong Cui, Shuang Chen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
An efficient semi-analytical current source model for FinFET devices in near/sub-threshold regime considering multiple input switching and stack effect. ISQED 2014: 575-581 - [c325]Alireza Shafaei, Yanzhi Wang, Xue Lin, Massoud Pedram:
FinCACTI: Architectural Analysis and Modeling of Caches with Deeply-Scaled FinFET Devices. ISVLSI 2014: 290-295 - [c324]Qing Xie, Xue Lin, Yanzhi Wang, Mohammad Javad Dousti, Alireza Shafaei, Majid Ghasemi-Gol, Massoud Pedram:
5nm FinFET Standard Cell Library Optimization and Circuit Synthesis in Near-and Super-Threshold Voltage Regimes. ISVLSI 2014: 424-429 - [c323]Ji Li, Yanzhi Wang, Tiansong Cui, Shahin Nazarian, Massoud Pedram:
Negotiation-based task scheduling to minimize user's electricity bills under dynamic energy prices. OnlineGreenComm 2014: 1-6 - [i7]Mohammad Javad Dousti, Massoud Pedram:
Minimizing the Latency of Quantum Circuits during Mapping to the Ion-Trap Circuit Fabric. CoRR abs/1412.8003 (2014) - [i6]Mohammad Javad Dousti, Alireza Shafaei, Massoud Pedram:
Squash: A Scalable Quantum Mapper Considering Ancilla Sharing. CoRR abs/1412.8004 (2014) - 2013
- [j117]Mehdi Kamal, Amir Yazdanbakhsh, Hamid Noori, Ali Afzali-Kusha, Massoud Pedram:
A new merit function for custom instruction selection under an area budget constraint. Des. Autom. Embed. Syst. 17(1): 1-25 (2013) - [j116]Younghyun Kim, Yanzhi Wang, Naehyuck Chang, Massoud Pedram:
Computer-Aided Design and Optimization of Hybrid Energy Storage Systems. Found. Trends Electron. Des. Autom. 7(4): 247-338 (2013) - [j115]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Considering the effect of process variations during the ISA extension design flow. Microprocess. Microsystems 37(6-7): 713-724 (2013) - [j114]Afshin Abdollahi, Mehdi Saeedi, Massoud Pedram:
Reversible logic synthesis by quantum rotation gates. Quantum Inf. Comput. 13(9-10): 771-792 (2013) - [j113]Kimish Patel, Murali Annavaram, Massoud Pedram:
NFRA: Generalized Network Flow-Based Resource Allocation for Hosting Centers. IEEE Trans. Computers 62(9): 1772-1785 (2013) - [j112]Sangyoung Park, Jaehyun Park, Donghwa Shin, Yanzhi Wang, Qing Xie, Massoud Pedram, Naehyuck Chang:
Accurate Modeling of the Delay and Energy Overhead of Dynamic Voltage and Frequency Scaling in Modern Microprocessors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(5): 695-708 (2013) - [j111]Hamed Abrishami, Safar Hatami, Massoud Pedram:
Design and Multicorner Optimization of the Energy-Delay Product of CMOS Flip-Flops Under the Negative Bias Temperature Instability Effect. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(6): 869-881 (2013) - [j110]Qing Xie, Yanzhi Wang, Younghyun Kim, Massoud Pedram, Naehyuck Chang:
Charge Allocation in Hybrid Electrical Energy Storage Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(7): 1003-1016 (2013) - [j109]Donghwa Shin, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Dynamic Driver Supply Voltage Scaling for Organic Light Emitting Diode Displays. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(7): 1017-1030 (2013) - [j108]Behnam Ghavami, Mohsen Raji, Hossein Pedram, Massoud Pedram:
Statistical Functional Yield Estimation and Enhancement of CNFET-Based VLSI Circuits. IEEE Trans. Very Large Scale Integr. Syst. 21(5): 887-900 (2013) - [c322]Inkwon Hwang, Massoud Pedram:
Hierarchical Virtual Machine Consolidation in a Cloud Computing System. IEEE CLOUD 2013: 196-203 - [c321]Hadi Goudarzi, Massoud Pedram:
Geographical Load Balancing for Online Service Applications in Distributed Datacenters. IEEE CLOUD 2013: 351-358 - [c320]Donghwa Shin, Kitae Kim, Naehyuck Chang, Woojoo Lee, Yanzhi Wang, Qing Xie, Massoud Pedram:
Online estimation of the remaining energy capacity in mobile systems considering system-wide power consumption and battery characteristics. ASP-DAC 2013: 59-64 - [c319]Di Zhu, Yanzhi Wang, Siyu Yue, Qing Xie, Massoud Pedram, Naehyuck Chang:
Maximizing return on investment of a grid-connected hybrid electrical energy storage system. ASP-DAC 2013: 638-643 - [c318]Qing Xie, Di Zhu, Yanzhi Wang, Massoud Pedram, Younghyun Kim, Naehyuck Chang:
An efficient scheduling algorithm for multiple charge migration tasks in hybrid electrical energy storage systems. ASP-DAC 2013: 749-754 - [c317]Xue Lin, Yanzhi Wang, Massoud Pedram:
An optimal control policy in a mobile cloud computing system based on stochastic data. CloudNet 2013: 117-122 - [c316]Hadi Goudarzi, Massoud Pedram:
Force-directed geographical load balancing and scheduling for batch jobs in distributed datacenters. CLUSTER 2013: 1-8 - [c315]Yue Gao, Yanzhi Wang, Sandeep K. Gupta, Massoud Pedram:
An energy and deadline aware resource provisioning, scheduling and optimization framework for cloud systems. CODES+ISSS 2013: 31:1-31:10 - [c314]Di Zhu, Siyu Yue, Yanzhi Wang, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Designing a residential hybrid electrical energy storage system based on the energy buffering strategy. CODES+ISSS 2013: 32:1-32:9 - [c313]Alireza Shafaei, Mehdi Saeedi, Massoud Pedram:
Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures. DAC 2013: 41:1-41:6 - [c312]Mohammad Javad Dousti, Massoud Pedram:
LEQA: latency estimation for a quantum algorithm mapped to a quantum circuit fabric. DAC 2013: 42:1-42:7 - [c311]Massoud Pedram:
Creating a sustainable information and communication infrastructure. DATE 2013: 2 - [c310]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. DATE 2013: 350-353 - [c309]Yanzhi Wang, Xue Lin, Massoud Pedram, Sangyoung Park, Naehyuck Chang:
Optimal control of a grid-connected hybrid electrical energy storage system for homes. DATE 2013: 881-886 - [c308]Yanzhi Wang, Xue Lin, Massoud Pedram, Jaemin Kim, Naehyuck Chang:
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system. DATE 2013: 909-912 - [c307]Qing Xie, Siyu Yue, Massoud Pedram, Donghwa Shin, Naehyuck Chang:
Adaptive thermal management for portable system batteries by forced convection cooling. DATE 2013: 1225-1228 - [c306]Alireza Shafaei, Mehdi Saeedi, Massoud Pedram:
Reversible logic synthesis of k-input, m-output lookup tables. DATE 2013: 1235-1240 - [c305]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram, Behzad Eghbalkhah:
Capturing and mitigating the NBTI effect during the design flow for extensible processors. DTIS 2013: 94-97 - [c304]Maryam Triki, Ahmed Chiheb Ammari, Yanzhi Wang, Massoud Pedram:
Reinforcement Learning-Based Dynamic Power Management of a Battery-Powered System Supplying Multiple Active Modes. EMS 2013: 437-442 - [c303]Shuang Chen, Yanzhi Wang, Massoud Pedram:
A semi-Markovian decision process based control method for offloading tasks from mobile devices to the cloud. GLOBECOM 2013: 2885-2890 - [c302]Qing Xie, Yanzhi Wang, Massoud Pedram:
Variability-aware design of energy-delay optimal linear pipelines operating in the near-threshold regime and above. ACM Great Lakes Symposium on VLSI 2013: 61-66 - [c301]Qing Xie, Jaemin Kim, Yanzhi Wang, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor. ICCAD 2013: 242-247 - [c300]Xue Lin, Yanzhi Wang, Massoud Pedram:
Joint sizing and adaptive independent gate control for FinFET circuits operating in multiple voltage regimes using the logical effort method. ICCAD 2013: 444-449 - [c299]Qing Xie, Tiansong Cui, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
Semi-analytical current source modeling of near-threshold operating logic cells considering process variations. ICCD 2013: 447-450 - [c298]Tiansong Cui, Yanzhi Wang, Siyu Yue, Shahin Nazarian, Massoud Pedram:
A game-theoretic price determination algorithm for utility companies serving a community in smart grid. ISGT 2013: 1-6 - [c297]Yanzhi Wang, Xue Lin, Massoud Pedram:
A sequential game perspective and optimization of the smart grid with distributed data centers. ISGT 2013: 1-6 - [c296]Siyu Yue, Di Zhu, Yanzhi Wang, Massoud Pedram, Younghyun Kim, Naehyuck Chang:
SIMES: A simulator for hybrid electrical energy storage systems. ISLPED 2013: 33-38 - [c295]Xue Lin, Yanzhi Wang, Siyu Yue, Naehyuck Chang, Massoud Pedram:
A framework of concurrent task scheduling and dynamic voltage and frequency scaling in real-time embedded systems with energy harvesting. ISLPED 2013: 70-75 - [c294]Sangyoung Park, Bumkyu Koh, Yanzhi Wang, Jaemin Kim, Younghyun Kim, Massoud Pedram, Naehyuck Chang:
Maximum power transfer tracking in a solar USB charger for smartphones. ISLPED 2013: 88-93 - [c293]Mohammad Javad Dousti, Massoud Pedram:
Platform-dependent, leakage-aware control of the driving current of embedded thermoelectric coolers. ISLPED 2013: 311-316 - [c292]Yanzhi Wang, Maryam Triki, Xue Lin, Ahmed Chiheb Ammari, Massoud Pedram:
Hierarchical dynamic power management using model-free reinforcement learning. ISQED 2013: 170-177 - [c291]Yanzhi Wang, Shuang Chen, Hadi Goudarzi, Massoud Pedram:
Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model. ISQED 2013: 635-642 - [c290]Yang Li, Yanzhi Wang, Shahin Nazarian, Massoud Pedram:
A nested game-based optimization framework for electricity retailers in the smart grid with residential users and PEVs. OnlineGreenComm 2013: 157-162 - [c289]Mehdi Saeedi, Alireza Shafaei, Massoud Pedram:
Constant-Factor Optimization of Quantum Adders on 2D Quantum Architectures. RC 2013: 58-69 - [c288]Yanzhi Wang, Xue Lin, Massoud Pedram:
A Nested Two Stage Game-Based Optimization Framework in Mobile Cloud Computing System. SOSE 2013: 494-502 - [i5]Afshin Abdollahi, Mehdi Saeedi, Massoud Pedram:
Reversible Logic Synthesis by Quantum Rotation Gates. CoRR abs/1302.5382 (2013) - [i4]Mehdi Saeedi, Massoud Pedram:
Linear-Depth Quantum Circuits for n-qubit Toffoli gates with no Ancilla. CoRR abs/1303.3557 (2013) - [i3]Mehdi Saeedi, Alireza Shafaei, Massoud Pedram:
Constant-Factor Optimization of Quantum Adders on 2D Quantum Architectures. CoRR abs/1304.0432 (2013) - 2012
- [j107]Hossein Aghababa, Alireza Khosropour, Ali Afzali-Kusha, Behjat Forouzandeh, Massoud Pedram:
Statistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution. IET Circuits Devices Syst. 6(5): 273-278 (2012) - [j106]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
An accurate analytical I-V model for sub-90-nm MOSFETs and its application to read static noise margin modeling. J. Zhejiang Univ. Sci. C 13(1): 58-70 (2012) - [j105]Hossein Aghababa, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
Probability calculation of read failures in nano-scaled SRAM cells under process variations. Microelectron. Reliab. 52(11): 2805-2811 (2012) - [j104]Massoud Pedram:
Energy-Efficient Datacenters. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(10): 1465-1484 (2012) - [j103]Ehsan Pakbaznia, Massoud Pedram:
Design of a Tri-Modal Multi-Threshold CMOS Switch With Application to Data Retentive Power Gating. IEEE Trans. Very Large Scale Integr. Syst. 20(2): 380-385 (2012) - [c287]Inkwon Hwang, Massoud Pedram:
Portfolio Theory-Based Resource Assignment in a Cloud Computing System. IEEE CLOUD 2012: 582-589 - [c286]Hadi Goudarzi, Massoud Pedram:
Energy-Efficient Virtual Machine Replication and Placement in a Cloud Computing System. IEEE CLOUD 2012: 750-757 - [c285]Qing Xie, Yanzhi Wang, Massoud Pedram, Younghyun Kim, Donghwa Shin, Naehyuck Chang:
Charge replacement in hybrid electrical energy storage systems. ASP-DAC 2012: 627-632 - [c284]Hadi Goudarzi, Mohammad Ghasemazar, Massoud Pedram:
SLA-based Optimization of Power and Migration Cost in Cloud Computing. CCGRID 2012: 172-179 - [c283]Xue Lin, Yanzhi Wang, Siyu Yue, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects. DAC 2012: 516-521 - [c282]Younghyun Kim, Sangyoung Park, Naehyuck Chang, Qing Xie, Yanzhi Wang, Massoud Pedram:
Networked architecture for hybrid electrical energy storage systems. DAC 2012: 522-528 - [c281]Yanzhi Wang, Qing Xie, Massoud Pedram, Younghyun Kim, Naehyuck Chang, Massimo Poncino:
Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems. DATE 2012: 169-174 - [c280]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
An architecture-level approach for mitigating the impact of process variations on extensible processors. DATE 2012: 467-472 - [c279]Mohammad Javad Dousti, Massoud Pedram:
Minimizing the latency of quantum circuits during mapping to the ion-trap circuit fabric. DATE 2012: 840-843 - [c278]Qing Xie, Xue Lin, Yanzhi Wang, Massoud Pedram, Donghwa Shin, Naehyuck Chang:
State of health aware charge management in hybrid electrical energy storage systems. DATE 2012: 1060-1065 - [c277]Xue Lin, Yanzhi Wang, Di Zhu, Naehyuck Chang, Massoud Pedram:
Online fault detection and tolerance for photovoltaic energy harvesting systems. ICCAD 2012: 1-6 - [c276]Donghwa Shin, Kitae Kim, Naehyuck Chang, Massoud Pedram:
Battery cell configuration for organic light emitting diode display in modern smartphones and tablet-PCs. ICCAD 2012: 679-686 - [c275]Siyu Yue, Di Zhu, Yanzhi Wang, Massoud Pedram:
Reinforcement learning based dynamic power management with a hybrid power supply. ICCD 2012: 81-86 - [c274]Mohammad Ghasemazar, Hadi Goudarzi, Massoud Pedram:
Robust optimization of a Chip Multiprocessor's performance under power and thermal constraints. ICCD 2012: 108-114 - [c273]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari:
An efficient reliability simulation flow for evaluating the hot carrier injection effect in CMOS VLSI circuits. ICCD 2012: 352-357 - [c272]Tiansong Cui, Hadi Goudarzi, Safar Hatami, Shahin Nazarian, Massoud Pedram:
Concurrent optimization of consumer's electrical energy bill and producer's power generation cost under a dynamic pricing model. ISGT 2012: 1-6 - [c271]Woojoo Lee, Yanzhi Wang, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Power conversion efficiency characterization and optimization for smartphones. ISLPED 2012: 103-108 - [c270]Yanzhi Wang, Xue Lin, Naehyuck Chang, Massoud Pedram:
Dynamic reconfiguration of photovoltaic energy harvesting system in hybrid electric vehicles. ISLPED 2012: 109-114 - [c269]Sangyoung Park, Yanzhi Wang, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Battery management for grid-connected PV systems with a battery. ISLPED 2012: 115-120 - [c268]Inkwon Hwang, Timothy Kam, Massoud Pedram:
A study of the effectiveness of CPU consolidation in a virtualized multi-core server system. ISLPED 2012: 339-344 - [c267]Yanzhi Wang, Xue Lin, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Enhancing efficiency and robustness of a photovoltaic power system under partial shading. ISQED 2012: 592-600 - [c266]Tiansong Cui, Yanzhi Wang, Hadi Goudarzi, Shahin Nazarian, Massoud Pedram:
Profit maximization for utility companies in an oligopolistic energy market with dynamic prices. OnlineGreenComm 2012: 86-91 - [c265]Maryam Triki, Yanzhi Wang, Ahmed Chiheb Ammari, Massoud Pedram:
Dynamic Power Management of a Computer with Self Power-Managed Components. PATMOS 2012: 215-224 - 2011
- [j102]Mohammad Ghasemazar, Massoud Pedram:
Optimizing the Power-Delay Product of a Linear Pipeline by Opportunistic Time Borrowing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(10): 1493-1506 (2011) - [j101]Massoud Pedram:
Call for papers: Verification issue and challenges with multicore systems. ACM Trans. Design Autom. Electr. Syst. 16(2): 12:1 (2011) - [j100]Shahin Nazarian, Hanif Fatemi, Massoud Pedram:
Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling. IEEE Trans. Very Large Scale Integr. Syst. 19(1): 92-103 (2011) - [j99]Behzad Ebrahimi, Masoud Rostami, Ali Afzali-Kusha, Massoud Pedram:
Statistical Design Optimization of FinFET SRAM Using Back-Gate Voltage. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1911-1916 (2011) - [j98]Mostafa E. Salehi, Mehrzad Samadi, Mehrdad Najibi, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie:
Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1931-1935 (2011) - [c264]Hadi Goudarzi, Massoud Pedram:
Multi-dimensional SLA-Based Resource Allocation for Multi-tier Cloud Computing Systems. IEEE CLOUD 2011: 324-331 - [c263]Qing Xie, Yanzhi Wang, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Charge allocation for hybrid electrical energy storage systems. CODES+ISSS 2011: 277-284 - [c262]Yanzhi Wang, Qing Xie, Ahmed Chiheb Ammari, Massoud Pedram:
Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification. DAC 2011: 41-46 - [c261]Donghwa Shin, Younghyun Kim, Naehyuck Chang, Massoud Pedram:
Dynamic voltage scaling of OLED displays. DAC 2011: 53-58 - [c260]Hamed Abrishami, Jinan Lou, Jeff Qin, Jürgen Frößl, Massoud Pedram:
Post sign-off leakage power optimization. DAC 2011: 453-458 - [c259]Mohammad Ghasemazar, Massoud Pedram:
Variation aware dynamic power management for chip multiprocessor architectures. DATE 2011: 473-478 - [c258]Donghwa Shin, Younghyun Kim, Jaeam Seo, Naehyuck Chang, Yanzhi Wang, Massoud Pedram:
Battery-supercapacitor hybrid system for high-rate pulsed load applications. DATE 2011: 875-878 - [c257]Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Timing variation-aware custom instruction extension technique. DATE 2011: 1517-1520 - [c256]Younghyun Kim, Sangyoung Park, Yanzhi Wang, Qing Xie, Naehyuck Chang, Massimo Poncino, Massoud Pedram:
Balanced reconfiguration of storage banks in a hybrid electrical energy storage system. ICCAD 2011: 624-631 - [c255]Hadi Goudarzi, Massoud Pedram:
Maximizing Profit in Cloud Computing System via Resource Allocation. ICDCS Workshops 2011: 1-6 - [c254]Woojoo Lee, Younghyun Kim, Yanzhi Wang, Naehyuck Chang, Massoud Pedram, Soohee Han:
Versatile high-fidelity photovoltaic module emulation system. ISLPED 2011: 91-96 - [c253]Yanzhi Wang, Younghyun Kim, Qing Xie, Naehyuck Chang, Massoud Pedram:
Charge migration efficiency optimization in hybrid electrical energy storage (HEES) systems. ISLPED 2011: 103-108 - [c252]Massoud Pedram:
Robust design of power-efficient VLSI circuits. ISPD 2011: 1-2 - [c251]Fatemeh Kashfi, Safar Hatami, Massoud Pedram:
Multi-objective optimization techniques for VLSI circuits. ISQED 2011: 156-163 - [c250]Hadi Goudarzi, Safar Hatami, Massoud Pedram:
Demand-side load scheduling incentivized by dynamic energy prices. SmartGridComm 2011: 351-356 - 2010
- [j97]Hamid Reza Ahmadi, Ali Afzali-Kusha, Massoud Pedram:
A power-optimized low-energy elliptic-curve crypto-processor. IEICE Electron. Express 7(23): 1752-1759 (2010) - [j96]Peng Rong, Massoud Pedram:
A Markovian Decision-Based Approach for Extending the Lifetime of a Network of Battery-Powered Mobile Devices by Remote Processing. J. Low Power Electron. 6(2): 227-239 (2010) - [j95]Hwisung Jung, Massoud Pedram:
Supervised Learning Based Power Management for Multicore Processors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(9): 1395-1408 (2010) - [c249]Ehsan Pakbaznia, Mohammad Ghasemazar, Massoud Pedram:
Temperature-aware dynamic resource provisioning in a power-optimized datacenter. DATE 2010: 124-129 - [c248]Hwisung Jung, Massoud Pedram:
Optimizing the power delivery network in dynamically voltage scaled systems with uncertain power mode transition times. DATE 2010: 351-356 - [c247]Safar Hatami, Massoud Pedram:
Efficient representation, stratification, and compression of variational CSM library waveforms using Robust Principle Component Analysis. DATE 2010: 1285-1290 - [c246]Massoud Pedram, Inkwon Hwang:
Power and Performance Modeling in a Virtualized Server System. ICPP Workshops 2010: 520-526 - [c245]Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram:
Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFS. ISCAS 2010: 49-52 - [c244]Hamed Abrishami, Safar Hatami, Massoud Pedram:
Analysis and optimization of sequential circuit element to combat single-event timing upsets. ISCAS 2010: 985-988 - [c243]Younghyun Kim, Naehyuck Chang, Yanzhi Wang, Massoud Pedram:
Maximum power transfer tracking for a photovoltaic-supercapacitor energy system. ISLPED 2010: 307-312 - [c242]Massoud Pedram, Naehyuck Chang, Younghyun Kim, Yanzhi Wang:
Hybrid electrical energy storage systems. ISLPED 2010: 363-368 - [c241]Jaehyun Park, Donghwa Shin, Naehyuck Chang, Massoud Pedram:
Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. ISLPED 2010: 419-424 - [c240]Mohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram:
Minimizing the power consumption of a Chip Multiprocessor under an average throughput constraint. ISQED 2010: 362-371 - [c239]Hamed Abrishami, Safar Hatami, Massoud Pedram:
Multi-corner, energy-delay optimized, NBTI-aware flip-flop design. ISQED 2010: 652-659
2000 – 2009
- 2009
- [j94]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips. J. Low Power Electron. 5(3): 385-395 (2009) - [j93]Amir-Mohammad Rahmani, Ali Afzali-Kusha, Massoud Pedram:
A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution. J. Low Power Electron. 5(3): 396-405 (2009) - [j92]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Low-Power Fanout Optimization Using Multi Threshold Voltages and Multi Channel Lengths. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(4): 478-489 (2009) - [j91]Behnam Amelifard, Massoud Pedram:
Optimal Design of the Power-Delivery Network for Multiple Voltage-Island System-on-Chips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(6): 888-900 (2009) - [j90]M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Massoud Pedram:
BZ-FAD: A Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture. IEEE Trans. Very Large Scale Integr. Syst. 17(2): 302-306 (2009) - [j89]Hwisung Jung, Massoud Pedram:
Uncertainty-Aware Dynamic Power Management in Partially Observable Domains. IEEE Trans. Very Large Scale Integr. Syst. 17(7): 929-942 (2009) - [j88]Hwisung Jung, Andy Hwang, Massoud Pedram:
Predictive-Flow-Queue-Based Energy Optimization for Gigabit Ethernet Controllers. IEEE Trans. Very Large Scale Integr. Syst. 17(8): 1113-1126 (2009) - [j87]G. Razavipour, Ali Afzali-Kusha, Massoud Pedram:
Design and Analysis of Two Low-Power SRAM Cell Structures. IEEE Trans. Very Large Scale Integr. Syst. 17(10): 1551-1555 (2009) - [c238]Maryam Soltan, Massoud Pedram:
Durability of Wireless Networks of Battery-Powered Devices. CCNC 2009: 1-6 - [c237]Safar Hatami, Peter Feldmann, Soroush Abbaspour, Massoud Pedram:
Efficient compression and handling of current source model library waveforms. DATE 2009: 1178-1183 - [c236]Massoud Pedram:
Green computing: reducing energy cost and carbon footprint of information processing systems. ACM Great Lakes Symposium on VLSI 2009: 1-2 - [c235]Nasir Mohyuddin, Kimish Patel, Massoud Pedram:
Deterministic clock gating to eliminate wasteful activity due to wrong-path instructions in out-of-order superscalar processors. ICCD 2009: 166-172 - [c234]Ehsan Pakbaznia, Massoud Pedram:
Minimizing data center cooling and server power costs. ISLPED 2009: 145-150 - [c233]Ehsan Pakbaznia, Massoud Pedram:
Design and application of multimodal power gating structures. ISQED 2009: 120-126 - [c232]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156 - 2008
- [j86]Peng Rong, Massoud Pedram:
Energy-Aware Task Scheduling and Dynamic Voltage Scaling in a Real-Time System. J. Low Power Electron. 4(1): 1-10 (2008) - [j85]Afshin Abdollahi, Massoud Pedram:
Symmetry Detection and Boolean Matching Utilizing a Signature-Based Canonical Form of Boolean Functions. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(6): 1128-1137 (2008) - [j84]Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram:
Charge Recycling in Power-Gated CMOS Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10): 1798-1811 (2008) - [j83]Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Massoud Pedram:
Wavelet-based dynamic power management for nonstationary service requests. ACM Trans. Design Autom. Electr. Syst. 13(1): 13:1-13:41 (2008) - [j82]Massoud Pedram:
Editorial. ACM Trans. Design Autom. Electr. Syst. 13(4): 55:1-55:3 (2008) - [j81]Wonbok Lee, Kimish Patel, Massoud Pedram:
GOP-Level Dynamic Thermal Management in MPEG-2 Decoding. IEEE Trans. Very Large Scale Integr. Syst. 16(6): 662-672 (2008) - [j80]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology. IEEE Trans. Very Large Scale Integr. Syst. 16(7): 851-860 (2008) - [c231]Hwisung Jung, Massoud Pedram:
A stochastic local hot spot alerting technique. ASP-DAC 2008: 468-473 - [c230]Hwisung Jung, Peng Rong, Massoud Pedram:
Stochastic modeling of a thermally-managed multi-core system. DAC 2008: 728-733 - [c229]Hwisung Jung, Massoud Pedram:
Resilient Dynamic Power Management under Uncertainty. DATE 2008: 224-229 - [c228]Ehsan Pakbaznia, Massoud Pedram:
Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. DATE 2008: 385-390 - [c227]Behnam Amelifard, Safar Hatami, Hanif Fatemi, Massoud Pedram:
A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect. DATE 2008: 568-573 - [c226]Hamed Abrishami, Safar Hatami, Behnam Amelifard, Massoud Pedram:
NBTI-aware flip-flop characterization and design. ACM Great Lakes Symposium on VLSI 2008: 29-34 - [c225]Safar Hatami, Hamed Abrishami, Massoud Pedram:
Statistical timing analysis of flip-flops considering codependent setup and hold times. ACM Great Lakes Symposium on VLSI 2008: 101-106 - [c224]Kimish Patel, Wonbok Lee, Massoud Pedram:
In-order pulsed charge recycling in off-chip data buses. ACM Great Lakes Symposium on VLSI 2008: 371-374 - [c223]Mohammad Ghasemazar, Massoud Pedram:
Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing. ICCAD 2008: 155-160 - [c222]Nasir Mohyuddin, Ehsan Pakbaznia, Massoud Pedram:
Probabilistic error propagation in logic circuits using the Boolean difference calculus. ICCD 2008: 7-13 - [c221]Hamed Abrishami, Safar Hatami, Massoud Pedram:
Characterization and design of sequential circuit elements to combat soft error. ICCD 2008: 194-199 - [c220]Mohammad Ghasemazar, Behnam Amelifard, Massoud Pedram:
A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops. ISLPED 2008: 33-38 - [c219]Hwisung Jung, Massoud Pedram:
Improving the Efficiency of Power Management Techniques by Using Bayesian Classification. ISQED 2008: 178-183 - [c218]Maryam Soltan, Inkwon Hwang, Massoud Pedram:
Modulation-aware energy balancing in hierarchical wireless sensor networks. ISWPC 2008: 355-359 - [c217]Hwisung Jung, Massoud Pedram:
Continuous Frequency Adjustment Technique Based on Dynamic Workload Prediction. VLSI Design 2008: 249-254 - [c216]Somayyeh Koohi, Mohammad Mirza-Aghatabar, Shaahin Hessabi, Massoud Pedram:
High-Level Modeling Approach for Analyzing the Effects of Traffic Models on Power and Throughput in Mesh-Based NoCs. VLSI Design 2008: 415-420 - [c215]Maryam Soltan, Inkwon Hwang, Massoud Pedram:
Heterogeneous modulation for trading-off energy balancing with bandwidth efficiency in hierarchical sensor networks. WOWMOM 2008: 1-5 - 2007
- [j79]R. Iris Bahar, Dan W. Hammerstrom, Justin E. Harlow III, William H. Joyner Jr., Clifford Lau, Diana Marculescu, Alex Orailoglu, Massoud Pedram:
Architectures for Silicon Nanoelectronics and Beyond. Computer 40(1): 25-33 (2007) - [j78]Hadi Parandeh-Afshar, Mohsen Saneei, Ali Afzali-Kusha, Massoud Pedram:
Fast INC-XOR codec for low-power address buses. IET Comput. Digit. Tech. 1(5): 625-626 (2007) - [j77]Soroush Abbaspour, Hanif Fatemi, Massoud Pedram:
Parameterized Non-Gaussian Variational Gate Timing Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8): 1495-1508 (2007) - [j76]Chang Woo Kang, Ali Iranli, Massoud Pedram:
A Synthesis Approach for Coarse-Grained Antifuse-Based FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(9): 1564-1575 (2007) - [j75]Sung Kyu Lim, Massoud Pedram:
Introduction to special issue on demonstrable software systems and hardware platforms. ACM Trans. Design Autom. Electr. Syst. 12(3): 20:1-20:3 (2007) - [j74]Afshin Abdollahi, Farzan Fallah, Massoud Pedram:
A Robust Power Gating Structure and Power Mode Transition Strategy for MTCMOS Design. IEEE Trans. Very Large Scale Integr. Syst. 15(1): 80-89 (2007) - [c214]Hwisung Jung, Andy Hwang, Massoud Pedram:
Flow-Through-Queue based Power Management for Gigabit Ethernet Controller. ASP-DAC 2007: 571-576 - [c213]Hanif Fatemi, Shahin Nazarian, Massoud Pedram:
A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms. ASP-DAC 2007: 774-779 - [c212]Behnam Amelifard, Massoud Pedram:
Optimal Selection of Voltage Regulator Modules in a Power Delivery Network. DAC 2007: 168-173 - [c211]Hwisung Jung, Massoud Pedram:
Dynamic power management under uncertain information. DATE 2007: 1060-1065 - [c210]Mohammad Mirza-Aghatabar, Somayyeh Koohi, Shaahin Hessabi, Massoud Pedram:
An Empirical Investigation of Mesh and Torus NoC Topologies Under Different Routing Algorithms and Traffic Models. DSD 2007: 19-26 - [c209]Kimish Patel, Wonbok Lee, Massoud Pedram:
Active bank switching for temperature control of the register file in a microprocessor. ACM Great Lakes Symposium on VLSI 2007: 231-234 - [c208]Chanseok Hwang, Peng Rong, Massoud Pedram:
Sleep transistor distribution in row-based MTCMOS designs. ACM Great Lakes Symposium on VLSI 2007: 235-240 - [c207]Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram:
Sizing and placement of charge recycling transistors in MTCMOS circuits. ICCAD 2007: 791-796 - [c206]Hanif Fatemi, Behnam Amelifard, Massoud Pedram:
Power optimal MTCMOS repeater insertion for global buses. ISLPED 2007: 98-103 - [c205]Kimish Patel, Wonbok Lee, Massoud Pedram:
Minimizing power dissipation during write operation to register files. ISLPED 2007: 183-188 - [c204]Behnam Amelifard, Massoud Pedram:
Design of an efficient power delivery network in an soc to enable dynamic power management. ISLPED 2007: 328-333 - [c203]Hwisung Jung, Massoud Pedram:
A Unified Framework for System-Level Design: Modeling and Performance Optimization of Scalable Networking Systems. ISQED 2007: 198-203 - [i2]Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami:
Modeling and Propagation of Noisy Waveforms in Static Timing Analysis. CoRR abs/0710.4642 (2007) - [i1]Ali Iranli, Hanif Fatemi, Massoud Pedram:
HEBS: Histogram Equalization for Backlight Scaling. CoRR abs/0710.4710 (2007) - 2006
- [j73]Massoud Pedram, Shahin Nazarian:
Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods. Proc. IEEE 94(8): 1487-1501 (2006) - [j72]Peng Rong, Massoud Pedram:
Battery-aware power management based on Markovian decision processes. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(7): 1337-1349 (2006) - [j71]Ali Iranli, Massoud Pedram:
Cycle-Based Decomposition of Markov Chains With Applications to Low-Power Synthesis and Sequence Compaction for Finite State Machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2712-2725 (2006) - [j70]Payam Heydari, Massoud Pedram:
Model-order reduction using variational balanced truncation with spectral shaping. IEEE Trans. Circuits Syst. I Regul. Pap. 53-I(4): 879-891 (2006) - [j69]Massoud Pedram:
Introduction to special issue: Novel paradigms in system-level design. ACM Trans. Design Autom. Electr. Syst. 11(3): 535-536 (2006) - [j68]Peng Rong, Massoud Pedram:
An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries. IEEE Trans. Very Large Scale Integr. Syst. 14(5): 441-451 (2006) - [j67]Ali Iranli, Wonbok Lee, Massoud Pedram:
HVS-Aware Dynamic Backlight Scaling in TFT-LCDs. IEEE Trans. Very Large Scale Integr. Syst. 14(10): 1103-1116 (2006) - [j66]Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap:
Fast Interconnect and Gate Timing Analysis for Performance Optimization. IEEE Trans. Very Large Scale Integr. Syst. 14(12): 1383-1388 (2006) - [c202]Shahin Nazarian, Massoud Pedram, Tao Lin, Emre Tuncer:
CGTA: current gain-based timing analysis for logic cells. ASP-DAC 2006: 67-72 - [c201]Chanseok Hwang, Massoud Pedram:
Timing-driven placement based on monotone cell ordering constraints. ASP-DAC 2006: 201-206 - [c200]Peng Rong, Massoud Pedram:
Power-aware scheduling and dynamic voltage setting for tasks running on a hard real-time system. ASP-DAC 2006: 473-478 - [c199]Soroush Abbaspour, Hanif Fatemi, Massoud Pedram:
Parameterized block-based non-gaussian statistical gate timing analysis. ASP-DAC 2006: 947-952 - [c198]Wonbok Lee, Kimish Patel, Massoud Pedram:
B2Sim: : a fast micro-architecture simulator based on basic block characterization. CODES+ISSS 2006: 199-204 - [c197]Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram:
Charge recycling in MTCMOS circuits: concept and analysis. DAC 2006: 97-102 - [c196]Hanif Fatemi, Shahin Nazarian, Massoud Pedram:
Statistical logic cell delay analysis using a current-based model. DAC 2006: 253-256 - [c195]Ali Iranli, Wonbok Lee, Massoud Pedram:
Backlight dimming in power-aware mobile displays. DAC 2006: 604-607 - [c194]Shahin Nazarian, Massoud Pedram, Sandeep K. Gupta, Melvin A. Breuer:
STAX: statistical crosstalk target set compaction. DATE Designers' Forum 2006: 172-177 - [c193]Afshin Abdollahi, Massoud Pedram:
Analysis and synthesis of quantum circuits by using quantum decision diagrams. DATE 2006: 317-322 - [c192]Soroush Abbaspour, Hanif Fatemi, Massoud Pedram:
Non-gaussian statistical interconnect timing analysis. DATE 2006: 533-538 - [c191]Shahin Nazarian, Massoud Pedram:
Cell delay analysis based on rate-of-current change. DATE 2006: 539-544 - [c190]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. DATE 2006: 995-1000 - [c189]Peng Rong, Massoud Pedram:
Determining the optimal timeout values for a power-managed system based on the theory of Markovian processes: offline and online algorithms. DATE 2006: 1128-1133 - [c188]Enrico Macii, Massoud Pedram, Dirk Friebel, Robert C. Aitken, Antun Domic, Roberto Zafalon:
Low-power design tools: are EDA vendors taking this matter seriously? DATE 2006: 1227 - [c187]Chang Woo Kang, Massoud Pedram:
Low-power clustering with minimum logic replication for coarse-grained, antifuse based FPGAs. ACM Great Lakes Symposium on VLSI 2006: 79-84 - [c186]Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer:
SACI: statistical static timing analysis of coupled interconnects. ACM Great Lakes Symposium on VLSI 2006: 241-246 - [c185]Shahin Nazarian, Ali Iranli, Massoud Pedram:
Crosstalk analysis in nanometer technologies. ACM Great Lakes Symposium on VLSI 2006: 253-258 - [c184]Mehrdad Najibi, Mostafa E. Salehi, Ali Afzali-Kusha, Massoud Pedram, Seid Mehdi Fakhraie, Hossein Pedram:
Dynamic voltage and frequency management based on variable update intervals for frequency setting. ICCAD 2006: 755-760 - [c183]Hwisung Jung, Massoud Pedram:
Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. ICCD 2006: 452-457 - [c182]Wonbok Lee, Kimish Patel, Massoud Pedram:
Dynamic thermal management for MPEG-2 decoding. ISLPED 2006: 316-321 - [c181]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Low-power fanout optimization using MTCMOS and multi-Vt techniques. ISLPED 2006: 334-337 - [c180]Behnam Amelifard, Massoud Pedram, Farzan Fallah:
Low-leakage SRAM Design with Dual V_t Transistors. ISQED 2006: 729-734 - [c179]Chanseok Hwang, Chang Woo Kang, Massoud Pedram:
Gate Sizing and Replication to Minimize the Effects of Virtual Ground Parasitic Resistances in MTCMOS Designs. ISQED 2006: 741-746 - 2005
- [j65]Farzan Fallah, Massoud Pedram:
Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits. IEICE Trans. Electron. 88-C(4): 509-519 (2005) - [j64]Kihwan Choi, Wei-Chung Cheng, Massoud Pedram:
Frame-Based Dynamic Voltage and Frequency Scaling for an MPEG Player. J. Low Power Electron. 1(1): 27-43 (2005) - [j63]Kihwan Choi, Kwanho Kim, Massoud Pedram:
Energy-Aware MPEG-4 FGS Streaming. J. Low Power Electron. 1(1): 44-51 (2005) - [j62]Chang Woo Kang, Massoud Pedram:
A Leakage-aware Low Power Technology Mapping Algorithm Considering the Hot-Carrier Effect. J. Low Power Electron. 1(2): 133-144 (2005) - [j61]Kihwan Choi, Ramakrishna Soma, Massoud Pedram:
Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(1): 18-28 (2005) - [j60]Payam Heydari, Massoud Pedram:
Capacitive coupling noise in high-speed VLSI circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(3): 478-488 (2005) - [j59]Amir H. Ajami, Kaustav Banerjee, Massoud Pedram:
Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(6): 849-861 (2005) - [c178]Chanseok Hwang, Massoud Pedram:
PMP: performance-driven multilevel partitioning by aggregating the preferred signal directions of I/O conduits. ASP-DAC 2005: 428-431 - [c177]Chang Woo Kang, Massoud Pedram:
Clustering techniques for coarse-grained, antifuse FPGAs. ASP-DAC 2005: 785-790 - [c176]Afshin Abdollahi, Farzan Fallah, Massoud Pedram:
An effective power mode transition technique in MTCMOS circuits. DAC 2005: 37-42 - [c175]Afshin Abdollahi, Massoud Pedram:
A new canonical form for fast boolean matching in logic synthesis and verification. DAC 2005: 379-384 - [c174]Ali Iranli, Massoud Pedram:
DTM: dynamic tone mapping for backlight scaling. DAC 2005: 612-617 - [c173]Ali Iranli, Hanif Fatemi, Massoud Pedram:
HEBS: Histogram Equalization for Backlight Scaling. DATE 2005: 346-351 - [c172]Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin, Amir H. Ajami:
Modeling and Propagation of Noisy Waveforms in Static Timing Analysis. DATE 2005: 776-777 - [c171]Shahin Nazarian, Massoud Pedram, Emre Tuncer:
An empirical study of crosstalk in VDSM technologies. ACM Great Lakes Symposium on VLSI 2005: 317-322 - [c170]Soroush Abbaspour, Hanif Fatemi, Massoud Pedram:
VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. ACM Great Lakes Symposium on VLSI 2005: 426-430 - [c169]Soroush Abbaspour, Hanif Fatemi, Massoud Pedram:
VGTA: Variation Aware Gate Timing Analysis. ICCD 2005: 351-356 - [c168]Ali Iranli, Hanif Fatemi, Massoud Pedram:
Lifetime-aware intrusion detection under safeguarding constraints. IPSN 2005: 189-194 - [c167]Morteza Maleki, Massoud Pedram:
QoM and lifetime-constrained random deployment of sensor networks for minimum energy consumption. IPSN 2005: 293-300 - [c166]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Low-power fanout optimization using multiple threshold voltage inverters. ISLPED 2005: 95-98 - [c165]Ali Iranli, Morteza Maleki, Massoud Pedram:
Energy efficient strategies for deployment of a two-level wireless sensor network. ISLPED 2005: 233-238 - [c164]Peng Rong, Massoud Pedram:
Hierarchical power management with application to scheduling. ISLPED 2005: 269-274 - [c163]Afshin Abdollahi, Farzan Fallah, Massoud Pedram:
Analysis and Optimization of Static Power Considering Transition Dependency of Leakage Current in VLSI Circuits. ISQED 2005: 77-82 - [c162]Behnam Amelifard, Farzan Fallah, Massoud Pedram:
Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class Closing the Gap between Carry Select Adder and Ripple Carry Adder: A New Class of Low-Power High-Performance Adders. ISQED 2005: 148-152 - [c161]Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin:
Sensitivity-Based Gate Delay Propagation in Static Timing Analysis. ISQED 2005: 536-541 - 2004
- [j58]Hojun Shim, Naehyuck Chang, Massoud Pedram:
A Backlight Power Management Framework for Battery-Operated Multimedia Systems. IEEE Des. Test Comput. 21(5): 388-396 (2004) - [j57]Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram:
Transition reduction in memory buses using sector-based encoding techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8): 1164-1174 (2004) - [j56]Payam Heydari, Soroush Abbaspour, Massoud Pedram:
Interconnect energy dissipation in high-speed ULSI circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 51-I(8): 1501-1514 (2004) - [j55]Wei-Chung Cheng, Massoud Pedram:
Power minimization in a backlit TFT-LCD display by concurrent brightness and contrast scaling. IEEE Trans. Consumer Electron. 50(1): 25-32 (2004) - [j54]Wei-Chung Cheng, Massoud Pedram:
Chromatic encoding: a low power encoding technique for digital visual interface. IEEE Trans. Consumer Electron. 50(1): 320-328 (2004) - [j53]Afshin Abdollahi, Farzan Fallah, Massoud Pedram:
Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. Very Large Scale Integr. Syst. 12(2): 140-154 (2004) - [c160]Chang Woo Kang, Ali Iranli, Massoud Pedram:
Technology mapping and packing for coarse-grained, anti-fuse based FPGAs. ASP-DAC 2004: 209-211 - [c159]Chanseok Hwang, Massoud Pedram:
Interconnect design methods for memory design. ASP-DAC 2004: 438-443 - [c158]Hojun Shim, Naehyuck Chang, Massoud Pedram:
A compressed frame buffer to reduce display power consumption in mobile systems. ASP-DAC 2004: 818-823 - [c157]Soroush Abbaspour, Massoud Pedram:
Gate delay calculation considering the crosstalk capacitances. ASP-DAC 2004: 852-857 - [c156]Kihwan Choi, Ramakrishna Soma, Massoud Pedram:
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding. DAC 2004: 544-549 - [c155]Kihwan Choi, Ramakrishna Soma, Massoud Pedram:
Fine-Grained Dynamic Voltage and Frequency Scaling for Precise Energy and Performance Trade-Off Based on the Ratio of Off-Chip Access to On-Chip Computation Times. DATE 2004: 4-9 - [c154]Wei-Chung Cheng, Yu Hou, Massoud Pedram:
Power Minimization in a Backlit TFT-LCD Display by Concurrent Brightness and Contrast Scaling. DATE 2004: 252-259 - [c153]Ali Iranli, Kihwan Choi, Massoud Pedram:
A Game Theoretic Approach to Low Energy Wireless Video Streaming. DATE 2004: 696-697 - [c152]Radu Marculescu, Massoud Pedram, Jörg Henkel:
Distributed Multimedia System Design: A Holistic Perspective. DATE 2004: 1342-1349 - [c151]Soroush Abbaspour, Amir H. Ajami, Massoud Pedram, Emre Tuncer:
TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects. ACM Great Lakes Symposium on VLSI 2004: 19-24 - [c150]Kihwan Choi, Wonbok Lee, Ramakrishna Soma, Massoud Pedram:
Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation. ICCAD 2004: 29-34 - [c149]Kihwan Choi, Ramakrishna Soma, Massoud Pedram:
Dynamic voltage and frequency scaling based on workload decomposition. ISLPED 2004: 174-179 - [c148]Morteza Maleki, Massoud Pedram:
Lifetime-aware multicast routing in wireless ad hoc networks. WCNC 2004: 1317-1323 - [p1]Wei-Chung Cheng, Massoud Pedram:
Transmittance Scaling for Reducing Power Dissipation of a Backlit TFT-LCD. Ultra Low-Power Electronics and Design 2004: 172-197 - 2003
- [j52]Peyman Rezvani, Massoud Pedram:
A fanout optimization algorithm based on the effort delay model. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(12): 1671-1678 (2003) - [j51]Payam Heydari, Massoud Pedram:
Ground bounce in digital VLSI circuits. IEEE Trans. Very Large Scale Integr. Syst. 11(2): 180-193 (2003) - [c147]Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram:
BEAM: bus encoding based on instruction-set-aware memories. ASP-DAC 2003: 3-8 - [c146]Soroush Abbaspour, Massoud Pedram:
Calculating the effective capacitance for the RC interconnect in VDSM technologies. ASP-DAC 2003: 43-48 - [c145]Chang Woo Kang, Massoud Pedram:
Technology mapping for low leakage power and high speed with hot-carrier effect consideration. ASP-DAC 2003: 203-208 - [c144]Ali Iranli, Peyman Rezvani, Massoud Pedram:
Low power synthesis of finite state machines with mixed D and T flip-flops. ASP-DAC 2003: 803-808 - [c143]Peng Rong, Massoud Pedram:
Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach. DAC 2003: 906-911 - [c142]Kihwan Choi, Kwanho Kim, Massoud Pedram:
Energy-aware MPEG-4 FGS streaming. DAC 2003: 912-915 - [c141]Wei-Chung Cheng, Massoud Pedram:
Chromatic Encoding: A Low Power Encoding Technique for Digital Visual Interface. DATE 2003: 10694-10699 - [c140]Peng Rong, Massoud Pedram:
An Analytical Model for Predicting the Remaining Battery Capacity of Lithium-Ion Batteries. DATE 2003: 11148-11149 - [c139]Ali Iranli, Kihwan Choi, Massoud Pedram:
Energy-Aware Wireless Video Streaming. ESTIMedia 2003: 48-55 - [c138]Chang Woo Kang, Soroush Abbaspour, Massoud Pedram:
Buffer sizing for minimum energy-delay product by using an approximating polynomial. ACM Great Lakes Symposium on VLSI 2003: 112-115 - [c137]Ali Iranli, Hanif Fatemi, Massoud Pedram:
A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers. ICCAD 2003: 504-509 - [c136]Afshin Abdollahi, Massoud Pedram, Farzan Fallah, Indradeep Ghosh:
Precomputation-based Guarding for Dynamic and Leakage Power Reduction. ICCD 2003: 90-97 - [c135]Farhad Ghasemi-Tari, Peng Rong, Massoud Pedram:
An Energy-Aware Simulation Model and Transaction Protocol for Dynamic Workload Distribution in Mobile Ad Hoc Networks. ICCD 2003: 444- - [c134]Afshin Abdollahi, Farzan Fallah, Massoud Pedram:
Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. ISQED 2003: 49-54 - [c133]Soroush Abbaspour, Massoud Pedram, Payam Heydari:
Optimizing the Energy-Delay-Ringing Product in On-Chip CMOS Line Drivers. ISQED 2003: 261-266 - [c132]Morteza Maleki, Karthik Dantu, Massoud Pedram:
Lifetime prediction routing in mobile ad hoc networks. WCNC 2003: 1185-1190 - [e5]Massoud Pedram, Charles J. Alpert:
Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003. ACM 2003, ISBN 1-58113-650-1 [contents] - 2002
- [j50]Xunwei Wu, Guoqiang Hang, Massoud Pedram:
Low power DCVSL circuits employing AC power supply. Sci. China Ser. F Inf. Sci. 45(3): 232-240 (2002) - [j49]Wei-Chung Cheng, Massoud Pedram:
Power-Aware Bus Encoding Techniques for I/O and Data Buses in an Embedded System. J. Circuits Syst. Comput. 11(4): 351-364 (2002) - [j48]Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram:
A Class of Irredundant Encoding Techniques for Reducing Bus Power. J. Circuits Syst. Comput. 11(5): 445-458 (2002) - [j47]Cheng-Ta Hsieh, Massoud Pedram:
Architectural energy optimization by bus splitting. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(4): 408-414 (2002) - [j46]Amir H. Salek, Jinan Lou, Massoud Pedram:
Hierarchical buffered routing tree generation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(5): 554-567 (2002) - [j45]Wei-Chung Cheng, Massoud Pedram:
Power-optimal encoding for a DRAM address bus. IEEE Trans. Very Large Scale Integr. Syst. 10(2): 109-118 (2002) - [j44]Massoud Pedram, Qing Wu:
Battery-powered digital CMOS design. IEEE Trans. Very Large Scale Integr. Syst. 10(5): 601-607 (2002) - [c131]Payam Heydari, Soroush Abbaspour, Massoud Pedram:
A comprehensive study of energy dissipation in lossy transmission lines driven by CMOS inverters. CICC 2002: 517-520 - [c130]Peyman Rezvani, Massoud Pedram:
Concurrent and Selective Logic Extraction with Timing Consideration. DATE 2002: 1086 - [c129]Yazdan Aghaghiri, Massoud Pedram, Farzan Fallah:
EZ Encoding: A Class of Irredundant Low Power Codes for Data Address and Multiplexed Address Buses. DATE 2002: 1102 - [c128]Peng Rong, Massoud Pedram:
Battery-aware power management based on Markovian decision processes. ICCAD 2002: 707-713 - [c127]Kihwan Choi, Karthik Dantu, Wei-Chung Cheng, Massoud Pedram:
Frame-based dynamic voltage and frequency scaling for a MPEG decoder. ICCAD 2002: 732-737 - [c126]Morteza Maleki, Karthik Dantu, Massoud Pedram:
Power-aware source routing protocol for mobile ad hoc networks. ISLPED 2002: 72-75 - [c125]Yazdan Aghaghiri, Massoud Pedram, Farzan Fallah:
Reducing transitions on memory buses using sector-based encoding technique. ISLPED 2002: 190-195 - [c124]Afshin Abdollahi, Massoud Pedram, Farzan Fallah:
Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. ISLPED 2002: 213-218 - [c123]Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram:
ALBORZ: Address Level Bus Power Optimization. ISQED 2002: 470-475 - [c122]Chang Woo Kang, Massoud Pedram:
Technology Mapping for Low Leakage Power with Hot-Carrier Effect Consideration. IWLS 2002: 295-300 - [c121]Wei-Chung Cheng, Jian-Lin Liang, Massoud Pedram:
Software-Only Bus Encoding Techniques for an Embedded System. ASP-DAC/VLSI Design 2002: 126-131 - [c120]Payam Heydari, Massoud Pedram:
Interconnect Energy Dissipation in High-Speed ULSI Circuits. ASP-DAC/VLSI Design 2002: 132- - [c119]Wei Chen, Massoud Pedram, Premal Buch:
Buffered Routing Tree Construction under Buffer Placement Blockages. ASP-DAC/VLSI Design 2002: 381-386 - [e4]Sachin S. Sapatnekar, Massoud Pedram:
Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002. ACM 2002, ISBN 1-58113-460-6 [contents] - 2001
- [j43]Hirendu Vaishnav, Massoud Pedram:
Alphabetic trees-theory and applications in layout-driven logicsynthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(1): 58-69 (2001) - [j42]Jaewon Oh, Massoud Pedram:
Gated clock routing for low-power microprocessor design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(6): 715-722 (2001) - [j41]Qing Wu, Qinru Qiu, Massoud Pedram:
Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8): 942-956 (2001) - [j40]Qinru Qiu, Qing Qu, Massoud Pedram:
Stochastic modeling of a power-managed system-construction andoptimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(10): 1200-1217 (2001) - [c118]Payam Heydari, Massoud Pedram:
Balanced truncation with spectral shaping for RLC interconnects. ASP-DAC 2001: 203-208 - [c117]Massoud Pedram:
Power optimization and management in embedded systems. ASP-DAC 2001: 239-244 - [c116]Wei-Chung Cheng, Massoud Pedram:
Low power techniques for address encoding and memory allocation. ASP-DAC 2001: 245-250 - [c115]Amir H. Ajami, Massoud Pedram:
Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points. ASP-DAC 2001: 595-600 - [c114]Amir H. Ajami, Massoud Pedram, Kaustav Banerjee:
Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs. CICC 2001: 233-236 - [c113]Amir H. Ajami, Kaustav Banerjee, Massoud Pedram, Lukas P. P. P. van Ginneken:
Analysis of Non-Uniform Temperature-Dependent Interconnect Performance in High Performance ICs. DAC 2001: 567-572 - [c112]Qinru Qiu, Qing Wu, Massoud Pedram:
Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service. DAC 2001: 834-839 - [c111]Cheng-Ta Hsieh, Lung-sheng Chen, Massoud Pedram:
Microprocessor power analysis by labeled simulation. DATE 2001: 182-189 - [c110]Amir H. Ajami, Kaustav Banerjee, Massoud Pedram:
Analysis of Substrate Thermal Gradient Effects on Optimal Buffer Insertion. ICCAD 2001: 44-48 - [c109]Payam Heydari, Massoud Pedram:
Model Reduction of Variable-Geometry Interconnects using Variational Spectrally-Weighted Balanced Truncation. ICCAD 2001: 586-591 - [c108]Payam Heydari, Massoud Pedram:
Analysis and Reduction of Capacitive Coupling Noise in High-Speed VLSI Circuits. ICCD 2001: 104-109 - [c107]Payam Heydari, Massoud Pedram:
Jitter-Induced Power/ground Noise in CMOS PLLs: A Design Perspective. ICCD 2001: 209-213 - [c106]Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram:
Irredundant address bus encoding for low power. ISLPED 2001: 182-187 - [c105]Kaustav Banerjee, Massoud Pedram, Amir H. Ajami:
Analysis and optimization of thermal issues in high-performance VLSI. ISPD 2001: 230-237 - [c104]Wei-Chung Cheng, Massoud Pedram:
Memory Bus Encoding for Low Power: A Tutorial. ISQED 2001: 199-204 - 2000
- [j39]Wei Chen, Cheng-Ta Hsieh, Massoud Pedram:
Simultaneous gate sizing and placement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(2): 206-214 (2000) - [j38]Pasquale Cocchini, Massoud Pedram:
Fanout optimization using bipolar LT-trees. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(3): 339-349 (2000) - [j37]Jui-Ming Chang, Massoud Pedram:
Codex-dp: co-design of communicating systems using dynamicprogramming. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(7): 732-744 (2000) - [j36]Raul Camposano, Massoud Pedram:
Electronic design automation at the turn of the century: accomplishments and vision of the future. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(12): 1401-1403 (2000) - [j35]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Stochastic sequential machine synthesis with application to constrained sequence generation. ACM Trans. Design Autom. Electr. Syst. 5(3): 658-681 (2000) - [j34]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Theoretical bounds for switching activity analysis in finite-state machines. IEEE Trans. Very Large Scale Integr. Syst. 8(3): 335-339 (2000) - [j33]Chih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram:
Improving the efficiency of Monte Carlo power estimation [VLSI]. IEEE Trans. Very Large Scale Integr. Syst. 8(5): 584-593 (2000) - [c103]Massoud Pedram, Xunwei Wu:
Analysis of power-clocked CMOS with application to the design of energy-recovery circuits. ASP-DAC 2000: 339-344 - [c102]Xunwei Wu, Jian Wei, Massoud Pedram, Qing Wu:
Low-power design of sequential circuits using a quasi-synchronous derived clock. ASP-DAC 2000: 345-350 - [c101]Qing Wu, Qinru Qiu, Massoud Pedram:
An interleaved dual-battery power supply for battery-operated electronics. ASP-DAC 2000: 387-390 - [c100]Payam Heydari, Massoud Pedram:
Analysis of jitter due to power-supply noise in phase-locked loops. CICC 2000: 443-446 - [c99]Qinru Qiu, Qing Wu, Massoud Pedram:
Dynamic power management of complex systems using generalized stochastic Petri nets. DAC 2000: 352-356 - [c98]Shih-Lian T. Ou, Massoud Pedram:
Timing-driven placement based on partitioning with dynamic cut-net control. DAC 2000: 472-476 - [c97]Cheng-Ta Hsieh, Massoud Pedram:
Architectural Power Optimization by Bus Splitting. DATE 2000: 612-616 - [c96]Wei Chen, Cheng-Ta Hsieh, Massoud Pedram:
Simultaneous Gate Sizing and Fanout Optimization. ICCAD 2000: 374-378 - [c95]Payam Heydari, Massoud Pedram:
Analysis and Optimization of Ground Bounce in Digital CMOS Circuits. ICCD 2000: 121-126 - [c94]Xunwei Wu, Massoud Pedram:
Low power sequential circuit design by using priority encoding and clock gating. ISLPED 2000: 143-148 - [c93]Wei-Chung Cheng, Massoud Pedram:
Power-optimal encoding for DRAM address bus (poster session). ISLPED 2000: 250-252 - [c92]Xunwei Wu, Massoud Pedram:
Propagation Algorithm of Behavior Probability in Power Estimation Based on Multiple-Valued Logic. ISMVL 2000: 453-459
1990 – 1999
- 1999
- [j32]Xunwei Wu, Massoud Pedram:
Bounded algebra and current-mode digital circuits. J. Comput. Sci. Technol. 14(6): 551-557 (1999) - [j31]Hirendu Vaishnav, Massoud Pedram:
Delay-optimal clustering targeting low-power VLSI circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(6): 799-812 (1999) - [j30]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Sequence compaction for power estimation: theory and practice. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(7): 973-993 (1999) - [j29]Amir H. Salek, Jinan Lou, Massoud Pedram:
An integrated logical and physical design flow for deep submicron circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(9): 1305-1315 (1999) - [j28]Massoud Pedram, Bryan Preas:
Interconnection analysis for standard cell layouts. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(10): 1512-1519 (1999) - [c91]Shihliang Ou, Massoud Pedram:
Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming. ASP-DAC 1999: 105-108 - [c90]Massoud Pedram, Chi-Ying Tsui, Qing Wu:
An Integrated Battery-Hardware Model for Portable Electronics. ASP-DAC 1999: 109- - [c89]Payam Rabiei, Massoud Pedram:
Model Order Reduction of Large Circuits Using Balanced Truncation. ASP-DAC 1999: 237- - [c88]Amir H. Salek, Jinan Lou, Massoud Pedram:
MERLIN: Semi-Order-Independent Hierarchical Buffered Routing Tree Generation Using Local Neighborhood Search. DAC 1999: 472-478 - [c87]Qinru Qiu, Massoud Pedram:
Dynamic Power Management Based on Continuous-Time Markov Decision Processes. DAC 1999: 555-561 - [c86]Massoud Pedram, Qing Wu:
Design Considerations for Battery-Powered Electronics. DAC 1999: 861-866 - [c85]Massoud Pedram, Qing Wu:
Battery-Powered Digital CMOS Design. DATE 1999: 72-76 - [c84]Jui-Ming Chang, Massoud Pedram:
Codex-dp: Co-design of Communicating Systems Using Dynamic Programming. DATE 1999: 568- - [c83]Jinan Lou, Wei Chen, Massoud Pedram:
Concurrent logic restructuring and placement for timing closure. ICCAD 1999: 31-36 - [c82]Peyman Rezvani, Amir H. Ajami, Massoud Pedram, Hamid Savoj:
LEOPARD: a Logical Effort-based fanout OPtimizer for ARea and Delay. ICCAD 1999: 516-519 - [c81]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Non-stationary effects in trace-driven power analysis. ISLPED 1999: 133-138 - [c80]Qinru Qiu, Qing Wu, Massoud Pedram:
Stochastic modeling of a power-managed system: construction and optimization. ISLPED 1999: 194-199 - [c79]Wei Chen, Cheng-Ta Hsieh, Massoud Pedram:
Gate sizing with controlled displacement. ISPD 1999: 127-132 - [r1]Massoud Pedram:
Power Simulation and Estimation in VLSI Circuits. The VLSI Handbook 1999 - 1998
- [j27]Chi-Ying Tsui, Massoud Pedram:
Accurate and efficient power simulation strategy by compacting the input vector set. Integr. 25(1): 37-52 (1998) - [j26]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Probabilistic modeling of dependencies during switching activity analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(2): 73-83 (1998) - [j25]Chih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram:
Stratified random sampling for power estimation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(6): 465-471 (1998) - [j24]Enrico Macii, Massoud Pedram, Fabio Somenzi:
High-level power modeling, estimation, and optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(11): 1061-1079 (1998) - [j23]Cheng-Ta Hsieh, Massoud Pedram:
Microprocessor power estimation using profile-driven program synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(11): 1080-1089 (1998) - [j22]Chih-Shun Ding, Chi-Ying Tsui, Massoud Pedram:
Gate-level power estimation using tagged probabilistic simulation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(11): 1099-1107 (1998) - [j21]Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain:
Low-power state assignment targeting two- and multilevel logic implementations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(12): 1281-1291 (1998) - [j20]Qing Wu, Qinru Qiu, Massoud Pedram, Chih-Shun Ding:
Cycle-accurate macro-models for RT-level power analysis. IEEE Trans. Very Large Scale Integr. Syst. 6(4): 520-528 (1998) - [c78]Massoud Pedram:
Logical-Physical Co-design for Deep Submicron Circuits: Challenges and Solutions (Embedded Tutorial). ASP-DAC 1998: 137-142 - [c77]Jinan Lou, Amir H. Salek, Massoud Pedram:
An Integrated Flow for Technology Remapping and Placement of Sub-half-micron Circuits. ASP-DAC 1998: 295-300 - [c76]Jaewon Oh, Massoud Pedram:
Power Reduction in Microprocessor Chips by Gated Clock Routing. ASP-DAC 1998: 313-318 - [c75]Massoud Pedram, Qing Wu, Xunwei Wu:
A New Design for Double Edge Triggered Flip-flops. ASP-DAC 1998: 417-421 - [c74]Amir H. Salek, Jinan Lou, Massoud Pedram:
A DSM Design Flow: Putting Floorplanning, Technology-Napping, and Gate-Placement Together. DAC 1998: 128-134 - [c73]Jaewon Oh, Massoud Pedram:
Multi-Pad Power/Ground Network Design for Uniform Distribution of Ground Bounce. DAC 1998: 287-290 - [c72]Qinru Qiu, Qing Wu, Massoud Pedram:
Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics. DAC 1998: 684-689 - [c71]Jaewon Oh, Massoud Pedram:
Gated Clock Routing Minimizing the Switched Capacitance. DATE 1998: 692-697 - [c70]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. DATE 1998: 774-779 - [c69]Pasquale Cocchini, Massoud Pedram, Gianluca Piccinini, Maurizio Zamboni:
Fanout optimization under a submicron transistor-level delay model. ICCAD 1998: 551-556 - [c68]Amir H. Salek, Jinan Lou, Massoud Pedram:
A simultaneous routing tree construction and fanout optimization algorithm. ICCAD 1998: 625-630 - [c67]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Theoretical bounds for switching activity analysis in finite-state machines. ISLPED 1998: 36-41 - [c66]Chih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram:
Improving sampling efficiency for system level power estimation. ISLPED 1998: 115-117 - [c65]Payam Heydari, Massoud Pedram:
Calculation of ramp response of lossy transmission lines using two-port network functions. ISPD 1998: 152-157 - 1997
- [j19]Paul Tafertshofer, Massoud Pedram:
Factored Edge-Valued Binary Decision Diagrams. Formal Methods Syst. Des. 10(2/3): 243-270 (1997) - [j18]Jaewon Oh, Iksoo Pyo, Massoud Pedram:
Constructing minimal spanning/Steiner trees with bounded path length. Integr. 22(1-2): 137-163 (1997) - [j17]Shihming Liu, Massoud Pedram, Alvin M. Despain:
State assignment based on two-dimensional placement and hypercube mapping. Integr. 24(2): 101-118 (1997) - [j16]Jui-Ming Chang, Massoud Pedram:
Energy minimization using multiple supply voltages. IEEE Trans. Very Large Scale Integr. Syst. 5(4): 436-443 (1997) - [j15]Massoud Pedram, Narasimha B. Bhat, Ernest S. Kuh:
Combining Technology Mapping With Layout. VLSI Design 5(2): 111-124 (1997) - [j14]Massoud Pedram, Hirendu Vaishnav:
Power Optimization in VLSI Layout: A Survey. J. VLSI Signal Process. 15(3): 221-232 (1997) - [c64]Qing Wu, Massoud Pedram, Xunwei Wu:
A note on the relationship between signal probability and switching activity. ASP-DAC 1997: 117-120 - [c63]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Adaptive models for input data compaction for power simulators. ASP-DAC 1997: 391-396 - [c62]Qing Wu, Chih-Shun Ding, Cheng-Ta Hsieh, Massoud Pedram:
Statistical design of macro-models for RT-level power evaluation. ASP-DAC 1997: 523-528 - [c61]Massoud Pedram, Xunwei Wu:
A new description of CMOS circuits at switch-level. ASP-DAC 1997: 551-556 - [c60]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Sequence Compaction for Probabilistic Analysis of Finite-State Machines. DAC 1997: 12-15 - [c59]Chih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram:
Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Cirucits. DAC 1997: 371-376 - [c58]Chi-Ying Tsui, Kai-Keung Chan, Qing Wu, Chih-Shun Ding, Massoud Pedram:
A Power Estimation Framework for Designing Low Power Portable Video Applications. DAC 1997: 421-424 - [c57]Enrico Macii, Massoud Pedram, Fabio Somenzi:
High-Level Power Modeling, Estimation, and Optimization. DAC 1997: 504-511 - [c56]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Hierarchical Sequence Compaction for Power Estimation. DAC 1997: 570-575 - [c55]Cheng-Ta Hsieh, Massoud Pedram, Gaurav Mehta, Fred Rastgar:
Profile-Driven Program Synthesis for Evaluation of System Power Dissipation. DAC 1997: 576-581 - [c54]Jinan Lou, Amir H. Salek, Massoud Pedram:
An exact solution to simultaneous technology mapping and linear placement problem. ICCAD 1997: 671-675 - [c53]Rakesh Mehrotra, Massoud Pedram, Xunwei Wu:
Comparison between nMos Pass Transistor logic style vs. CMOS Complementary Cells. ICCD 1997: 130-135 - [c52]Hirendu Vaishnav, Chi-Keung Lee, Massoud Pedram:
Post Layout Speed-up by Event Elimination. ICCD 1997: 211-216 - [c51]Qinru Qiu, Qing Wu, Massoud Pedram, Chih-Shun Ding:
Cycle-accurate macro-models for RT-level power analysis. ISLPED 1997: 125-130 - [c50]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Composite sequence compaction for finite-state machines using block entropy and high-order Markov models. ISLPED 1997: 190-195 - [c49]Xunwei Wu, Massoud Pedram:
Design of Ternary CCD Circuits Referencing to Current-Mode CMOS Circuits. ISMVL 1997: 209-214 - [e3]Brock Barton, Massoud Pedram, Anantha P. Chandrakasan, Sayfe Kiaei:
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997. ACM 1997, ISBN 0-89791-903-3 [contents] - 1996
- [j13]Yung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula:
Formal Verification Using Edge-Valued Binary Decision Diagrams. IEEE Trans. Computers 45(2): 247-255 (1996) - [j12]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Information theoretic measures for power analysis [logic design]. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(6): 599-610 (1996) - [j11]Sasan Iman, Massoud Pedram:
An approach for multilevel logic optimization targeting low power. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8): 889-901 (1996) - [j10]Yung-Te Lai, Kuo-Rueih Ricky Pan, Massoud Pedram:
OBDD-based function decomposition: algorithms and implementation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8): 977-990 (1996) - [j9]Massoud Pedram, Sasan Iman:
Correction to "An Approach for Multilevel Logic Optimization Targeting Low Power". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(9): 1176 (1996) - [j8]Massoud Pedram:
Power minimization in IC design: principles and applications. ACM Trans. Design Autom. Electr. Syst. 1(1): 3-56 (1996) - [j7]Chi-Ying Tsui, José Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin:
Correction to "Power Estimation Methods for Sequential Logic Circuits" [Correspondence]. IEEE Trans. Very Large Scale Integr. Syst. 4(4): 495 (1996) - [c48]Sasan Iman, Massoud Pedram:
POSE: Power Optimization and Synthesis Environment. DAC 1996: 21-26 - [c47]Chi-Ying Tsui, Radu Marculescu, Diana Marculescu, Massoud Pedram:
Improving the Efficiency of Power Simulators by Input Vector Compaction. DAC 1996: 165-168 - [c46]Jaewon Oh, Iksoo Pyo, Massoud Pedram:
Constructing Lower and Upper Bounded Delay Routing Trees Using Linear Programming. DAC 1996: 401-404 - [c45]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Stochastic Sequential Machine Synthesis Targeting Constrained Sequence Generation. DAC 1996: 696-701 - [c44]Iksoo Pyo, Jaewon Oh, Massoud Pedram:
Constructing Minimal Spanning/Steiner Trees with Bounded Path Length. ED&TC 1996: 244-253 - [c43]Kuo-Rueih Ricky Pan, Massoud Pedram:
FPGA synthesis for minimum area, delay and power. ED&TC 1996: 603 - [c42]Massoud Pedram, Jui-Ming Chang:
Module assignment for low power. EURO-DAC 1996: 376-381 - [c41]Chih-Shun Ding, Cheng-Ta Hsieh, Qing Wu, Massoud Pedram:
Stratified random sampling for power estimation. ICCAD 1996: 576-582 - [c40]Cheng-Ta Hsieh, Qing Wu, Chih-Shun Ding, Massoud Pedram:
Statistical sampling and regression analysis for RT-level power evaluation. ICCAD 1996: 583-588 - [c39]Jui-Ming Chang, Massoud Pedram:
Energy minimization using multiple supply voltages. ISLPED 1996: 157-162 - [e2]Mark Horowitz, Jan M. Rabaey, Brock Barton, Massoud Pedram:
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996. IEEE 1996, ISBN 0-7803-3571-6 [contents] - 1995
- [j6]Deo Singh, Jan M. Rabaey, Massoud Pedram, Francky Catthoor, Suresh Rajgopal, Naresh Sehgal, Thomas J. Mozdzen:
Power conscious CAD tools and methodologies: a perspective. Proc. IEEE 83(4): 570-594 (1995) - [j5]Kamal Chaudhary, Massoud Pedram:
Computing the area versus delay trade-off curves in technology mapping. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(12): 1480-1489 (1995) - [j4]Chi-Ying Tsui, José Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin:
Power estimation methods for sequential logic circuits. IEEE Trans. Very Large Scale Integr. Syst. 3(3): 404-416 (1995) - [c38]Jui-Ming Chang, Massoud Pedram:
Register Allocation and Binding for Low Power. DAC 1995: 29-35 - [c37]Hirendu Vaishnav, Massoud Pedram:
Minimizing the Routing Cost During Logic Extraction. DAC 1995: 70-75 - [c36]Sasan Iman, Massoud Pedram:
Logic Extraction and Factorization for Low Power. DAC 1995: 248-253 - [c35]Shihming Liu, Massoud Pedram, Alvin M. Despain:
A Fast State Assignment Procedure for Large FSMs. DAC 1995: 327-332 - [c34]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Efficient Power Estimation for Highly Correlated Input Streams. DAC 1995: 628-634 - [c33]Sasan Iman, Massoud Pedram:
Two-level logic minimization for low power. ICCAD 1995: 433-438 - [c32]Hirendu Vaishnav, Massoud Pedram:
Delay optimal partitioning targeting low power VLSI circuits. ICCAD 1995: 638-643 - [c31]Hirendu Vaishnav, Massoud Pedram:
Logic extraction based on normalized netlengths. ICCD 1995: 658-663 - [c30]Shihming Liu, Massoud Pedram, Alvin M. Despain:
PLATO P: PLA Timing Optimization by Partitioning. ISCAS 1995: 1744-1747 - [c29]Diana Marculescu, Radu Marculescu, Massoud Pedram:
Information theoretic measures of energy consumption at register transfer level. ISLPD 1995: 81-86 - [e1]Massoud Pedram, Robert W. Brodersen, Kurt Keutzer:
Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995. ACM 1995, ISBN 0-89791-744-8 [contents] - 1994
- [j3]Yung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula:
EVBDD-based algorithms for integer linear programming, spectral transformation, and function decomposition. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(8): 959-975 (1994) - [j2]Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain:
Power efficient technology decomposition and mapping under an extended power consumption model. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(9): 1110-1122 (1994) - [j1]Massoud Pedram, Bahman S. Nobandegani, Bryan Preas:
Design and analysis of segmented routing channels for row-based FPGA's. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(12): 1470-1479 (1994) - [c28]Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain:
Exact and Approximate Methods for Calculating Signal and Transition Probabilities in FSMs. DAC 1994: 18-23 - [c27]Sasan Iman, Massoud Pedram, Kamal Chaudhary:
Technology Mapping Using Fuzzy Logic. DAC 1994: 333-338 - [c26]Chi-Ying Tsui, Massoud Pedram, Chih-Ang Chen, Alvin M. Despain:
Low power state assignment targeting two-and multi-level logic implementations. ICCAD 1994: 82-87 - [c25]Radu Marculescu, Diana Marculescu, Massoud Pedram:
Switching activity analysis considering spatiotemporal correlations. ICCAD 1994: 294-299 - [c24]Sasan Iman, Massoud Pedram:
Multi-level network optimization for low power. ICCAD 1994: 372-377 - [c23]Yung-Te Lai, Kuo-Rueih Ricky Pan, Massoud Pedram:
FPGA Synthesis Using Function Decomposition. ICCD 1994: 30-35 - [c22]Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer:
Control Strategies for Chip-Based DFT/BIST Hardware. ITC 1994: 893-902 - 1993
- [c21]Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain:
Technology Decomposition and Mapping Targeting Low Power Dissipation. DAC 1993: 68-73 - [c20]Hirendu Vaishnav, Massoud Pedram:
Routability-Driven Fanout Optimization. DAC 1993: 230-235 - [c19]Yung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula:
BDD Based Decomposition of Logic Functions with Application to FPGA Synthesis. DAC 1993: 642-647 - [c18]Hirendu Vaishnav, Massoud Pedram:
PCUBE: A performance driven placement algorithm for low power designs. EURO-DAC 1993: 72-77 - [c17]Chi-Ying Tsui, Massoud Pedram, Alvin M. Despain:
Efficient estimation of dynamic power consumption under a real delay model. ICCAD 1993: 224-228 - [c16]Massoud Pedram, Bahman S. Nobandegani, Bryan Preas:
Architecture and routability analysis for row-based FPGAs. ICCAD 1993: 230-235 - [c15]Yung-Te Lai, Massoud Pedram, Sarma B. K. Vrudhula:
FGILP: an integer linear program solver based on function graphs. ICCAD 1993: 685-689 - [c14]Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer:
Merging multiple FSM controllers for DFT/BIST hardware. ICCAD 1993: 720-725 - 1992
- [c13]Kamal Chaudhary, Massoud Pedram:
A Near Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints. DAC 1992: 492-498 - [c12]Debaditya Mukherjee, Massoud Pedram, Melvin A. Breuer:
Minimal area merger of finite state machine controllers. EURO-DAC 1992: 278-283 - [c11]Yung-Te Lai, Sarma Sastry, Massoud Pedram:
Boolean Matching Using Binary Decision Diagrams with Applications to Logic Synthesis and Verification. ICCD 1992: 452-458 - 1991
- [c10]Massoud Pedram, Narasimha B. Bhat:
Layout Driven Technology Mapping. DAC 1991: 99-105 - [c9]Massoud Pedram, Narasimha B. Bhat:
Layout Driven Logic Restructuring/Decomposition. ICCAD 1991: 134-137 - [c8]Massoud Pedram, Kamal Chaudhary, Ernest S. Kuh:
I/O Pad Assignment Based on the Circuit Structure. ICCD 1991: 314-318 - [c7]Stefan Mayrhofer, Massoud Pedram, Ulrich Lauther:
A Flow-Oriented Approach to the Placement of Boolean Networks. VLSI 1991: 101-110 - 1990
- [c6]Massoud Pedram, Malgorzata Marek-Sadowska, Ernest S. Kuh:
Floorplanning with Pin Assignment. ICCAD 1990: 98-101 - [c5]Massoud Pedram, Bryan Preas:
A hierarchical floorplanning approach. ICCD 1990: 332-338
1980 – 1989
- 1989
- [c4]Bryan Preas, Massoud Pedram, Don Curry:
Automatic Layout of Silicon-on-Silicon Hybrid Packages. DAC 1989: 394-399 - [c3]Massoud Pedram, Bryan Preas:
Interconnection length estimation for optimized standard cell layouts. ICCAD 1989: 390-393 - [c2]Massoud Pedram, Bryan Preas:
Accurate prediction of physical design characteristics for random logic. ICCD 1989: 100-108 - 1988
- [c1]Bernhard Eschermann, Wayne Wei-Ming Dai, Ernest S. Kuh, Massoud Pedram:
Hierarchical placement for macrocells: a 'meet in the middle' approach. ICCAD 1988: 460-463
Coauthor Index
aka: Alireza Shafaei Bejestan
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2025-01-26 23:40 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint